TWI424265B - Novel compound, manufacturing method thereof, acid generator, resist composition and method of forming resist pattern - Google Patents

Novel compound, manufacturing method thereof, acid generator, resist composition and method of forming resist pattern Download PDF

Info

Publication number
TWI424265B
TWI424265B TW097125068A TW97125068A TWI424265B TW I424265 B TWI424265 B TW I424265B TW 097125068 A TW097125068 A TW 097125068A TW 97125068 A TW97125068 A TW 97125068A TW I424265 B TWI424265 B TW I424265B
Authority
TW
Taiwan
Prior art keywords
group
alkyl group
substituent
compound
atom
Prior art date
Application number
TW097125068A
Other languages
Chinese (zh)
Other versions
TW200923572A (en
Inventor
Hideo Hada
Takeshi Iwai
Takehiro Seshimo
Akiya Kawaue
Keita Ishiduka
Original Assignee
Tokyo Ohka Kogyo Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Ohka Kogyo Co Ltd filed Critical Tokyo Ohka Kogyo Co Ltd
Publication of TW200923572A publication Critical patent/TW200923572A/en
Application granted granted Critical
Publication of TWI424265B publication Critical patent/TWI424265B/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0047Photosensitive materials characterised by additives for obtaining a metallic or ceramic pattern, e.g. by firing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor

Description

新穎之化合物及其製造方法,酸產生劑,光阻組成物及光阻圖型之形成方法Novel compound and its production method, acid generator, photoresist composition and method for forming photoresist pattern

本發明為有關一種適合作為光阻組成物用之酸產生劑之新穎化合物及其製造方法,適合作為該化合物前驅物之化合物及其製造方法,酸產生劑、光阻組成物及光阻圖型之形成方法。The present invention relates to a novel compound suitable as an acid generator for a photoresist composition and a method for producing the same, and a compound suitable as a precursor of the compound and a method for producing the same, an acid generator, a photoresist composition and a photoresist pattern The method of formation.

本案為基於2007年7月18日於日本特許廳所提出之特願2007-187593號,及2007年10月1日於日本特許廳所提出之特願2007-257492號為基礎主張優先權,本說明書中係援用其內容。This case is based on the special wish 2007-187593 proposed by the Japan Patent Office on July 18, 2007, and the priority of 2007-257492, which was proposed by the Japan Patent Office on October 1, 2007. The contents are used in the manual.

微影蝕刻技術中,例如於基板上形成由光阻材料所得之光阻膜,並對於前述光阻膜,介由形成特定圖型之遮罩,以光、電子線等放射線進行選擇性曝光,經施以顯影處理,使前述光阻膜形成具有特定形狀之光阻圖型之方式進行。經曝光之部份變化為具有溶解於顯影液之特性的光阻材料稱為正型,經曝光之部份變化為具有不溶解於顯影液之特性的光阻材料稱為負型。In the lithography technique, for example, a photoresist film obtained from a photoresist material is formed on a substrate, and the photoresist film is selectively exposed to radiation such as light or electron lines by forming a mask of a specific pattern. The development process is carried out to form the photoresist film into a photoresist pattern having a specific shape. The portion of the exposure which is changed to have a property of dissolving in the developer is referred to as a positive type, and the portion of the photoresist which is changed to have a property of not being dissolved in the developer is referred to as a negative type.

近年來,於半導體元件或液晶顯示元件之製造中,伴隨微影蝕刻技術之進步而急速的邁向圖型之微細化。In recent years, in the manufacture of semiconductor elements or liquid crystal display elements, with the advancement of the lithography etching technology, the pattern has been rapidly refined.

微細化之方法,一般而言,為將曝光光源予以短波長化之方式進行。具體而言為,以往為使用g線、i線為代表之紫外線。但現在則開始使用KrF準分子雷射、或ArF 準分子雷射以進行半導體元件之量產。又,對於前述準分子雷射具有更短波長之F2 準分子雷射、電子線、EUV(極紫外線)或X線等亦已開始進行研究。The method of miniaturization is generally performed in such a manner as to shorten the wavelength of the exposure light source. Specifically, ultraviolet rays represented by g lines and i lines are conventionally used. However, KrF excimer lasers or ArF excimer lasers are now being used for mass production of semiconductor components. Further, F 2 excimer lasers, electron beams, EUV (extreme ultraviolet rays), or X-rays having shorter wavelengths for the aforementioned excimer laser have also been studied.

光阻材料,則尋求對於前述曝光光源具有感度,具有可重現微細尺寸圖型之解析性等微影蝕刻特性。可滿足前述要求之光阻材料,一般常用含有基於酸之作用使鹼可溶性產生變化之基礎樹脂,與經由曝光產生酸之酸產生劑之化學增幅型光阻。例如正型之化學增幅型光阻,其為含有作為基礎樹脂之基於酸之作用而增大鹼溶解性之樹脂,與酸產生劑成份,其於光阻圖型形成時,經由曝光使酸產生劑產生酸,而使曝光部形成鹼顯影液為可溶性。The photoresist material is intended to have sensitivity to the aforementioned exposure light source, and has lithographic etching characteristics such as resolution which can reproduce a fine-size pattern. The photoresist material which satisfies the above requirements generally has a chemically amplified photoresist which contains a base resin which changes the alkali solubility based on the action of an acid, and an acid generator which generates an acid by exposure. For example, a positive type chemically amplified photoresist is a resin containing an acid-based action as a base resin to increase alkali solubility, and an acid generator component which is formed by exposure to an acid when formed in a photoresist pattern. The agent generates an acid, and the exposed portion forms an alkali developer to be soluble.

目前為止,化學增幅型光阻之基礎樹脂為使用對KrF準分子雷射(248nm)具有高度透明性之聚羥基苯乙烯(PHS)或其被酸解離性之溶解抑制基所保護之樹脂(PHS系樹脂)。但是,PHS系樹脂,因具有苯環等芳香環,故對於248nm更短之波長,例如對於193nm之光線的透明性仍不充分。因此,使用PHS系樹脂作為基礎樹脂成份之化學增幅型光阻,例如對於使用193nm光線之製程,則仍有解析性較低等缺點。因此,目前,對於ArF準分子雷射微影蝕刻中所使用之光阻的基礎樹脂,為使其於193nm附近具有優良透明性,故一般多使用主鏈具有以(甲基)丙烯酸酯所衍生之結構單位之樹脂(丙烯酸系樹脂)。為正型之情形,前述樹脂主要為使用包含含有脂肪族多環式基之三級烷酯型酸解離性溶解抑制基之(甲基)丙烯酸酯所 衍生之結構單位、例如主要使用含有2-烷基-2-金剛烷基(甲基)丙烯酸酯等所衍生之結構單位的樹脂(例如專利文獻1)。The base resin of the chemically amplified photoresist is a polyhydroxystyrene (PHS) highly transparent to KrF excimer laser (248 nm) or a resin protected by an acid-dissociable dissolution inhibiting group (PHS). Resin). However, since the PHS-based resin has an aromatic ring such as a benzene ring, transparency to a shorter wavelength of 248 nm, for example, light of 193 nm is still insufficient. Therefore, a chemically amplified photoresist using a PHS-based resin as a base resin component has disadvantages such as low resolution, for example, a process using 193 nm light. Therefore, at present, the base resin for the photoresist used in the ArF excimer laser lithography etching has a good transparency in the vicinity of 193 nm, so that the main chain is generally derived from (meth) acrylate. The resin of the structural unit (acrylic resin). In the case of a positive type, the above-mentioned resin is mainly a (meth) acrylate which contains a tertiary alkyl ester type acid dissociable dissolution inhibiting group containing an aliphatic polycyclic group. The structural unit to be derived, for example, a resin mainly containing a structural unit derived from 2-alkyl-2-adamantyl (meth) acrylate or the like (for example, Patent Document 1).

又,「(甲基)丙烯酸酯(acrylic acid ester)」係指α位鍵結有氫原子之丙烯酸酯,與該α位鍵結甲基之甲基丙烯酸酯之一或二者之意。「(甲基)丙烯酸酯(acrylate)」係指α位鍵結有氫原子之丙烯酸酯,與該α位鍵結甲基之甲基丙烯酸酯之一或二者之意。「(甲基)丙烯酸」係指α位鍵結有氫原子之丙烯酸,與該α位鍵結甲基之甲基丙烯酸之一或二者之意。Further, "acrylic acid ester" means one or both of an acrylate having a hydrogen atom bonded to the α-position and a methyl methacrylate bonded to the α-position. "(Meth)acrylate" means an acrylate having a hydrogen atom bonded to the α-position, and one or both of the methyl methacrylate bonded to the α-position. "(Meth)acrylic acid" means one or both of acrylic acid having a hydrogen atom bonded to the α-position and one or both of the methyl methacrylate bonded to the α-position.

又,化學增幅型光阻中所使用之酸產生劑,目前已有各種各樣之物質被提出,例如碘鎓鹽或鋶鹽等鎓鹽系酸產生劑。Further, various acid-generating agents used in chemically amplified photoresists have been proposed, such as sulfonium-based acid generators such as iodonium salts or phosphonium salts.

〔專利文獻1〕特開2003-241385號公報[Patent Document 1] JP-A-2003-241385

上述鎓鹽系酸產生劑之陰離子部,目前,一般為使用全氟烷基磺酸離子。該陰離子之全氟烷基鏈,以其具有可抑制曝光後之酸的擴散等較長者為佳。但是,碳數6~10之全氟烷基鏈具有難分解性,於考慮生物體之囤積性及處理上之安全性等,而改用九氟丁烷磺酸離子等。因此,目前對光阻組成物用之酸產生劑急需一種合適之新穎化合物。The anion portion of the above sulfonium salt-based acid generator is generally a perfluoroalkylsulfonate ion. The perfluoroalkyl chain of the anion is preferably longer than the diffusion of an acid after exposure. However, a perfluoroalkyl chain having 6 to 10 carbon atoms is difficult to decompose, and a nonafluorobutanesulfonic acid ion or the like is used in consideration of conservability of a living body and safety in handling. Therefore, there is an urgent need for a suitable novel compound for the acid generator for the photoresist composition.

本發明,即是鑒於上記情事所提出者,而以提供一種 適合作為光阻組成物用之酸產生劑之新穎化合物及其製造方法,適合作為該化合物前驅物之化合物及其製造方法,酸產生劑、光阻組成物及光阻圖型之形成方法。The present invention is provided in view of the above-mentioned circumstances, to provide a A novel compound suitable as an acid generator for a photoresist composition and a method for producing the same, which are suitable as a compound of the precursor of the compound, a method for producing the same, a method for forming an acid generator, a photoresist composition, and a photoresist pattern.

為達上述目的,本發明為採用以下之構成。In order to achieve the above object, the present invention adopts the following constitution.

即,本發明之第一之態樣,為下述通式(b1-1)所表示之化合物(以下,亦稱為化合物(B1))。In other words, the first aspect of the present invention is a compound represented by the following formula (b1-1) (hereinafter, also referred to as a compound (B1)).

〔式中,R1 為可具有取代基之芳基或烷基,R3 為氫原子或烷基,n1為0或1,n1為1時,R1 及R3 可相互鍵結,與該R1 鍵結之碳原子及R3 鍵結之碳原子同時形成3~7員環構造之環,A為,可與該A鍵結之硫原子同時形成3~7員環構造之環的2價之基,前述環可具有取代基,R2 為可具有取代基之芳香族基、可具有取代基之碳數1~10之直鏈狀或支鏈狀之烷基、或為可具有取代基之碳數2~10之直鏈狀或支鏈狀之烯基,n為0或1,Y1 為可被氟取代之碳數1~4之伸烷基〕。 Wherein R 1 is an aryl group or an alkyl group which may have a substituent, R 3 is a hydrogen atom or an alkyl group, n1 is 0 or 1, and when n1 is 1, R 1 and R 3 may be bonded to each other, and The carbon atom of R 1 bond and the carbon atom of R 3 bond form a ring of 3 to 7 member ring structure at the same time, and A is a ring of 3 to 7 ring structure which can form a ring with the A bond. The valence group may have a substituent, and R 2 may be an aromatic group which may have a substituent, a linear or branched alkyl group having 1 to 10 carbon atoms which may have a substituent, or may have a substituent A linear or branched alkenyl group having 2 to 10 carbon atoms, n is 0 or 1, and Y 1 is an alkylene group having 1 to 4 carbon atoms which may be substituted by fluorine.

本發明之第二之態樣為,一種通式(b1-1-1)所表示之化合物之製造方法(以下,亦稱為化合物(B1-1)之製造方法),其為包含將下述通式(I)所表示之化合物,與下述通式(II)所表示之化合物,於銅觸媒下進行反應而製得下述通式(b1-1-1)所表示之化合物之步驟。The second aspect of the present invention is a method for producing a compound represented by the formula (b1-1-1) (hereinafter, also referred to as a method for producing the compound (B1-1)), which comprises the following The step of reacting a compound represented by the formula (I) with a compound represented by the following formula (II) under a copper catalyst to obtain a compound represented by the following formula (b1-1-1) .

〔式中,A為,可與該A鍵結之硫原子同時形成3~7員環構造之環的2價之基,前述環可具有取代基,R2 為可具有取代基之芳香族基、可具有取代基之碳數1~10之直鏈狀或支鏈狀之烷基,或為可具有取代基之碳數2~10之直鏈狀或支鏈狀之烯基,n為0或1,Y1 為可被氟取代之碳數1~4之伸烷基,R1 為各自獨立之可具有取代基之芳基或烷基〕。 Wherein A is a divalent group which can form a ring of a 3 to 7 member ring structure simultaneously with the sulfur atom bonded to the A bond, the ring may have a substituent, and R 2 is an aromatic group which may have a substituent a linear or branched alkyl group having 1 to 10 carbon atoms which may have a substituent, or a linear or branched alkenyl group having 2 to 10 carbon atoms which may have a substituent, n is 0. Or 1, Y 1 is an alkylene group having 1 to 4 carbon atoms which may be substituted by fluorine, and R 1 is an aryl group or an alkyl group which may have a substituent independently.

本發明之第三之態樣為,下述通式(I)所表示之化合物(以下,亦稱為化合物(I))。The third aspect of the present invention is a compound represented by the following formula (I) (hereinafter also referred to as a compound (I)).

〔式中,R2 為可具有取代基之芳香族基、可具有取代基之碳數1~10之直鏈狀或支鏈狀之烷基,或為可具有取代基之碳數2~10之直鏈狀或支鏈狀之烯基,n為0或1,Y1 為可被氟取代之碳數1~4之伸烷基,R1 為各自獨立之可 具有取代基之芳基或烷基〕。 [wherein R 2 is an aromatic group which may have a substituent, a linear or branched alkyl group having 1 to 10 carbon atoms which may have a substituent, or a carbon number of 2 to 10 which may have a substituent a linear or branched alkenyl group, n is 0 or 1, Y 1 is an alkylene group having 1 to 4 carbon atoms which may be substituted by fluorine, and R 1 is an independently aryl group which may have a substituent or alkyl〕.

本發明之第四之態樣為,下述通式(I)所表示之化合物之製造方法(以下,亦稱為化合物(I)之製造方法),其為包含將下述通式(I-1)所表示之化合物,與下述通式(1-2)所表示之化合物進行反應以製得下述通式(I)所表示之化合物之步驟。The fourth aspect of the present invention is a method for producing a compound represented by the following formula (I) (hereinafter also referred to as a method for producing the compound (I)), which comprises the following formula (I- 1) A step of reacting a compound represented by the following formula (1-2) with a compound represented by the following formula (1-2) to obtain a compound represented by the following formula (I).

〔式中,R2 為可具有取代基之芳香族基、可具有取代基之碳數1~10之直鏈狀或支鏈狀之烷基,或為可具有取代基之碳數2~10之直鏈狀或支鏈狀之烯基,n為0或1,Y1 為可被氟取代之碳數1~4之伸烷基,M 為鹼金屬離子,R1 為各自獨立之可具有取代基之芳基或烷基,R7 為烷基或氟化烷基〕。 [wherein R 2 is an aromatic group which may have a substituent, a linear or branched alkyl group having 1 to 10 carbon atoms which may have a substituent, or a carbon number of 2 to 10 which may have a substituent a linear or branched alkenyl group, n is 0 or 1, Y 1 is a C 1 to 4 alkyl group which may be substituted by fluorine, M + is an alkali metal ion, and R 1 is independently An aryl group or an alkyl group having a substituent, and R 7 is an alkyl group or a fluorinated alkyl group].

本發明之第五之態樣為,前述第一之態樣的化合物(B1)所形成之酸產生劑。The fifth aspect of the present invention is the acid generator formed by the compound (B1) of the first aspect.

本發明之第六之態樣為,一種光阻組成物,其為含有經由酸之作用而對鹼顯影液之溶解性發生變化之基材成份 (A),及經由曝光而產生酸之酸產生劑成份(B)之光阻組成物中,前述酸產生劑成份(B)為含有由下述通式(b1-1)所表示之化合物所形成之酸產生劑(B1)。A sixth aspect of the present invention is a photoresist composition which is a substrate component containing a change in solubility of an alkali developer via an action of an acid. (A), and a photoresist composition of the acid generator component (B) which generates an acid by exposure, the acid generator component (B) is a compound represented by the following formula (b1-1) An acid generator (B1) is formed.

〔式中,R1 為可具有取代基之芳基或烷基,R3 為氫原子或烷基,n1為0或1,n1為1時,R1 及R3 可相互鍵結,與該R1 鍵結之碳原子及R3 鍵結之碳原子同時形成3~7員環構造之環,A為,可與該A鍵結之硫原子同時形成3~7員環構造之環的2價之基,前述環可具有取代基,R2 為可具有取代基之芳香族基、可具有取代基之碳數1~10之直鏈狀或支鏈狀之烷基,或為可具有取代基之碳數2~10之直鏈狀或支鏈狀之烯基,n為0或1,Y1 為可被氟取代之碳數1~4之伸烷基〕。 [Wherein, R 1 is an optionally substituted aryl group or an alkyl group of, R 3 is a hydrogen atom or an alkyl group, N1 is 0 or 1, n1 is 1, R 1 and R 3 may be bonded to each other, and the The carbon atom of R 1 bond and the carbon atom of R 3 bond form a ring of 3 to 7 member ring structure at the same time, and A is a ring of 3 to 7 ring structure which can form a ring with the A bond. The valence group may have a substituent, and R 2 may be an aromatic group which may have a substituent, a linear or branched alkyl group having 1 to 10 carbon atoms which may have a substituent, or may have a substituent A linear or branched alkenyl group having 2 to 10 carbon atoms, n is 0 or 1, and Y 1 is an alkylene group having 1 to 4 carbon atoms which may be substituted by fluorine.

本發明之第七之態樣為,一種光阻圖型之形成方法,其為包含使用前述第六之態樣的光阻組成物於支撐體上形成光阻膜之步驟,使前述光阻膜曝光之步驟,及將前述光阻膜鹼顯影以形成光阻圖型之步驟。A seventh aspect of the present invention is a method for forming a photoresist pattern, which comprises the step of forming a photoresist film on a support by using the photoresist composition of the sixth aspect, and the photoresist film is formed. a step of exposing, and a step of alkali developing the aforementioned photoresist film to form a photoresist pattern.

本說明書及申請專利範圍中,「脂肪族」,係為相對於芳香族之相對概念,定義為不具有芳香族性之基、化合物等之意。In the present specification and the scope of the patent application, "aliphatic" is a relative concept with respect to aromatics, and is defined as a group or a compound having no aromaticity.

「脂肪族環式基」,係表示不具有芳香族之單環式基或多環式基。The "aliphatic cyclic group" means a monocyclic group or a polycyclic group which does not have an aromatic group.

「烷基」,於無特別限定下,為包含直鏈狀、支鏈狀及環狀之1價之飽和烴基之意。The "alkyl group" is a one which contains a linear, branched, and cyclic monovalent saturated hydrocarbon group, unless otherwise specified.

「伸烷基」,於無特別限定下,為包含直鏈狀、支鏈狀及環狀之2價之飽和烴基之意。The "alkylene group" is preferably a linear, branched or cyclic divalent saturated hydrocarbon group unless otherwise specified.

「低級烷基」,係指碳原子數1~5之烷基。"Lower alkyl" means an alkyl group having 1 to 5 carbon atoms.

「結構單位」係指,構成樹脂成份(聚合物)之單體單位(monomer單位)之意。"Structural unit" means the monomer unit (monomer unit) constituting the resin component (polymer).

「曝光」係包含放射線之全般照射之概念。"Exposure" is a concept that includes the full illumination of radiation.

本發明為提供一種適合作為光阻組成物用之酸產生劑之新穎化合物及其製造方法,適合作為該化合物前驅物之化合物及其製造方法,酸產生劑、光阻組成物及光阻圖型之形成方法。The present invention provides a novel compound suitable as an acid generator for a photoresist composition and a method for producing the same, and a compound suitable as a precursor of the compound and a method for producing the same, an acid generator, a photoresist composition and a photoresist pattern The method of formation.

化合物(B1) Compound (B1)

本發明之第一之態樣的化合物(B1)係如前述通式(b1-1)所表示者。The compound (B1) of the first aspect of the present invention is represented by the above formula (b1-1).

式(b1-1)中,n1為0或1。n1為0之情形,化合物(B1)為以下述通式(b1-1-1)所表示。n1為1之情形,化合物(B1)為以下述通式(b1-1-2)所表示。In the formula (b1-1), n1 is 0 or 1. When n1 is 0, the compound (B1) is represented by the following formula (b1-1-1). In the case where n1 is 1, the compound (B1) is represented by the following formula (b1-1-2).

〔式中,R1 、R3 、A、R2 、n、Y1 分別與式(b1-1)中之R1 、R3 、A、R2 、n、Y1 為相同之內容〕。 Wherein R 1 , R 3 , A, R 2 , n, and Y 1 are the same as those of R 1 , R 3 , A, R 2 , n, and Y 1 in the formula (b1-1), respectively.

R1 之芳基,並未有特別限制,例如,碳數6~20之芳基等。該芳基,就可廉價合成等觀點,以碳數6~10之芳基為佳,具體而言,例如苯基、萘基等。The aryl group of R 1 is not particularly limited, and examples thereof include an aryl group having 6 to 20 carbon atoms. The aryl group is preferably an aryl group having 6 to 10 carbon atoms from the viewpoint of inexpensive synthesis, and specifically, for example, a phenyl group or a naphthyl group.

該芳基可具有取代基。其中,芳基具有取代基之意,為無取代之芳基的氫原子之一部份或全部被取代基(氫原子以外之基或原子)所取代之意。The aryl group may have a substituent. Here, the aryl group has a substituent, and a part or all of a hydrogen atom which is an unsubstituted aryl group is partially substituted by a substituent (a group or an atom other than a hydrogen atom).

芳基可具有之取代基,例如,烷基、烷氧基、鹵素原子、鹵化烷基、羥基等。The aryl group may have a substituent such as an alkyl group, an alkoxy group, a halogen atom, a halogenated alkyl group, a hydroxyl group or the like.

作為前述芳基之取代基的烷基,以碳數1~5之烷基為佳,以甲基、乙基、丙基、n-丁基、tert-丁基為最佳。The alkyl group as a substituent of the above aryl group is preferably an alkyl group having 1 to 5 carbon atoms, and most preferably a methyl group, an ethyl group, a propyl group, an n-butyl group or a tert-butyl group.

作為前述芳基之取代基的烷氧基,以碳數1~5之烷氧基為佳,例如甲氧基、乙氧基、丙氧基、n-丁氧基、tert-丁氧基等。The alkoxy group as a substituent of the above aryl group is preferably an alkoxy group having 1 to 5 carbon atoms, such as a methoxy group, an ethoxy group, a propoxy group, an n-butoxy group, a tert-butoxy group or the like. .

作為前述芳基之取代基的烷氧基,以碳數1~5之烷氧基為佳,以甲氧基、乙氧基、n-丙氧基、iso-丙氧基、n-丁氧基、tert-丁氧基為佳,又以甲氧基、乙氧基為最佳 。The alkoxy group as a substituent of the above aryl group is preferably an alkoxy group having 1 to 5 carbon atoms, and a methoxy group, an ethoxy group, an n-propoxy group, an iso-propoxy group, and an n-butoxy group. Base, tert-butoxy is preferred, and methoxy and ethoxy are preferred. .

作為前述芳基之取代基的鹵素原子,例如氟原子、氯原子、溴原子、碘原子等,又以氟原子為佳。The halogen atom as a substituent of the above aryl group, for example, a fluorine atom, a chlorine atom, a bromine atom, an iodine atom or the like, is preferably a fluorine atom.

作為前述芳基之取代基的鹵化烷基,例如前述烷基之氫原子的一部份或全部被前述鹵素原子所取代之基等。The halogenated alkyl group as a substituent of the above aryl group, for example, a part or all of a hydrogen atom of the above alkyl group is substituted by the above halogen atom.

R1 之烷基並未有特別限制,例如碳數1~10之直鏈狀、支鏈狀或環狀之烷基等。就具有優良解析性等觀點,以碳數1~5。具體而言,例如甲基、乙基、n-丙基、異丙基、n-丁基、異丁基、n-戊基、環戊基、己基、環己基、壬基、癸基等。就具有優良解析性,且可廉價合成之物質而言,例如甲基等。The alkyl group of R 1 is not particularly limited, and examples thereof include a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms. From the viewpoint of excellent resolution, the carbon number is 1 to 5. Specifically, for example, a methyl group, an ethyl group, an n-propyl group, an isopropyl group, an n-butyl group, an isobutyl group, an n-pentyl group, a cyclopentyl group, a hexyl group, a cyclohexyl group, an anthracenyl group, an anthracenyl group and the like. For a substance having excellent resolution and being inexpensively synthesized, for example, a methyl group or the like.

該烷基可具有取代基。其中,烷基具有取代基之意,係指無取代之烷基的氫原子之一部份或全部被取代基(氫原子以外之基或原子)所取代之意。The alkyl group may have a substituent. Wherein the alkyl group has a substituent means that a part or the whole of one of the hydrogen atoms of the unsubstituted alkyl group is substituted by a substituent (a group or an atom other than a hydrogen atom).

烷基可具有之取代基,例如,烷氧基、鹵素原子、羥基等。該烷氧基、鹵素原子分別與前述芳基之可具有之取代基中所列舉之烷氧基、鹵素原子為相同之內容。The alkyl group may have a substituent such as an alkoxy group, a halogen atom, a hydroxyl group or the like. The alkoxy group and the halogen atom are the same as those of the alkoxy group and the halogen atom exemplified as the substituent which the aryl group may have.

本發明中,R1 以可具有取代基之芳基為佳,可具有取代基之苯基或萘基為更佳,可具有取代基之苯基為最佳。In the present invention, R 1 is preferably an aryl group which may have a substituent, and a phenyl group or a naphthyl group which may have a substituent is more preferable, and a phenyl group which may have a substituent is preferable.

R3 之烷基,並未有特別限制,例如可為與前述R1 之烷基為相同之內容。The alkyl group of R 3 is not particularly limited, and for example, it may be the same as the alkyl group of the above R 1 .

本發明中,R3 以氫原子或甲基為佳,又以氫原子為更佳。In the present invention, R 3 is preferably a hydrogen atom or a methyl group, and more preferably a hydrogen atom.

n1為1之情形,式中之R1 及R3 可相互鍵結,與該 R1 鍵結之碳原子及R3 鍵結之碳原子同時形成3~7員環構造之環。該環以5~7員環構造為佳,又以5或6員環構造為更佳。In the case where n1 is 1, R 1 and R 3 in the formula may be bonded to each other, and a carbon atom bonded to the R 1 and a carbon atom bonded to R 3 simultaneously form a ring of a 3 to 7 member ring structure. The ring is preferably constructed with a 5 to 7 member ring and is preferably constructed with a 5 or 6 member ring.

A為,可與該A鍵結之硫原子同時形成3~7員環構造之環的2價之基,前述環可具有取代基。A is a divalent group which forms a ring of a 3 to 7 member ring structure simultaneously with the sulfur atom bonded to the A, and the ring may have a substituent.

A中,前述環以5~7員環構造者為佳,又以5或6員環構造者為更佳。In A, the ring is preferably a 5-7 member ring structure, and a 5 or 6 member ring structure is preferred.

前述環可具有之取代基,例如與前述R1 之芳基可具有之取代基中所列舉之內容為相同之內容。The above ring may have a substituent, for example, the same as those recited in the substituent which the aryl group of the above R 1 may have.

化合物(B1)之陽離子部,特別是以下述通式(b1’-1)或(b1’-2)所表示之陽離子部為佳。The cationic portion of the compound (B1) is particularly preferably a cationic moiety represented by the following formula (b1'-1) or (b1'-2).

式中,R8 及R9 為各自獨立之可具有取代基之苯基、萘基或碳數1~5之烷基。該烷基又以甲基為最佳。In the formula, R 8 and R 9 are each independently a phenyl group, a naphthyl group or an alkyl group having 1 to 5 carbon atoms which may have a substituent. The alkyl group is preferably a methyl group.

a為1~3之整數,又以1或2為最佳。a is an integer from 1 to 3, and 1 or 2 is the best.

式(b1-1)中,R2 之芳香族基,可為僅由碳原子及氫原子所形成之烴基,或含有碳原子、氫原子及其以外之雜原子的含雜原子之基亦可。具體而言,例如例如苯基、聯苯基(biphenyl)、芴基(fluorenyl)、萘基、蒽基(anthryl)基、菲基等之芳香族烴之環去除1個氫原子之芳基,及構成前述芳基之環的碳原子之一部份被氧原子、硫 原子、氮原子等雜原子取代所得之雜芳基等。例如苄基、苯乙基、1-萘基甲基、2-萘基甲基、1-萘基乙基、2-萘基乙基等芳烷基等。In the formula (b1-1), the aromatic group of R 2 may be a hydrocarbon group formed only of a carbon atom and a hydrogen atom, or a hetero atom-containing group containing a carbon atom, a hydrogen atom or a hetero atom other than the same. . Specifically, for example, a ring of an aromatic hydrocarbon such as a phenyl group, a biphenyl group, a fluorenyl group, a naphthyl group, an anthyl group or a phenanthryl group removes an aryl group of one hydrogen atom. And a heteroaryl group obtained by substituting a part of a carbon atom of the ring of the aryl group with a hetero atom such as an oxygen atom, a sulfur atom or a nitrogen atom. For example, an aralkyl group such as a benzyl group, a phenethyl group, a 1-naphthylmethyl group, a 2-naphthylmethyl group, a 1-naphthylethyl group or a 2-naphthylethyl group.

前述芳烷基中之烷鏈的碳數,以1~4為佳,以1~2為更佳,以1為最佳。The carbon number of the alkyl chain in the aralkyl group is preferably 1 to 4, more preferably 1 to 2, and most preferably 1 is used.

R2 之芳香族基,可具有取代基。該取代基,例如與前述R1 之芳基可具有之取代基所列舉之內容為相同之內容。The aromatic group of R 2 may have a substituent. The substituent is, for example, the same as those exemplified for the substituent which the aryl group of the above R 1 may have.

R2 中,碳數1~10之直鏈狀之烷基,例如,甲基、乙基、丙基、丁基、戊基、己基、庚基、辛基、壬基、癸基等。其中又以甲基為佳。In R 2 , a linear alkyl group having 1 to 10 carbon atoms, for example, a methyl group, an ethyl group, a propyl group, a butyl group, a pentyl group, a hexyl group, a heptyl group, an octyl group, a decyl group or a fluorenyl group. Among them, methyl is preferred.

碳數1~10之支鏈狀之烷基,例如,1-甲基乙基、1-甲基丙基、2-甲基丙基、1-甲基丁基、2-甲基丁基、3-甲基丁基、1-乙基丁基、2-乙基丁基、1-甲基戊基、2-甲基戊基、3-甲基戊基、4-甲基戊基等。a branched alkyl group having 1 to 10 carbon atoms, for example, 1-methylethyl, 1-methylpropyl, 2-methylpropyl, 1-methylbutyl, 2-methylbutyl, 3-methylbutyl, 1-ethylbutyl, 2-ethylbutyl, 1-methylpentyl, 2-methylpentyl, 3-methylpentyl, 4-methylpentyl, and the like.

R2 之烷基可具有取代基。該取代基例如與前述R1 之烷基可具有之取代基所列舉之內容為相同之內容。The alkyl group of R 2 may have a substituent. The substituent is, for example, the same as those exemplified for the substituent which the alkyl group of the above R 1 may have.

R2 之碳數2~10之直鏈狀或支鏈狀之烯基,以碳數2~5為佳,以2~4為更佳,以3為最佳。具體而言,例如,乙烯基、丙烯基(烯丙基)、丁烯基、1-甲基丙烯基、2-甲基丙烯基等,特別是以丙烯基為佳。The linear or branched alkenyl group having 2 to 10 carbon atoms of R 2 is preferably 2 to 5 carbon atoms, more preferably 2 to 4 carbon atoms, and most preferably 3 carbon atoms. Specifically, for example, a vinyl group, an allyl group (allyl), a butenyl group, a 1-methylpropenyl group, a 2-methylpropenyl group or the like is preferable, and a propylene group is particularly preferable.

R2 之烯基可具有取代基。該取代基,例如與前述R1 之烷基可具有之取代基所列舉之內容為相同之內容。The alkenyl group of R 2 may have a substituent. The substituent is, for example, the same as those exemplified for the substituent which the alkyl group of the above R 1 may have.

其中,R2 中,「可具有取代基之」係指前述芳香族烴 基、直鏈狀或支鏈狀之烷基,或直鏈狀或支鏈狀之烯基中,氫原子之一部份或全部可被取代基(氫原子以外之其他原子或基)所取代之意。Here, in R 2 , "may have a substituent" means an aromatic hydrocarbon group, a linear or branched alkyl group, or a linear or branched alkenyl group, and a part of a hydrogen atom. Or all may be replaced by a substituent (an atom other than a hydrogen atom or a group).

R2 中,取代基之數可為1個,或為2個以上亦可。In R 2 , the number of the substituents may be one or two or more.

n可為0,或1亦可。n can be 0, or 1 can also be.

Y1 為可被氟取代之碳數1~4之伸烷基。Y 1 is an alkylene group having 1 to 4 carbon atoms which may be substituted by fluorine.

Y1 之可被氟取代之碳數1~4之伸烷基,例如-CF2 -、-CF2 CF2 -、-CF2 CF2 CF2 -、-CF(CF3 )CF2 -、-CF(CF2 CF3 )-、-C(CF3 )2 -、-CF2 CF2 CF2 CF2 -、-CF(CF3 )CF2 CF2 -、-CF2 CF(CF3 )CF2 -、-CF(CF3 )CF(CF3 )-、-C(CF3 )2 CF2 -、-CF(CF2 CF3 )CF2 -、-CF(CF2 CF2 CF3 )-、-C(CF3 )(CF2 CF3 )-;-CHF-、CH2 CF2 -、-CH2 CH2 CF2 -、-CH2 CF2 CF2 -、-CH(CF3 )CH2 -、-CH(CF2 CF3 )-、-C(CH3 )(CF3 )-、-CH2 CH2 CH2 CF2 -、-CH2 CH2 CF2 CF2 -、-CH(CF3 )CH2 CH2 -、-CH2 CH(CF3 )CH2 -、-CH(CF3 )CH(CF3 )-、-C(CF3 )2 CH2 -;-CH2 -、-CH2 CH2 -、-CH2 CH2 CH2 -、-CH(CH3 )CH2 -、-CH(CH2 CH3 )-、-C(CH3 )2 -、-CH2 CH2 CH2 CH2 -、-CH(CH3 )CH2 CH2 -、-CH2 CH(CH3 )CH2 -、-CH(CH3 )CH(CH3 )-、-C(CH3 )2 CH2 -、-CH(CH2 CH3 )CH2 -、-CH(CH2 CH2 CH3 )-、-C(CH3 )(CH2 CH3 )-等。a alkylene group of Y 1 which may be substituted by fluorine and having a carbon number of 1 to 4, such as -CF 2 -, -CF 2 CF 2 -, -CF 2 CF 2 CF 2 -, -CF(CF 3 )CF 2 -, -CF(CF 2 CF 3 )-, -C(CF 3 ) 2 -, -CF 2 CF 2 CF 2 CF 2 -, -CF(CF 3 )CF 2 CF 2 -, -CF 2 CF(CF 3 ) CF 2 -, -CF(CF 3 )CF(CF 3 )-, -C(CF 3 ) 2 CF 2 -, -CF(CF 2 CF 3 )CF 2 -, -CF(CF 2 CF 2 CF 3 ) -, -C(CF 3 )(CF 2 CF 3 )-; -CHF-, CH 2 CF 2 -, -CH 2 CH 2 CF 2 -, -CH 2 CF 2 CF 2 -, -CH(CF 3 ) CH 2 -, -CH(CF 2 CF 3 )-, -C(CH 3 )(CF 3 )-, -CH 2 CH 2 CH 2 CF 2 -, -CH 2 CH 2 CF 2 CF 2 -, -CH (CF 3 )CH 2 CH 2 -, -CH 2 CH(CF 3 )CH 2 -, -CH(CF 3 )CH(CF 3 )-, -C(CF 3 ) 2 CH 2 -; -CH 2 - , -CH 2 CH 2 -, -CH 2 CH 2 CH 2 -, -CH(CH 3 )CH 2 -, -CH(CH 2 CH 3 )-, -C(CH 3 ) 2 -, -CH 2 CH 2 CH 2 CH 2 -, -CH(CH 3 )CH 2 CH 2 -, -CH 2 CH(CH 3 )CH 2 -, -CH(CH 3 )CH(CH 3 )-, -C(CH 3 ) 2 CH 2 -, - CH ( CH 2 CH 3) CH 2 -, CH (CH 2 CH 2 CH 3 ) -, - C (CH 3) (CH 2 CH 3) - and the like.

又,Y1 ,以可被氟取代之碳數1~4之伸烷基(氟化伸烷基)為佳,特別是鍵結於鄰接之硫原子的碳原子被氟化之氟化伸烷基為佳。前述氟化伸烷基,例如-CF2 -、-CF2 CF2 -、-CF2 CF2 CF2 、-CF(CF3 )CF2 -、-CF2 CF2 CF2 CF2 - 、-CF(CF3 )CF2 CF2 -、-CF2 CF(CF3 )CF2 -、-CF(CF3 )CF(CF3 )-、-C(CF3 )2 CF2 -、-CF(CF2 CF3 )CF2 -;-CH2 CF2 -、-CH2 CH2 CF2 -、-CH2 CF2 CF2 -;-CH2 CH2 CH2 CF2 -、-CH2 CH2 CF2 CF2 -、-CH2 CF2 CF2 CF2 -等。Further, Y 1 is preferably an alkylene group (fluorinated alkyl group) having 1 to 4 carbon atoms which may be substituted by fluorine, particularly a fluorinated alkylene group which is bonded to a carbon atom adjacent to a sulfur atom. The base is good. The above-mentioned fluorinated alkyl group, for example, -CF 2 -, -CF 2 CF 2 -, -CF 2 CF 2 CF 2 , -CF(CF 3 )CF 2 -, -CF 2 CF 2 CF 2 CF 2 - , CF(CF 3 )CF 2 CF 2 -, -CF 2 CF(CF 3 )CF 2 -, -CF(CF 3 )CF(CF 3 )-, -C(CF 3 ) 2 CF 2 -, -CF( CF 2 CF 3 )CF 2 -; -CH 2 CF 2 -, -CH 2 CH 2 CF 2 -, -CH 2 CF 2 CF 2 -; -CH 2 CH 2 CH 2 CF 2 -, -CH 2 CH 2 CF 2 CF 2 -, -CH 2 CF 2 CF 2 CF 2 -, and the like.

其中又以-CF2 CF2 -、-CF2 CF2 CF2 -,或CH2 CF2 CF2 -為佳,以-CF2 CF2 -或-CF2 CF2 CF2 -為更佳,以-CF2 CF2 -為最佳。Further, -CF 2 CF 2 -, -CF 2 CF 2 CF 2 -, or CH 2 CF 2 CF 2 - is preferred, and -CF 2 CF 2 - or -CF 2 CF 2 CF 2 - is more preferred. It is most preferred to use -CF 2 CF 2 -.

本發明中,化合物(B1)之陰離子部,以下述通式(b1”-1)所表示之陰離子部為佳。In the present invention, the anion portion of the compound (B1) is preferably an anion portion represented by the following formula (b1"-1).

〔式中,Y1 係與前述為相同之內容,R53 為碳數2~10之烯基或芳基,R54 為碳數1~5之直鏈狀或支鏈狀之伸烷基〕。 [wherein Y 1 is the same as defined above, R 53 is an alkenyl group or an aryl group having 2 to 10 carbon atoms, and R 54 is a linear or branched alkyl group having 1 to 5 carbon atoms] .

R53 以乙烯基、苯基或萘基為佳,又以乙烯基或萘基為更佳。R 53 is preferably a vinyl group, a phenyl group or a naphthyl group, and more preferably a vinyl group or a naphthyl group.

R54 以碳數1~5之直鏈狀之伸烷基為佳,以伸甲基為最佳。R 54 is preferably a linear alkyl group having 1 to 5 carbon atoms, and the methyl group is most preferred.

本發明之化合物(B1),以由前述通式(b1’-1)或(b1’-2)所表示之陽離子部,與前述通式(b1”-1)所表示之陰離子部所形成之化合物為佳。The compound (B1) of the present invention is formed by the cation portion represented by the above formula (b1'-1) or (b1'-2) and the anion portion represented by the above formula (b1"-1). The compound is preferred.

<化合物(B1)之製造方法><Method for Producing Compound (B1)>

本發明之化合物(B1)之製造方法,並未有特別限定,其可使用公知之鋶鹽之製造方法。The method for producing the compound (B1) of the present invention is not particularly limited, and a known method for producing a phosphonium salt can be used.

例如,下述通式(b0-1)所表示之化合物(b0-1)與,下述通式(b0-2)所表示之化合物(b0-2)進行反應即可製得化合物(B1)。For example, a compound (b0-1) represented by the following formula (b0-1) and a compound (b0-2) represented by the following formula (b0-2) can be reacted to obtain a compound (B1). .

〔式中、R2 、n、Y1 、A、R3 、n1、R1 為分別與式(b1-1)中之R2 、n、Y1 、A、R3 、n1、R1 為相同之內容。M 為鹼金屬離子,Xh 為鹵素離子〕。 [wherein, R 2 , n, Y 1 , A, R 3 , n1, and R 1 are each independently of R 2 , n, Y 1 , A, R 3 , n1, and R 1 in the formula (b1-1). The same content. M + is an alkali metal ion and Xh - is a halogen ion.

M 之鹼金屬離子例如,鈉離子、鋰離子、鉀離子等,又以鈉離子或鋰離子為佳。The metal ion of M + is , for example, sodium ion, lithium ion, potassium ion or the like, and sodium ion or lithium ion is preferred.

Xh 之鹵素離子,例如氯離子、溴離子、碘離子等,又以氯離子或溴離子為佳。Xh - a halogen ion, such as a chloride ion, a bromide ion, an iodide ion, etc., preferably a chloride ion or a bromide ion.

化合物(b0-1),與化合物(b0-2),例如,可將前述化合物於水、二氯甲烷等溶劑中予以接觸以進行反應。The compound (b0-1) and the compound (b0-2) can be reacted, for example, by contacting the above compound in a solvent such as water or dichloromethane.

化合物(b0-1)之製造方法並未有特別限定,例如,將下述通式(b0-1-11)所表示之化合物,於四氫呋喃、水等之溶劑中,與氫氧化鈉、氫氧化鋰等之鹼金屬氫氧化物之水溶液中進行反應,以形成下述通式(b0-1-12)所表示之化合物後,將該化合物於苯、二氯乙烷等有機溶劑中, 於酸性觸媒之存在下,與下述通式(b0-1-13)所表示之醇進行脫水縮合,而製得前述通式(b0-1)中,n為1之化合物(下述通式(b0-1-01)所表示之化合物)。The method for producing the compound (b0-1) is not particularly limited. For example, a compound represented by the following formula (b0-1-11) is dissolved in a solvent such as tetrahydrofuran or water with sodium hydroxide or hydroxide. The reaction is carried out in an aqueous solution of an alkali metal hydroxide such as lithium to form a compound represented by the following formula (b0-1-12), and the compound is then dissolved in an organic solvent such as benzene or dichloroethane. Dehydration condensation with an alcohol represented by the following formula (b0-1-13) in the presence of an acidic catalyst to obtain a compound of the above formula (b0-1) wherein n is 1 (hereinafter referred to as a compound represented by the formula (b0-1-01)).

〔式中,R21 為碳數1~5之烷基,Y1 、M 、R2 分別與式(b0-1)中之Y1 、M 、R2 為相同之內容,Xh 為與式(b0-2)中之Xh 為相同之內容〕。 [Wherein, R 21 is alkyl of 1 to 5, Y 1, M +, R 2 respectively of the formula (b0-1) Y 1, M + , R 2 is the same as the contents, Xh - is It is the same as Xh - in the formula (b0-2).

又,例如,氟化銀,與下述通式(b0-1-01)所表示之化合物,與下述通式(b0-1-02)所表示之化合物,於無水二甘二甲醚等有機溶劑中進行反應,以製得下述通式(b0-1-03)所表示之化合物,再將該化合物於四氫呋喃、丙酮、甲基乙基酮等有機溶劑中,與氫氧化鈉、氫氧化鋰等鹼金屬氫氧化物進行反應,即製得前述通式(b0-1)中n為0之化合物(下述通式(b0-1-0)所表示之化合物)。Further, for example, silver fluoride, a compound represented by the following formula (b0-1-01), and a compound represented by the following formula (b0-1-02), in anhydrous diglyme, etc. The reaction is carried out in an organic solvent to obtain a compound represented by the following formula (b0-1-03), which is then dissolved in an organic solvent such as tetrahydrofuran, acetone or methyl ethyl ketone with sodium hydroxide or hydrogen. An alkali metal hydroxide such as lithium oxide is reacted to obtain a compound of the above formula (b0-1) wherein n is 0 (a compound represented by the following formula (b0-1-0)).

〔式中,Y1 、M 、R2 分別與式(b0-1)中之Y1 、M 、R2 為相同之內容,Xh 為與式(b0-2)中之Xh 為相同之內容〕。 [Wherein, Y 1, M +, R 2 respectively of the formula (b0-1) Y 1, M + , R 2 is the same as the contents, Xh - with the formula (b0-2) in the Xh - is The same content].

化合物(b0-2)之製造方法並未有特別限定,例如,可將下述通式(b0-2-1)所表示之化合物之硫原子,以公知之方法,導入-〔CH(R3 )-CO〕n1 -R1 所表示之基之方式而製得。The method for producing the compound (b0-2) is not particularly limited. For example, a sulfur atom of a compound represented by the following formula (b0-2-1) can be introduced into -[CH(R 3 ) by a known method. ) -CO] is obtained by the method represented by n1 - R 1 .

具體之例示如,例如以n1為0之情形作為例示時,將下述通式(b0-2-1)所表示之化合物氧化,使該化合物中之-S-部份作為-S(=O)-,將其於氯化鋁等觸媒之存在下,與苯等芳香族烴,或甲烷等鏈烷進行反應,而製得前述通式(b0-2)中n1為0之化合物。n1為1之情形,例如,可使用市售之之溴化物等。Specifically, for example, when the case where n1 is 0 is exemplified, the compound represented by the following formula (b0-2-1) is oxidized, and the -S- moiety in the compound is taken as -S(=O). In the presence of a catalyst such as aluminum chloride, it is reacted with an aromatic hydrocarbon such as benzene or an alkane such as methane to obtain a compound of the above formula (b0-2) wherein n1 is 0. In the case where n1 is 1, for example, a commercially available bromide or the like can be used.

〔式中,A係與前述內容為相同之內容〕。 [In the formula, the A system is the same as the above content].

n1為0之情形,即,化合物(B1)為前述通式(b-1-1)所表示之化合物(以下,亦稱為化合物(B1-1))之情形,該化合物(B1-1)之製造方法,以使用後述本發明之化合物(B1-1)的製造方法為佳。When n1 is 0, that is, the compound (B1) is a compound represented by the above formula (b-1-1) (hereinafter, also referred to as a compound (B1-1)), and the compound (B1-1) The production method is preferably a method for producing the compound (B1-1) of the present invention to be described later.

化合物(B1-1)之製造方法 Method for producing compound (B1-1)

本發明之第二之態樣的化合物(B1-1)之製造方法(以下,亦稱為製造方法(1)),為包含將下述通式(I) 所表示之化合物(以下,亦稱為化合物(I)),與,下述通式(II)所表示之化合物(以下,亦稱為化合物(II)),與銅觸媒進行反應,以製得下述通式(b1-1-1)所表示之化合物(B1-1)之步驟。The method for producing the compound (B1-1) of the second aspect of the present invention (hereinafter also referred to as the production method (1)) includes the following general formula (I) The compound (hereinafter also referred to as the compound (I)) and the compound represented by the following formula (II) (hereinafter also referred to as the compound (II)) are reacted with a copper catalyst to produce The step of the compound (B1-1) represented by the following formula (b1-1-1) is obtained.

進行該些步驟時,即可於化合物(II)之硫原子導入化合物(I)中之R1 ,以形成鋶離子,使該鋶離子與化合物(I)之陰離子部形成鹽而製得化合物(B1-1)。When these steps are carried out, R 1 in the compound (I) can be introduced into the sulfur atom of the compound (II) to form a cerium ion, and the cerium ion is formed into a salt with the anion portion of the compound (I) to prepare a compound ( B1-1).

〔式中,A為,可與該A鍵結之硫原子同時形成3~7員環構造之環的2價之基,前述環可具有取代基,R2 為可具有取代基之芳香族烴基、可具有取代基之碳數1~10之直鏈狀或支鏈狀之烷基,或為可具有取代基之碳數2~10之直鏈狀或支鏈狀之烯基,n為0或1,Y1 為可被氟取代之碳數1~4之伸烷基,R1 為各自獨立之可具有取代基之芳基或烷基〕。 Wherein A is a divalent group which can form a ring of a 3 to 7 member ring structure simultaneously with the sulfur atom bonded to the A, the ring may have a substituent, and R 2 is an aromatic hydrocarbon group which may have a substituent a linear or branched alkyl group having 1 to 10 carbon atoms which may have a substituent, or a linear or branched alkenyl group having 2 to 10 carbon atoms which may have a substituent, n is 0. Or 1, Y 1 is an alkylene group having 1 to 4 carbon atoms which may be substituted by fluorine, and R 1 is an aryl group or an alkyl group which may have a substituent independently.

式中,A、R2 、n、Y1 、R1 為各自獨立,且與前述通式(b-1)中之A、R2 、n、Y1 、R1 為相同之內容。In the formula, A, R 2 , n, Y 1 and R 1 are each independently, and are the same as those of A, R 2 , n, Y 1 and R 1 in the above formula (b-1).

其中所使用之化合物(I)為新穎化合物。該化合物 (I),例如,可使用後述化合物(I)之製造方法予以製造。The compound (I) used therein is a novel compound. The compound (I), for example, can be produced by the production method of the compound (I) described later.

化合物(Il),可使用市售之物品,或合成之物皆可。As the compound (Il), a commercially available article or a synthetic product can be used.

銅觸媒,以使用二價之銅觸媒為佳,具體而言,例如下述通式(III)所表示之化合物(以下,亦稱化合物(III))等。The copper catalyst is preferably a copper catalyst which is a divalent, and, for example, a compound represented by the following formula (III) (hereinafter also referred to as a compound (III)).

〔式中,R6 為可具有取代基之芳基〕。 [wherein R 6 is an aryl group which may have a substituent].

式中,R6 之可具有取代基之芳基,例如與前述R1 之可具有取代基之芳基所列舉之內容為相同之內容。In the formula, the aryl group which may have a substituent of R 6 is, for example, the same as those exemplified for the aryl group which may have a substituent of the above R 1 .

化合物(III),具體而言,例如苯甲酸銅(II)等。The compound (III), specifically, for example, copper (II) benzoate or the like.

化合物(III),可使用市售之物品。As the compound (III), commercially available articles can be used.

化合物(I),與化合物(II),與銅觸媒進行反應之方法,並未有特別限定,例如,可將化合物(I)與,化合物(II),與銅觸媒於反應溶劑中進行反應等方法。The method of reacting the compound (I) with the compound (II) and the copper catalyst is not particularly limited. For example, the compound (I) and the compound (II) can be reacted with a copper catalyst in a reaction solvent. Reaction and other methods.

反應溶劑,只要可溶解原料之溶劑即可,具體而言,例如氯基苯、甲苯等。The reaction solvent may be any solvent which can dissolve the raw material, and specifically, for example, chlorobenzene or toluene.

反應溫度以50~150℃為佳,以90~120℃為更佳。The reaction temperature is preferably 50 to 150 ° C, more preferably 90 to 120 ° C.

反應時間,依化合物(I)及化合物(II)之反應性或反應溫度等而有所不同,通常以10~180分鐘為佳,以30~90分鐘為更佳。The reaction time varies depending on the reactivity of the compound (I) and the compound (II), the reaction temperature, etc., and is usually preferably from 10 to 180 minutes, more preferably from 30 to 90 minutes.

化合物(II)之使用量,相對於化合物(I),以使用 約0.5~3莫耳當量為佳,以0.9~1.5莫耳當量為更佳。The amount of the compound (II) used is relative to the compound (I). Approximately 0.5 to 3 mole equivalents are preferred, and 0.9 to 1.5 mole equivalents are preferred.

銅觸媒之使用量,相對於化合物(I),以使用約0.01~0.5莫耳當量為佳,以0.02~0.1莫耳當量為更佳。The amount of the copper catalyst to be used is preferably from about 0.01 to 0.5 mol equivalents, more preferably from 0.02 to 0.1 mol equivalents, based on the compound (I).

依上述步驟所得化合物(B1-1)之構造,可使用1 H-核磁共振(NMR)圖譜法、13 C-NMR圖譜法、19 F-NMR圖譜法、紅外線吸收(IR)圖譜法、質量分析(MS)法、元素分析法、X線結晶繞射法等一般有機分析法予以確認。According to the structure of the compound (B1-1) obtained in the above step, 1 H-nuclear magnetic resonance (NMR) spectroscopy, 13 C-NMR spectroscopy, 19 F-NMR spectroscopy, infrared absorption (IR) spectroscopy, mass analysis can be used. General organic analysis methods such as (MS) method, elemental analysis method, and X-ray crystal diffraction method are confirmed.

化合物(I) Compound (I)

本發明之第三之態樣的化合物(I),係以前述通式(I)所表示。The compound (I) of the third aspect of the present invention is represented by the above formula (I).

式(I)中,R2 、n、Y1 、R1 分別與前述通式(b1-1)中之R2 、n、Y1 、R1 為相同之內容。In the formula (I), R 2 , n, Y 1 and R 1 are the same as those of R 2 , n, Y 1 and R 1 in the above formula (b1-1).

化合物(I),可作為前述化合物(B1-1)之前驅物,亦適用於前述化合物(B1-1)之製造方法(1)。The compound (I) can be used as a precursor of the above compound (B1-1), and is also suitable for the production method (1) of the above compound (B1-1).

又,化合物(I),其本身可作為酸產生劑使用,故可以酸產生劑之方式添加於光阻組成物中。Further, since the compound (I) itself can be used as an acid generator, it can be added to the photoresist composition as an acid generator.

化合物(I)之製造方法 Method for producing compound (I)

本發明之第四之態樣的化合物(I)之製造方法,例如包含將下述通式(I-1)所表示之化合物(以下,亦稱為化合物(I-1)),與下述通式(I-2)所表示之化合物(以下,亦稱為化合物(I-2))進行反應以製得化合物(I )之步驟。The method for producing the compound (I) of the fourth aspect of the present invention includes, for example, a compound represented by the following formula (I-1) (hereinafter also referred to as the compound (I-1)), and the following The compound represented by the formula (I-2) (hereinafter, also referred to as the compound (I-2)) is reacted to obtain a compound (I). ) The steps.

〔式中,R2 為可具有取代基之芳香族烴基、可具有取代基之碳數1~10之直鏈狀或支鏈狀之烷基,或為可具有取代基之碳數2~10之直鏈狀或支鏈狀之烯基,n為0或1,Y1 為可被氟取代之碳數1~4之伸烷基,M 為鹼金屬離子,R1 為各自獨立之可具有取代基之芳基或烷基,R7 為烷基或氟化烷基〕。 [wherein R 2 is an aromatic hydrocarbon group which may have a substituent, a linear or branched alkyl group having 1 to 10 carbon atoms which may have a substituent, or a carbon number of 2 to 10 which may have a substituent a linear or branched alkenyl group, n is 0 or 1, Y 1 is a C 1 to 4 alkyl group which may be substituted by fluorine, M + is an alkali metal ion, and R 1 is independently An aryl group or an alkyl group having a substituent, and R 7 is an alkyl group or a fluorinated alkyl group].

式中,R2 、n、Y1 、R1 分別與前述通式(b1-1)中之R2 、n、Y1 、R1 為相同之內容。In the formula, R 2 , n, Y 1 and R 1 are the same as those of R 2 , n, Y 1 and R 1 in the above formula (b1-1).

M 之鹼金屬離子,例如鈉離子、鋰離子、鉀離子等。M + alkali metal ions, such as sodium ions, lithium ions, potassium ions, and the like.

R7 之烷基或氟化烷基,可為直鏈狀、支鏈狀或環狀中任一者皆可。The alkyl group or the fluorinated alkyl group of R 7 may be any of a linear chain, a branched chain or a cyclic chain.

前述直鏈狀或支鏈狀之烷基,以碳數1~10為佳,以碳數1~8為更佳,以碳數1~4為最佳。The linear or branched alkyl group preferably has a carbon number of 1 to 10, more preferably 1 to 8 carbon atoms, and most preferably 1 to 4 carbon atoms.

前述環狀之烷基中,以碳數4~15為佳,以碳數4~10為更佳,以碳數6~10為最佳。The alkyl group having a ring number of 4 to 15 is preferably a carbon number of 4 to 10, more preferably a carbon number of 6 to 10.

前述氟化烷基,例如前述烷基之氫原子的一部份或全部被氟原子所取代之基等。The fluorinated alkyl group is, for example, a group in which a part or all of a hydrogen atom of the alkyl group is substituted by a fluorine atom.

R7 ,以烷基為佳,以直鏈狀之烷基為佳,以甲基為最佳。R 7 is preferably an alkyl group, preferably a linear alkyl group, and most preferably a methyl group.

化合物(I-1),例如可以與上述化合物(b0-1)之製造方法為相同之方法合成。The compound (I-1) can be synthesized, for example, by the same method as the method for producing the above compound (b0-1).

化合物(I-2),可使用市售之物品。As the compound (I-2), commercially available articles can be used.

化合物(I-1),與化合物(I-2),例如,可將該些化合物,於水、二氯甲烷等溶劑中予以接觸以進行反應。The compound (I-1) and the compound (I-2) can be reacted by, for example, contacting them with a solvent such as water or dichloromethane.

依上述步驟所得化合物之構造,可使用1 H-核磁共振(NMR)圖譜法、13 C-NMR圖譜法、19 F-NMR圖譜法、紅外線吸收(IR)圖譜法、質量分析(MS)法、元素分析法、X線結晶繞射法等一般有機分析法予以確認。According to the structure of the compound obtained in the above step, 1 H-nuclear magnetic resonance (NMR) spectroscopy, 13 C-NMR spectroscopy, 19 F-NMR spectroscopy, infrared absorption (IR) spectroscopy, mass spectrometry (MS), General organic analysis methods such as elemental analysis and X-ray crystal diffraction are confirmed.

酸產生劑 Acid generator

本發明之第五之態樣的酸產生劑,係由前述第一之態樣的化合物(B1)所形成者。The acid generator of the fifth aspect of the present invention is formed of the compound (B1) of the first aspect described above.

該酸產生劑,為化學增幅型光阻組成物用之酸產生劑,例如可作為後述本發明之第六之態樣的光阻組成物之酸產生劑成份(B)使用。The acid generator is an acid generator for a chemically amplified photoresist composition, and can be used, for example, as the acid generator component (B) of the photoresist composition of the sixth aspect of the invention to be described later.

光阻組成物 Photoresist composition

本發明之第六之態樣的光阻組成物為含有經由酸之作用而對鹼顯影液之溶解性發生變化之基材成份(A)(以 下,亦稱為(A)成份),及經由曝光而產生酸之酸產生劑成份(B)(以下,亦稱為(B)成份),前述(B)成份為含有前述通式(b1-1)所表示之化合物所形成之酸產生劑(B1)。The photoresist composition of the sixth aspect of the present invention is a substrate component (A) containing a change in solubility of an alkali developer via an action of an acid ( Next, also referred to as (A) component), and an acid generator component (B) (hereinafter, also referred to as (B) component) which generates an acid by exposure, and the component (B) contains the above formula (b1- 1) An acid generator (B1) formed by the compound represented.

使用該光阻組成物所形成之光阻膜,於光阻圖型形成時進行選擇性曝光時,可使(B)成份產生酸,經由該酸使(A)成份對鹼顯影液之溶解性產生變化。其結果,將可使該光阻膜之曝光部對鹼顯影液之溶解性產生變化之同時,未曝光部則對鹼顯影液之溶解性並未產生變化下,經由鹼顯影,正型之情形時,則曝光部產生溶解而去除,於負型之情形時,則未曝光部產生溶解而去除,而形成光阻圖型。When the photoresist film formed by the photoresist composition is selectively exposed during the formation of the photoresist pattern, acid can be generated in the component (B), and the solubility of the component (A) to the alkali developer can be made via the acid. Make a difference. As a result, the solubility of the exposed portion of the resist film to the alkali developing solution can be changed, and the unexposed portion does not change the solubility of the alkali developing solution. In the case where the exposed portion is dissolved and removed, in the case of a negative type, the unexposed portion is dissolved and removed to form a photoresist pattern.

本發明之光阻組成物,可為負型光阻組成物亦可,或為正型光阻組成物亦可。The photoresist composition of the present invention may be a negative photoresist composition or a positive photoresist composition.

<(A)成份><(A) ingredient>

(A)成份,通常可將作為化學增幅型光阻用之基材成份使用之有機化合物,以1種單獨,或2種以上混合使用。In the component (A), the organic compound used as the substrate component for the chemically amplified photoresist can be used singly or in combination of two or more kinds.

其中,「基材成份」係指具有膜形成能之有機化合物,較佳為使用分子量為500以上之有機化合物。該有機化合物之分子量為500以上時,可提高膜形成能,且容易形成奈米程度之光阻圖型。Here, the "substrate component" means an organic compound having a film forming ability, and an organic compound having a molecular weight of 500 or more is preferably used. When the molecular weight of the organic compound is 500 or more, the film formation ability can be improved, and a photoresist pattern of a nanometer degree can be easily formed.

前述分子量為500以上之有機化合物,可大致區分為 分子量為500以上、未達2000之低分子量之有機化合物(以下,亦稱為低分子化合物),與分子量為2000以上之高分子量之樹脂(高分子材料)。前述低分子化合物,通常為使用非聚合物。樹脂(聚合物、共聚物)之情形中,「分子量」為使用GPC(凝膠滲透色層分析法)之聚苯乙烯換算之質量平均分子量。以下,僅稱為「樹脂」之情形中,係指分子量為2000以上之樹脂之意。The above organic compound having a molecular weight of 500 or more can be roughly classified into An organic compound having a molecular weight of 500 or more, a low molecular weight of less than 2,000 (hereinafter also referred to as a low molecular compound), and a high molecular weight resin (polymer material) having a molecular weight of 2,000 or more. The aforementioned low molecular compound is usually a non-polymer. In the case of a resin (polymer or copolymer), the "molecular weight" is a mass average molecular weight in terms of polystyrene using GPC (gel permeation chromatography). Hereinafter, the term "resin" alone means a resin having a molecular weight of 2,000 or more.

(A)成份,可使用經由酸之作用使鹼溶解性產生變化之樹脂,或使用經由酸之作用使鹼溶解性產生變化之低分子材料。As the component (A), a resin which changes the solubility of the alkali by the action of an acid or a low molecular material which changes the solubility of the alkali by the action of an acid can be used.

本發明之光阻組成物為負型光阻組成物時,(A)成份可使用對鹼顯影液具有可溶性之基材成份,或對該負型光阻組成物添加交聯劑。When the photoresist composition of the present invention is a negative photoresist composition, the component (A) may be a substrate component which is soluble in an alkali developer, or a crosslinking agent may be added to the negative photoresist composition.

該負型光阻組成物,經由曝光使(B)成份產生酸時,經由該酸之作用於基材成份與交聯劑之間產生交聯,而變化為對鹼顯影液為難溶性。因此,於光阻圖型之形成中,對塗佈該負型光阻組成物於基板上所得之光阻膜進行選擇性曝光時,可使曝光部轉變為對鹼顯影液為難溶性的同時,未曝光部仍為對鹼顯影液為可溶性之未變化下,經由鹼顯影而形成光阻圖型。When the negative photoresist composition generates an acid by the (B) component by exposure, cross-linking occurs between the substrate component and the crosslinking agent by the action of the acid, and the solubility is poorly soluble in the alkali developing solution. Therefore, in the formation of the photoresist pattern, when the photoresist film coated on the substrate is selectively exposed by the negative photoresist composition, the exposed portion can be converted to be insoluble to the alkali developer. The unexposed portion is formed into a photoresist pattern by alkali development without being changed to be soluble in the alkali developing solution.

負型光阻組成物之(A)成份,通常,為使用對鹼顯影液為可溶性之樹脂(以下,亦稱為鹼可溶性樹脂)。The component (A) of the negative resist composition is usually a resin which is soluble in an alkali developer (hereinafter also referred to as an alkali-soluble resin).

鹼可溶性樹脂,以具有由α-(羥烷基)丙烯酸、或α-(羥烷基)丙烯酸之低級烷基酯所選出之至少一個所 衍生之單位的樹脂,可形成具有較少膨潤之良好光阻圖型,而為較佳。又,α-(羥烷基)丙烯酸,為鍵結於羧基之α位之碳原子鍵結氫原子所得之丙烯酸,與該α位之碳原子鍵結羥烷基(較佳為碳數1~5之羥烷基)所鍵結之α-羥烷基丙烯酸之一或二者之意。An alkali-soluble resin having at least one selected from the group consisting of α-(hydroxyalkyl)acrylic acid or lower alkyl ester of α-(hydroxyalkyl)acrylic acid The resin of the derived unit can form a good photoresist pattern with less swelling, and is preferred. Further, α-(hydroxyalkyl)acrylic acid is an acrylic acid obtained by bonding a hydrogen atom bonded to a carbon atom at the α position of a carboxyl group, and a hydroxyalkyl group is bonded to the carbon atom at the α position (preferably, carbon number 1~) The hydroxyalkyl group of 5 is intended to have one or both of the bonded alpha-hydroxyalkylacrylic acids.

交聯劑,例如,通常使用具有羥甲基或烷氧甲基之甘脲等之胺基系交聯劑時,可形成具有較少膨潤之良好光阻圖型,而為較佳。交聯劑之添加量,相對於鹼可溶性樹脂100質量份,以1~50質量份為佳。The crosslinking agent, for example, when an amine-based crosslinking agent having a methylol group or an alkoxymethyl group such as glycoluril is usually used, a good photoresist pattern having less swelling is formed, and is preferable. The amount of the crosslinking agent added is preferably from 1 to 50 parts by mass based on 100 parts by mass of the alkali-soluble resin.

本發明之光阻組成物為正型光阻組成物時,(A)成份可使用經由酸之作用而增大對鹼顯影液之溶解性的基材成份。即,該(A)成份,於曝光前對鹼顯影液為難溶性,經由曝光使前述(B)成份產生酸時,經由該酸之作用而增大對鹼顯影液之溶解性,因此,於光阻圖型形成時,對將該正型光阻組成物塗佈於基板上所得之光阻膜進行選擇性曝光時,曝光部由對鹼顯影液為難溶性轉變為可溶性的同時,未曝光部則為鹼難溶性之未變化之狀態,經由鹼顯影而可形成光阻圖型。When the photoresist composition of the present invention is a positive-type photoresist composition, the component (A) can be used as a substrate component which increases the solubility in an alkali developer by the action of an acid. In other words, the component (A) is poorly soluble in the alkali developer before exposure, and when the component (B) is acidified by exposure, the solubility in the alkali developer is increased by the action of the acid. When the resist pattern is formed, when the resist film obtained by applying the positive resist composition on the substrate is selectively exposed, the exposed portion is converted from soluble to soluble in the alkali developing solution, and the unexposed portion is formed. In the state in which the alkali is poorly soluble, a photoresist pattern can be formed by alkali development.

本發明之光阻組成物中,(A)成份以經由酸之作用而增大對鹼顯影液之溶解性的基材成份為佳。即,本發明之光阻組成物以正型光阻組成物為佳。In the photoresist composition of the present invention, the component (A) is preferably a component of the substrate which increases the solubility in the alkali developer via the action of an acid. That is, the photoresist composition of the present invention is preferably a positive photoresist composition.

該(A)成份,可為經由酸之作用而增大對鹼顯影液之溶解性的樹脂成份(A1)(以下,亦稱為(A1)成份)為佳,或經由酸之作用而增大對鹼顯影液之溶解性的低 分子化合物(A2)(以下,亦稱為(A2)成份)亦可,或此等混合物亦可。The component (A) may be a resin component (A1) (hereinafter, also referred to as (A1) component) which increases solubility in an alkali developer via an action of an acid, or may be increased by an action of an acid. Low solubility in alkaline developer The molecular compound (A2) (hereinafter also referred to as (A2) component) may also be used, or such a mixture may also be used.

〔(A1)成份〕[(A1) ingredients]

(A1)成份,通常為使用作為化學增幅型光阻用之基材成份之樹脂成份(基礎樹脂),其可單獨1種,或將2種以上混合使用亦可。The component (A1) is usually a resin component (base resin) which is used as a substrate component for a chemically amplified photoresist, and may be used alone or in combination of two or more.

本發明中,(A1)成份,以含有丙烯酸酯所衍生之結構單位為佳。In the present invention, the component (A1) is preferably a structural unit derived from an acrylate.

其中,本說明書與申請專利範圍中,「丙烯酸酯所衍生之結構單位」係指丙烯酸酯之乙烯性雙鍵經開裂所形成之結構單位之意。In the present specification and the scope of the patent application, "the structural unit derived from acrylate" means the structural unit formed by the cracking of the ethylenic double bond of the acrylate.

「丙烯酸酯」,係指α位之碳原子除鍵結有氫原子之丙烯酸酯以外,亦包含α位之碳原子鍵結有取代基(氫原子以外之原子或基)之化合物之概念。取代基,例如低級烷基、鹵化低級烷基等。The "acrylate" refers to a concept in which a carbon atom in the alpha position is a compound in which a carbon atom bonded to a hydrogen atom is bonded to a carbon atom at the alpha position (atom or a group other than a hydrogen atom). A substituent such as a lower alkyl group, a halogenated lower alkyl group or the like.

又,丙烯酸酯所衍生之結構單位之α位(α位之碳原子),於未有特別限定下,係指鍵結於羰基之碳原子。Further, the α-position (carbon atom at the α-position) of the structural unit derived from the acrylate means a carbon atom bonded to the carbonyl group unless otherwise specified.

丙烯酸酯中,α位取代基之低級烷基,具體而言,例如甲基、乙基、丙基、異丙基、n-丁基、異丁基、tert-丁基、戊基、異戊基、新戊基等低級之直鏈狀或支鏈狀之烷基等。In the acrylate, a lower alkyl group of the substituent at the α-position, specifically, for example, a methyl group, an ethyl group, a propyl group, an isopropyl group, an n-butyl group, an isobutyl group, a tert-butyl group, a pentyl group, an isoprene group A lower linear or branched alkyl group such as a benzyl group or a neopentyl group.

又,鹵化低級烷基,具體而言,以上述「α位取代基之低級烷基」中之氫原子的一部份或全部被鹵素原子取代 所得之基等。該鹵素原子,例如氟原子、氯原子、溴原子、碘原子等,特別是以氟原子為佳。Further, a halogenated lower alkyl group, specifically, a part or all of a hydrogen atom in the above "lower alkyl group of the substituent of the α-position" is substituted by a halogen atom The basis of the obtained. The halogen atom, for example, a fluorine atom, a chlorine atom, a bromine atom, an iodine atom or the like, is preferably a fluorine atom.

本發明中,丙烯酸酯之α位所鍵結者,以氫原子、低級烷基或鹵化低級烷基為佳,又以氫原子、低級烷基或氟化低級烷基為更佳,就工業上容易取得等觀點,以氫原子或甲基為最佳。In the present invention, the α-position of the acrylate is preferably a hydrogen atom, a lower alkyl group or a halogenated lower alkyl group, and more preferably a hydrogen atom, a lower alkyl group or a fluorinated lower alkyl group. It is easy to obtain a viewpoint such as a hydrogen atom or a methyl group.

(A1)成份,特別是以具有含有酸解離性溶解抑制基之丙烯酸酯所衍生之結構單位(a1)為佳。The component (A1) is preferably a structural unit (a1) derived from an acrylate having an acid-dissociable dissolution inhibiting group.

又,(A1)成份,除結構單位(a1)以外,以再具有含有含內酯之環式基的丙烯酸酯所衍生之結構單位(a2)為佳。Further, the component (A1) is preferably a structural unit (a2) derived from an acrylate having a cyclic group containing a lactone, in addition to the structural unit (a1).

(A1)成份,除結構單位(a1)以外,或結構單位(a1)及(a2)以外,以再具有含有含極性基之脂肪族烴基之丙烯酸酯所衍生之結構單位(a3)為佳。The component (A1) is preferably a structural unit (a3) derived from an acrylate having a polar group-containing aliphatic hydrocarbon group, in addition to the structural unit (a1) or structural units (a1) and (a2).

.結構單位(a1). Structural unit (a1)

結構單位(a1)中之酸解離性溶解抑制基,只要為解離前使(A1)成份全體具有鹼不溶性之鹼溶解抑制性的同時,經由酸之解離後使此(A1)成份全體增大對鹼顯影液之溶解性之基即可,其可使用目前為止被提案作為化學增幅型光阻組成物用基礎樹脂之酸解離性溶解抑制基之物。一般而言,已知者例如可與(甲基)丙烯酸中之羧基形成環狀或鏈狀之三級烷基酯之基,或烷氧烷基等縮醛型酸解離性溶解抑制基等。The acid dissociable dissolution inhibiting group in the structural unit (a1) is such that, as long as the component (A1) has an alkali-insoluble alkali dissolution inhibiting property before dissociation, the (A1) component is increased after dissociation by acid. The base of the solubility of the alkali developer may be used, and an acid dissociable dissolution inhibiting group which has been proposed as a base resin for a chemically amplified resist composition can be used. In general, for example, a carboxyl group or a chain-like tertiary alkyl ester group or a acetal acid dissociable dissolution inhibiting group such as an alkoxyalkyl group can be formed with a carboxyl group in (meth)acrylic acid.

其中,「三級烷基酯」,例如羧基之氫原子被鏈狀或環狀之烷基取代而形成酯,使該羰氧基(-C(O)-O-)末端之氧原子,鍵結於前述鏈狀或環狀之烷基之三級碳原子所得之結構。前述三級烷基酯中,經由酸之作用時,即可切斷氧原子與三級碳原子之間的鍵結。Here, the "trialkyl ester", for example, a hydrogen atom of a carboxyl group is substituted with a chain or a cyclic alkyl group to form an ester, and an oxygen atom at the terminal of the carbonyloxy group (-C(O)-O-) is bonded. A structure obtained by the tertiary carbon atom of the above-mentioned chain or cyclic alkyl group. In the above tertiary alkyl ester, the bond between the oxygen atom and the tertiary carbon atom can be interrupted by the action of an acid.

又,前述鏈狀或環狀之烷基可具有取代基。Further, the aforementioned chain or cyclic alkyl group may have a substituent.

以下,經由羧基與三級烷基酯所形成之具有酸解離性之基,方便上將其稱為「三級烷基酯型酸解離性溶解抑制基」。Hereinafter, the acid dissociable group formed by a carboxyl group and a tertiary alkyl ester is conveniently referred to as a "triester alkyl ester type acid dissociable dissolution inhibiting group".

三級烷基酯型酸解離性溶解抑制基,例如脂肪族支鏈狀酸解離性溶解抑制基、含有脂肪族環式基之酸解離性溶解抑制基等。The tertiary alkyl ester type acid dissociable dissolution inhibiting group is, for example, an aliphatic branched acid dissociable dissolution inhibiting group, an acid dissociable dissolution inhibiting group containing an aliphatic cyclic group, and the like.

「脂肪族支鏈狀」係指不具有芳香族性之支鏈狀結構之意。「脂肪族支鏈狀酸解離性溶解抑制基」之結構,並未限定為由碳與氫所形成之基(烴基),但以烴基為佳。又,「烴基」可為飽和或不飽和者皆可,一般以飽和者為佳。"Aliphatic branched" means a branched structure having no aromaticity. The structure of the "aliphatic branched acid dissociable dissolution inhibiting group" is not limited to a group (hydrocarbon group) formed of carbon and hydrogen, but a hydrocarbon group is preferred. Further, the "hydrocarbon group" may be either saturated or unsaturated, and it is generally preferred to saturate.

脂肪族支鏈狀酸解離性溶解抑制基以碳數4~8之三級烷基為佳,具體而言,例如tert-丁基、tert-戊基、tert-庚基等。The aliphatic branched acid dissociable dissolution inhibiting group is preferably a tertiary alkyl group having 4 to 8 carbon atoms, and specifically, for example, tert-butyl group, tert-pentyl group, tert-heptyl group or the like.

「脂肪族環式基」係指不具有芳香族性之單環式基或多環式基。The "aliphatic cyclic group" means a monocyclic or polycyclic group having no aromaticity.

結構單位(a1)中之「脂肪族環式基」,其可具有取代基或未取有取代基皆可。取代基例如碳數1~5之低級 烷基、氟原子、被氟原子取代之碳數1~5之氟化低級烷基、氧原子(=O)等。The "aliphatic cyclic group" in the structural unit (a1) may have a substituent or may not have a substituent. Substituents such as low carbon number 1 to 5 An alkyl group, a fluorine atom, a fluorinated lower alkyl group having 1 to 5 carbon atoms substituted by a fluorine atom, an oxygen atom (=O), or the like.

「脂肪族環式基」中去除取代基之基本的環結構,並未限定由碳與氫所形成之基(烴基),但以烴基為佳。又,「烴基」可為飽和或不飽和者皆可,一般又以飽和者為佳。「脂肪族環式基」以多環式基為較佳。The basic ring structure in which the substituent is removed in the "aliphatic cyclic group" is not limited to a group (hydrocarbon group) formed of carbon and hydrogen, but a hydrocarbon group is preferred. Further, the "hydrocarbon group" may be either saturated or unsaturated, and generally it is preferably saturated. The "aliphatic cyclic group" is preferably a polycyclic group.

脂肪族環式基之具體例,例如可被低級烷基、氟原子或氟化烷基所取代者,或未取代亦可之由單環鏈烷、二環鏈烷、三環鏈烷、四環鏈烷等多環鏈烷中去除1個以上氫原子所得之基等。更具體而言,例如由環戊烷、環己烷等單環鏈烷或,金剛烷、降冰片烷、異菠烷、三環癸烷、四環十二烷等多環鏈烷中去除1個以上氫原子所得之基等。Specific examples of the aliphatic cyclic group, for example, may be substituted by a lower alkyl group, a fluorine atom or a fluorinated alkyl group, or may be unsubstituted, or may be a monocyclic alkane, a bicycloalkane, a tricycloalkane or a tetra. A group obtained by removing one or more hydrogen atoms from a polycyclic alkane such as a cycloalkane. More specifically, for example, a monocyclic alkane such as cyclopentane or cyclohexane or a polycyclic alkane such as adamantane, norbornane, isopentane, tricyclodecane or tetracyclododecane is removed. A group obtained by one or more hydrogen atoms.

含有脂肪族環式基之酸解離性溶解抑制基,例如於環狀之烷基的環骨架上具有三級碳原子之基等,具體而言,例如2-甲基-2-金剛烷基,或2-乙基-2-金剛烷基等。或例如下述通式(a1”-1)~(a1”-6)所示結構單位中,鍵結於羰氧基(-C(O)-O-)之氧原子之基般,具有金剛烷基、環己基、環戊基、降冰片烷基、三環癸烷基、四環十二烷基等之脂肪族環式基,及與其鍵結之具有三級碳原子之支鏈狀伸烷基之基等。An acid dissociable dissolution inhibiting group containing an aliphatic cyclic group, for example, a group having a tertiary carbon atom on a ring skeleton of a cyclic alkyl group, and the like, specifically, for example, 2-methyl-2-adamantyl group, Or 2-ethyl-2-adamantyl and the like. Or, for example, in the structural unit represented by the following general formula (a1"-1) to (a1"-6), it is bonded to a group of an oxygen atom of a carbonyloxy group (-C(O)-O-), and has a diamond An aliphatic cyclic group such as an alkyl group, a cyclohexyl group, a cyclopentyl group, a norbornyl group, a tricyclodecyl group, a tetracyclododecyl group, or the like, and a branched chain having a tertiary carbon atom bonded thereto Alkyl group and the like.

〔式中,R為氫原子、低級烷基或鹵化低級烷基之意;R15 、R16 為烷基(可為直鏈狀、支鏈狀皆可,較佳為碳數1~5)〕。 Wherein R is a hydrogen atom, a lower alkyl group or a halogenated lower alkyl group; and R 15 and R 16 are an alkyl group (may be linear or branched, preferably having a carbon number of 1 to 5). ].

通式(a1”-1)~(a1”-6)中,R之低級烷基或鹵化低級烷基,例如與上述可鍵結於丙烯酸酯之α位之低級烷基或鹵化低級烷基為相同之內容。In the formula (a1"-1)~(a1"-6), the lower alkyl group of the R or the halogenated lower alkyl group is, for example, a lower alkyl group or a halogenated lower alkyl group which may be bonded to the α-position of the acrylate. The same content.

「縮醛型酸解離性溶解抑制基」一般為鍵結於取代羧基、羥基等之鹼可溶性基末端之氫原子的氧原子。因此,經由曝光產生酸時,經由該酸之作用,而切斷縮醛型酸解離性溶解抑制基與該縮醛型酸解離性溶解抑制基所鍵結之氧原子之間的鍵結。The "acetal type acid dissociable dissolution inhibiting group" is generally an oxygen atom bonded to a hydrogen atom at the terminal of an alkali-soluble group such as a carboxyl group or a hydroxyl group. Therefore, when an acid is generated by exposure, the bond between the acetal type acid dissociable dissolution inhibiting group and the oxygen atom to which the acetal type acid dissociable dissolution inhibiting group is bonded is cut by the action of the acid.

縮醛型酸解離性溶解抑制基,例如,下述通式(p1)所示之基等。The acetal type acid dissociable dissolution inhibiting group is, for example, a group represented by the following formula (p1).

〔式中、R1’ ,R2’ 各自獨立為氫原子或低級烷基,n為0~3之整數,Y為低級烷基或脂肪族環式基〕。 [wherein, R 1 ' and R 2 ' are each independently a hydrogen atom or a lower alkyl group, n is an integer of 0 to 3, and Y is a lower alkyl group or an aliphatic cyclic group].

上述式中,n以0~2之整數為佳,以0或1為更佳,以0為最佳。In the above formula, n is preferably an integer of 0 to 2, more preferably 0 or 1, and most preferably 0.

R1’ 、R2’ 之低級烷基,例如與上述R之低級烷基為相同之內容,又以甲基或乙基為佳,以甲基為最佳。The lower alkyl group of R 1 ' and R 2 ' is, for example, the same as the lower alkyl group of the above R, and preferably a methyl group or an ethyl group, and a methyl group is most preferable.

本發明中,以R1’ 、R2’ 中至少1個為氫原子者為佳。即,酸解離性溶解抑制基(p1)以下述通式(p1-1)所示之基為佳。In the present invention, it is preferred that at least one of R 1 ' and R 2' is a hydrogen atom. In other words, the acid dissociable dissolution inhibiting group (p1) is preferably a group represented by the following formula (p1-1).

〔式中、R1’ 、n、Y係與上述內容為相同之內容〕。 [In the formula, R 1 ' , n, and Y are the same as those described above].

Y之低級烷基,例如與上述R之低級烷基為相同之內容。The lower alkyl group of Y is, for example, the same as the lower alkyl group of the above R.

Y之脂肪族環式基,例如可由以往於ArF光阻等之中,被多次提案之單環或多環式脂肪族環式基之中適當地選擇使用,例如與上述「脂肪族環式基」為相同之內容。The aliphatic ring group of Y may be appropriately selected from among the monocyclic or polycyclic aliphatic ring groups which have been proposed many times in the conventional ArF photoresist, for example, and the above-mentioned "aliphatic ring type". The base is the same content.

又,縮醛型酸解離性溶解抑制基,例如下述通式(p2 )所示之基等。Further, an acetal type acid dissociable dissolution inhibiting group, for example, the following formula (p2) ) the base shown.

〔式中、R17 、R18 各自獨立為直鏈狀或支鏈狀之烷基或氫原子,R19 為直鏈狀、支鏈狀或環狀之烷基,或R17 與R19 各自獨立為直鏈狀或支鏈狀之伸烷基,R17 之末端與R19 之末端鍵結形成環亦可〕。 [wherein, R 17 and R 18 are each independently a linear or branched alkyl group or a hydrogen atom, and R 19 is a linear, branched or cyclic alkyl group, or each of R 17 and R 19 independently alkylene of straight or branched chain, R 17 and R-terminal end of the bond of the junction 19 may form a ring].

R17 、R18 中,烷基之碳數較佳為1~15,其可為直鏈狀或支鏈狀皆可,又以乙基、甲基為佳,以甲基為最佳。In R 17 and R 18 , the alkyl group preferably has 1 to 15 carbon atoms, and may be linear or branched, preferably ethyl or methyl, and methyl.

特別是以R17 、R18 中之任一者為氫原子,另一者為甲基為最佳。In particular, any of R 17 and R 18 is a hydrogen atom, and the other is preferably a methyl group.

R19 為直鏈狀、支鏈狀或環狀之烷基時,碳數較佳為1~15,其可為直鏈狀、支鏈狀或環狀中任一者皆可。When R 19 is a linear, branched or cyclic alkyl group, the number of carbon atoms is preferably from 1 to 15, and it may be any of a linear chain, a branched chain or a cyclic chain.

R19 為直鏈狀或支鏈狀時,碳數以1~5為佳,又以乙基、甲基為更佳,以乙基為最佳。When R 19 is linear or branched, the carbon number is preferably from 1 to 5, more preferably ethyl or methyl, and most preferably ethyl.

R19 為環狀時,以碳數4~15為佳,以碳數4~12為更佳,以碳數5~10為最佳。具體而言,其可被氟原子或氟化烷基取代,或未被取代皆可之單環鏈烷、二環鏈烷、三環鏈烷、四環鏈烷等多環鏈烷中去除1個以上氫原子之基等。具體而言,例如環戊烷、環己烷等單環鏈烷,或金剛烷、降冰片烷、異菠烷、三環癸烷、四環十二烷等多環鏈烷中去除1個以上氫原子之基等。其中又以金剛烷去除 1個以上氫原子所得之基為佳。When R 19 is a ring, it is preferably 4 to 15 carbon atoms, more preferably 4 to 12 carbon atoms, and most preferably 5 to 10 carbon atoms. Specifically, it may be substituted by a fluorine atom or a fluorinated alkyl group, or may be removed by a polycyclic alkane such as a monocyclic alkane, a bicycloalkane, a tricycloalkane or a tetracycloalkane which is not substituted. More than one hydrogen atom or the like. Specifically, for example, a monocyclic alkane such as cyclopentane or cyclohexane or one or more polycyclic alkane such as adamantane, norbornane, isopentane, tricyclodecane or tetracyclododecane is removed. The base of a hydrogen atom, etc. Among them, the base obtained by removing one or more hydrogen atoms from adamantane is preferred.

又,上述式中,R17 與R19 各自獨立為直鏈狀或支鏈狀之伸烷基(較佳為碳數1~5之伸烷基),且R19 之末端可與R17 之末端鍵結亦可。Further, in the above formula, R 17 and R 19 are each independently a linear or branched alkyl group (preferably an alkyl group having 1 to 5 carbon atoms), and the end of R 19 may be bonded to R 17 The end bond can also be used.

此時,R17 與R19 ,與鍵結於R19 之氧原子,與該氧原子與鍵結於R17 之碳原子形成環式基。該環式基,以4~7員環為佳,以4~6員環為更佳。該環式基之具體例,例如四氫吡喃基、四氫呋喃基等。At this time, R 17 and R 19 , and an oxygen atom bonded to R 19 form a cyclic group with the oxygen atom bonded to the carbon atom bonded to R 17 . The ring base is preferably a 4 to 7 ring, and a 4 to 6 ring is preferred. Specific examples of the cyclic group include, for example, a tetrahydropyranyl group, a tetrahydrofuranyl group and the like.

結構單位(a1),以使用由下述通式(a1-0-1)所示結構單位,與下述通式(a1-0-2)所示結構單位所成群中所選出之1種以上為佳。The structural unit (a1) is one selected from the group consisting of the structural unit represented by the following general formula (a1-0-1) and the structural unit represented by the following general formula (a1-0-2). The above is better.

〔式中,R為氫原子、低級烷基或鹵化低級烷基;X1 為酸解離性溶解抑制基〕。 [In the formula, R is a hydrogen atom, a lower alkyl group or a halogenated lower alkyl group; and X 1 is an acid dissociable dissolution inhibiting group].

〔式中,R為氫原子、低級烷基或鹵化低級烷基;X2 為酸解離性溶解抑制基;Y2 為伸烷基或脂肪族環式基〕。 Wherein R is a hydrogen atom, a lower alkyl group or a halogenated lower alkyl group; X 2 is an acid dissociable dissolution inhibiting group; and Y 2 is an alkylene group or an aliphatic cyclic group.

通式(a1-0-1)中,R之低級烷基或鹵化低級烷基,係與上述可鍵結於丙烯酸酯之α位之鹵素原子、低級烷基、鹵化低級烷基為相同之意義。In the formula (a1-0-1), the lower alkyl group or the halogenated lower alkyl group of R is the same as the above-mentioned halogen atom which may be bonded to the α-position of the acrylate, lower alkyl group or halogenated lower alkyl group. .

X1 ,只要為酸解離性溶解抑制基時則未有特別限定,例如可為三級烷基酯型酸解離性溶解抑制基、縮醛型酸解離性溶解抑制基等,又以三級烷基酯型酸解離性溶解抑制基為佳。X 1 is not particularly limited as long as it is an acid dissociable dissolution inhibiting group, and may be, for example, a tertiary alkyl ester type acid dissociable dissolution inhibiting group or an acetal type acid dissociating dissolution inhibiting group, and a trisalkane. The ester-type acid dissociable dissolution inhibiting group is preferred.

通式(a1-0-2)中,R具有與上述相同之意義。In the formula (a1-0-2), R has the same meaning as described above.

X2 則與式(a1-0-1)中之X1 為相同之內容。X 2 is the same as X 1 in the formula (a1-0-1).

Y2 較佳為碳數1~10之伸烷基,或2價之脂肪族環式基,該脂肪族環式基時,除使用去除2個以上氫原子之基以外,例如可使用與前述「脂肪族環式基」之說明為相同之內容。Y 2 is preferably an alkylene group having 1 to 10 carbon atoms or a divalent aliphatic cyclic group. When the aliphatic cyclic group is used, it may be used, for example, in addition to a group having two or more hydrogen atoms removed. The description of "aliphatic ring-based" is the same.

Y2 為碳數1~10之伸烷基時,以碳數1~6為更佳,以碳數1~4為特佳,以碳數1~3為最佳。When Y 2 is an alkylene group having 1 to 10 carbon atoms, the carbon number is preferably 1 to 6 and the carbon number is preferably 1 to 4, and the carbon number is preferably 1 to 3.

Y2 為2價之脂肪族環式基時,以由環戊烷、環己烷、降冰片烷、異冰片烷、金剛烷、三環癸烷、四環十二烷去除二個以上氫原子所得之基為特佳。When Y 2 is a divalent aliphatic cyclic group, two or more hydrogen atoms are removed by cyclopentane, cyclohexane, norbornane, isobornane, adamantane, tricyclodecane or tetracyclododecane. The basis of the obtained is particularly good.

結構單位(a1)中,更具體而言,例如下述通式(a1-1)至(a1-4)所示之結構單位。In the structural unit (a1), more specifically, for example, the structural unit represented by the following general formulae (a1-1) to (a1-4).

〔上述式中,X’為三級烷基酯型酸解離性溶解抑制基;Y為碳數1~5之低級烷基,或脂肪族環式基;n為0~3之整數;Y2 為伸烷基或脂肪族環式基;R具有與上述相同之意義;R1’ 、R2’ 各自獨立為氫原子或碳數1~5之低級烷基〕。 [In the above formulas, X 'is a three alkyl ester-type acid dissociable, dissolution inhibiting group; Y is a lower alkyl group having a carbon number of 1 to 5, or an aliphatic cyclic group; n is an integer of 0 to 3; Y 2 Is an alkyl group or an aliphatic cyclic group; R has the same meaning as above; R 1 ' and R 2 ' are each independently a hydrogen atom or a lower alkyl group having 1 to 5 carbon atoms].

式中,X’係與前述X1 中所例示之三級烷基酯型酸解離性溶解抑制基為相同之內容。In the formula, X' is the same as the tertiary alkyl ester type acid dissociable dissolution inhibiting group exemplified in the above X 1 .

R1’ 、R2’ 、n、Y係分別與上述之「縮醛型酸解離性溶解抑制基」之說明中所列舉之通式(p1)中之R1’ 、R2’ 、 n、Y為相同之內容。 R 1 ', R 2', n, Y lines were above the "acetal-type acid dissociable, dissolution inhibiting group" exemplified in the description of general formula (p1) in the R 1 ', R 2', n, Y is the same content.

Y2 ,例如與上述通式(a1-0-2)中之Y2 為相同之內容。Y 2 is , for example, the same as Y 2 in the above formula (a1-0-2).

以下為上述通式(a1-1)至(a1-4)所示之結構單位之具體例, The following are specific examples of the structural unit represented by the above general formulae (a1-1) to (a1-4).

上述式中,又以式(a1-1)所示之結構單位為佳,具體而言,以使用由式(a1-1-1)至(a1-1-6)及式(a1-1-35)至(a1-1-41)所成群中所選出之至少1種為更佳。In the above formula, the structural unit represented by the formula (a1-1) is preferably used, specifically, the formula (a1-1-1) to (a1-1-6) and the formula (a1-1-) are used. 35) At least one selected from the group consisting of (a1-1-41) is more preferable.

又,結構單位(a1)特別是以包含式(a1-1-1)至(a1-1-4)之結構單位的下述通式(a1-1-01)所示之單位,或包含式(a1-1-35)至(a1-1-41)之結構單位的下述通式(a1-1-02)者為佳。Further, the structural unit (a1) is, in particular, a unit represented by the following general formula (a1-1-01) including structural units of the formulae (a1-1-1) to (a1-1-4), or an inclusion formula. The following general formula (a1-1-02) of the structural unit of (a1-1-35) to (a1-1-41) is preferred.

〔式中,R為氫原子、低級烷基或鹵化低級烷基,R11 為低級烷基〕。 Wherein R is a hydrogen atom, a lower alkyl group or a halogenated lower alkyl group, and R 11 is a lower alkyl group.

〔式中,R為氫原子、低級烷基或鹵化低級烷基,R12 為低級烷基,h為1~3之整數〕。 Wherein R is a hydrogen atom, a lower alkyl group or a halogenated lower alkyl group, R 12 is a lower alkyl group, and h is an integer of from 1 to 3.

通式(a1-1-01)中,R具有與上述相同之內容。In the formula (a1-1-01), R has the same contents as described above.

R11 之低級烷基係與R所示之低級烷基為相同之內容,又以甲基或乙基為佳。The lower alkyl group of R 11 is the same as the lower alkyl group represented by R, and a methyl group or an ethyl group is preferred.

通式(a1-1-02)中,R具有與上述相同之內容。In the general formula (a1-1-02), R has the same contents as described above.

R12 之低級烷基係與前述R所示之低級烷基為相同之內容,又以甲基或乙基為佳,又以乙基為最佳。h以1或2為佳,又以2為最佳。The lower alkyl group of R 12 is the same as the lower alkyl group represented by the above R, and is preferably a methyl group or an ethyl group, and preferably an ethyl group. h is preferably 1 or 2, and 2 is the best.

結構單位(a1),可單獨使用1種,或將2種以上組合使用亦可。The structural unit (a1) may be used alone or in combination of two or more.

(A1)成份中,結構單位(a1)之比例,相對於構成(A1)成份之全體結構單位而言,以10~80莫耳%為佳,以20~70莫耳%為更佳,以25~50莫耳%為最佳。於下限值以上時,於作為正型光阻組成物時可容易形成圖型,於上限值以下時,可與其他結構單位達成平衡。In the component (A1), the ratio of the structural unit (a1) is preferably 10 to 80 mol%, more preferably 20 to 70 mol%, based on the entire structural unit constituting the component (A1). 25~50% of the ear is the best. When it is more than the lower limit value, it can be easily formed into a pattern when it is a positive-type photoresist composition, and when it is less than an upper limit, it can balance with another structural unit.

.結構單位(a2). Structural unit (a2)

本發明中,(A1)成份,除前述結構單位(a1)以外,以再含有具有含內酯之環式基之丙烯酸酯所衍生之結構單位(a2)為佳。In the present invention, the component (A1) is preferably a structural unit (a2) derived from an acrylate having a cyclic group containing a lactone, in addition to the above structural unit (a1).

其中,含內酯之環式基,為含有-O-C(O)-結構之一個環(內酯環)之環式基。並以內酯環作為一個環單位進行計數,僅為內酯環之情形為單環式基,若尚具有其他環結構時,無論其結構為何,皆稱為多環式基。Wherein the cyclic group containing a lactone is a cyclic group containing one ring (lactone ring) of the -O-C(O)- structure. The lactone ring is counted as a ring unit, and the monocyclic group is only a lactone ring. If it has other ring structures, it is called a polycyclic group regardless of its structure.

結構單位(a2)之內酯環式基,於作為(A1)成份用 於形成光阻膜之情形中,可有效提高光阻膜對基板之密著性,並可有效地提高與含有水之顯影液的親和性。The lactone ring group of the structural unit (a2), used as the component (A1) In the case of forming a photoresist film, the adhesion of the photoresist film to the substrate can be effectively improved, and the affinity with the developer containing water can be effectively improved.

其中,結構單位(a2),未有任何限定而可使用任意之單位。Among them, the structural unit (a2) can be used without any limitation.

具體而言,含內酯之單環式基,例如γ-丁內酯去除1個氫原子所得之基等。又,含內酯之多環式基,例如由具有內酯環之二環鏈烷、三環鏈烷、四環鏈烷去除1個氫原子所得之基等。Specifically, a monocyclic group containing a lactone, for example, a group obtained by removing one hydrogen atom from γ-butyrolactone or the like. Further, the polycyclic group having a lactone is, for example, a group obtained by removing one hydrogen atom from a bicycloalkane having a lactone ring, a tricycloalkane or a tetracycloalkane.

結構單位(a2)之例示中,更具體而言,例如下述通式(a2-1)至(a2-5)所示結構單位等。In the example of the structural unit (a2), more specifically, for example, a structural unit represented by the following general formulae (a2-1) to (a2-5).

〔式中,R為氫原子、低級烷基或鹵化低級烷基,R’為氫原子、低級烷基,或碳數1~5之烷氧基,m為0或1之整數,A為碳數1~5之伸烷基或氧原子〕。 Wherein R is a hydrogen atom, a lower alkyl group or a halogenated lower alkyl group, R' is a hydrogen atom, a lower alkyl group, or an alkoxy group having 1 to 5 carbon atoms, m is an integer of 0 or 1, and A is carbon Number of 1 to 5 alkyl or oxygen atom].

通式(a2-1)至(a2-5)中之R具有與上述結構單位(a1)中之R相同之內容。R in the general formulae (a2-1) to (a2-5) has the same content as R in the above structural unit (a1).

R’之低級烷基,具有與上述結構單位(a1)中之R的低級烷基為相同之內容。The lower alkyl group of R' has the same content as the lower alkyl group of R in the above structural unit (a1).

A之碳數1~5之伸烷基,具體而言,例如伸甲基、 伸乙基、n-伸丙基、異伸丙基等。A alkyl group having a carbon number of 1 to 5, specifically, for example, a methyl group, Ethyl, n-propyl, iso-propyl and the like.

通式(a2-1)至(a2-5)中,R’就工業上容易取得等觀點而言,以氫原子為佳。Among the general formulae (a2-1) to (a2-5), R' is preferably a hydrogen atom from the viewpoint of industrial availability.

以下為前述通式(a2-1)至(a2-5)之具體結構單位之例示。The following are examples of specific structural units of the above general formulae (a2-1) to (a2-5).

結構單位(a2)中,又以使用由前述通式(a2-1)至(a2-5)所示結構單位所形成之群所選出之至少1種為佳,又以由通式(a2-1)至(a2-3)所示結構單位所成群中所選出之至少1種為更佳。其中,又以由化學式(a2-1-1)、(a2-1-2)、(a2-2-1)、(a2-2-2)、(a2-3-1)、(a2-3-2)、(a2-3-9)與(a2-3-10)所示結構單位所成群中所選出之至少1種為佳。In the structural unit (a2), at least one selected from the group consisting of the structural units represented by the above formulas (a2-1) to (a2-5) is preferred, and the formula (a2-) It is more preferable that at least one selected from the group consisting of the structural units shown in (a2-3) is preferable. Among them, by the chemical formulas (a2-1-1), (a2-1-2), (a2-2-1), (a2-2-2), (a2-3-1), (a2-3 It is preferred that at least one selected from the group consisting of structural units represented by -2) and (a2-3-9) and (a2-3-10).

結構單位(a2),可單獨使用1種,或將2種以上組合使用亦可。The structural unit (a2) may be used alone or in combination of two or more.

(A1)成份中,結構單位(a2)的比例,以對構成( A1)成份之全體結構單位之合計,以5~60莫耳%為佳以10~50莫耳%為較佳,以20~50莫耳%為最佳。於下限值以上時,含有結構單位(a2)時可充分達到效果,於上限值以下時,可得到與其他結構單位之平衡。(A1) component, the proportion of structural units (a2), in pairs ( A1) The total number of structural units of the component is preferably from 5 to 60 mol%, preferably from 10 to 50 mol%, and most preferably from 20 to 50 mol%. When it is more than the lower limit, the effect can be sufficiently obtained when the structural unit (a2) is contained, and when it is equal to or less than the upper limit, a balance with other structural units can be obtained.

.結構單位(a3). Structural unit (a3)

本發明中,(A1)成份,除前述結構單位(a1)以外,或前述結構單位(a1)與(a2)以外,以再具有(a3)含有含極性基之脂肪族烴基之丙烯酸酯所衍生之結構單位為佳。In the present invention, the component (A1) is derived from the acrylate having the polar group-containing aliphatic hydrocarbon group in addition to the structural unit (a1) or the structural units (a1) and (a2). The structural unit is better.

(A1)成份含有結構單位(a3)時,可提高(A1)成份之親水性,而提高與顯影液之親和性,進而提昇曝光部之鹼溶解性,而可期待解析度之提昇。When the component (A3) contains a structural unit (a3), the hydrophilicity of the component (A1) can be improved, and the affinity with the developer can be improved, and the alkali solubility of the exposed portion can be improved, and the resolution can be expected to be improved.

極性基,例如羥基、氰基、羧基、烷基中一部份氫原子被氟原子取代之羥烷基等,又以羥基為最佳。A polar group such as a hydroxyl group, a cyano group, a carboxyl group, a hydroxyalkyl group in which a part of hydrogen atoms in the alkyl group is substituted by a fluorine atom, and the like, and a hydroxyl group is preferred.

脂肪族烴基,例如碳數1~10之直鏈狀或支鏈狀烴基(較佳為伸烷基),或多環式之脂肪族烴基(多環式基)等。該多環式基,例如可由ArF準分子雷射用光阻組成物用之樹脂中,由多數提案內容中作適當選擇使用。該多環式基的碳數為7~30較佳。The aliphatic hydrocarbon group is, for example, a linear or branched hydrocarbon group having 1 to 10 carbon atoms (preferably an alkylene group) or a polycyclic aliphatic hydrocarbon group (polycyclic group). The polycyclic group may be, for example, a resin which can be used for a resist composition for an ArF excimer laser, and is appropriately selected from many proposals. The polycyclic group preferably has a carbon number of from 7 to 30.

其中,又以含有羥基、氰基、羧基、或含有烷基中氫原子之一部份被氟原子取代之羥烷基(氟化烷基醇)的脂肪族多環式基之丙烯酸酯所衍生之結構單位為更佳。該多環式基,例如由二環鏈烷、三環鏈烷、四環鏈烷中去除2 個以上之氫原子所得之基等。具體而言,例如由金剛烷、降冰片烷、異菠烷、三環癸烷、四環十二烷等多環鏈烷中去除2個以上氫原子所得之基等。前述多環式基中,又以金剛烷去除2個以上氫原子之基、降冰片烷去除2個以上氫原子之基、四環十二烷去除2個以上氫原子之基等更適合工業上使用。Further, it is derived from an aliphatic polycyclic acrylate having a hydroxyl group, a cyano group, a carboxyl group, or a hydroxyalkyl group (fluorinated alkyl alcohol) in which a part of a hydrogen atom in the alkyl group is substituted by a fluorine atom. The structural unit is better. The polycyclic group is, for example, removed from a bicycloalkane, a tricycloalkane or a tetracyclic alkane 2 The base obtained by more than one hydrogen atom, and the like. Specifically, for example, a group obtained by removing two or more hydrogen atoms from a polycyclic alkane such as adamantane, norbornane, isopentane, tricyclodecane or tetracyclododecane is used. In the polycyclic group, it is more suitable for industrially to remove two or more hydrogen atoms from adamantane, to remove two or more hydrogen atoms from norbornane, and to remove two or more hydrogen atoms from tetracyclododecane. use.

結構單位(a3)中,於含有極性基之脂肪族烴基中之烴基為碳數1~10之直鏈狀或支鏈狀烴基時,以由丙烯酸之羥乙基酯所衍生之結構單位為佳,該烴基為多環式基時,例如下式(a3-1)所示結構單位、(a3-2)所示結構單位、(a3-3)所示結構單位等為佳。In the structural unit (a3), when the hydrocarbon group in the aliphatic hydrocarbon group containing a polar group is a linear or branched hydrocarbon group having 1 to 10 carbon atoms, the structural unit derived from hydroxyethyl acrylate is preferred. When the hydrocarbon group is a polycyclic group, for example, a structural unit represented by the following formula (a3-1), a structural unit represented by (a3-2), a structural unit represented by (a3-3), or the like is preferable.

〔式中,R具有與前述相同之內容,j為1~3之整數,k為1~3之整數,t’為1~3之整數,1為1~5之整數,s為1~3之整數〕。 [wherein R has the same content as described above, j is an integer from 1 to 3, k is an integer from 1 to 3, t' is an integer from 1 to 3, 1 is an integer from 1 to 5, and s is from 1 to 3. The integer].

通式(a3-1)中,j以1或2為佳,又以1為更佳。j為2之情形中,以羥基鍵結於金剛烷基之3位與5位者為 更佳。j為1之情形中,特別是以羥基鍵結於金剛烷基之3位為最佳。其中,又以j為1為佳,特別是羥基鍵結於金剛烷基之3位者為最佳。In the formula (a3-1), j is preferably 1 or 2, and more preferably 1 is used. In the case where j is 2, the hydroxyl group is bonded to the 3 and 5 positions of the adamantyl group. Better. In the case where j is 1, it is particularly preferable that the hydroxyl group is bonded to the 3 position of the adamantyl group. Among them, it is preferable that j is 1 and it is preferable that the hydroxyl group is bonded to the adamantyl group.

式(a3-2)中,以k為1者為佳。又以氰基鍵結於降冰片烷基之5位或6位者為佳。In the formula (a3-2), it is preferred that k is one. It is preferred that the cyano group is bonded to the 5 or 6 position of the norbornyl group.

式(a3-3)中,以t’為1者為佳,以1為1者為佳,以s為1者為佳。以前述丙烯酸之羧基的末端鍵結2-降冰片烷基或3-降冰片烷基之化合物為佳。氟化烷基醇以鍵結於降冰片烷基之5或6位者為佳。In the formula (a3-3), it is preferable that t' is one, that 1 is one, and s is one. Preferably, a compound of 2-norbornyl group or 3-norbornyl group is bonded to the terminal of the carboxyl group of the above acrylic acid. The fluorinated alkyl alcohol is preferably bonded to the 5 or 6 position of the norbornyl group.

結構單位(a3),可單獨使用1種,或將2種以上組合使用亦可。The structural unit (a3) may be used alone or in combination of two or more.

(A1)成份中,結構單位(a3)之比例,相對於構成(A1)成份之全體結構單位,以5~50莫耳%為佳,以5~40莫耳%為更佳,以5~25莫耳%為最佳。於下限值以上時,可充分得到含有結構單位(a3)之效果,於上限值以下時可得到與其他結構單位之平衡性。In the component (A1), the ratio of the structural unit (a3) is preferably 5 to 50 mol%, more preferably 5 to 40 mol%, and more preferably 5 to 50 mol% of the total structural unit constituting the component (A1). 25% Mo is the best. When it is more than the lower limit value, the effect of containing the structural unit (a3) can be sufficiently obtained, and when it is less than the upper limit value, the balance with other structural units can be obtained.

.結構單位(a4). Structural unit (a4)

(A1)成份,於不損害本發明之效果之範圍中,可再含有上述結構單位(a1)至(a3)以外之其他結構單位(a4)。The component (A1) may further contain other structural units (a4) other than the above structural units (a1) to (a3) insofar as the effects of the present invention are not impaired.

結構單位(a4)只要為未分類於前述結構單位(a1)至(a3)以外之結構單位時,並無特別限定。其可使用ArF準分子雷射用、KrF準分子雷射用(較佳為ArF準分 子雷射用)等光阻用樹脂所使用之以往已知之多數結構單位。The structural unit (a4) is not particularly limited as long as it is a structural unit that is not classified into the structural units (a1) to (a3). It can be used for ArF excimer laser, KrF excimer laser (preferably ArF quasi-fraction) A plurality of structural units known in the art for use in photoresists such as sub-lasers.

結構單位(a4),例如含有非酸解離性之脂肪族多環式基的丙烯酸酯所衍生之結構單位等為佳。該多環式基,例如為與前述結構單位(a1)時所例示之相同例示內容,其可使用ArF準分子雷射用、KrF準分子雷射用(較佳為ArF準分子雷射用)等光阻組成物之樹脂成份所使用之以往已知之多數結構單位。The structural unit (a4), for example, a structural unit derived from an acrylate having a non-acid dissociable aliphatic polycyclic group is preferable. The polycyclic group is, for example, the same as exemplified in the above structural unit (a1), and can be used for ArF excimer laser or KrF excimer laser (preferably for ArF excimer laser). Most of the structural units previously known for use in the resin composition of the photoresist composition.

特別是由三環癸烷基、金剛烷基、四環十二烷基、異菠烷基、降冰片烷基所選出之至少1種時,以工業上容易取得而為較佳。此等多環式基,可被碳數1~5之直鏈狀或支鏈狀之烷基取代亦可。In particular, when at least one selected from the group consisting of a tricyclodecylalkyl group, an adamantyl group, a tetracyclododecyl group, an isobornyl group, and a norbornyl group is industrially easy to obtain, it is preferred. These polycyclic groups may be substituted by a linear or branched alkyl group having 1 to 5 carbon atoms.

結構單位(a4),具體而言,例如下述通式(a4-1)至(a4-5)所示結構單位等。The structural unit (a4) is specifically, for example, a structural unit represented by the following general formulae (a4-1) to (a4-5).

〔式中,R具有與前述相同之內容〕。 [wherein R has the same content as described above].

前述結構單位(a4)包含於(A1)成份中之際,(A1)成份中之結構單位(a4)之比例,相對於構成(A1)成份之全體結構單位之合計,以含有1~30莫耳%為佳,又 以含有10~20莫耳%為更佳。When the structural unit (a4) is contained in the component (A1), the ratio of the structural unit (a4) in the component (A1) is 1 to 30% with respect to the total of the structural units constituting the component (A1). Ear% is better, and It is preferably contained in an amount of 10 to 20 mol%.

本發明中,(A1)成份以含有具有結構單位(a1)、(a2)、及(a3)之共聚物為佳。前述共聚合物,例如由結構單位(a1)、(a2)、及(a3)所得之共聚物,結構單位(a1)、(a2)、(a3)及(a4)所得之共聚物等。In the present invention, the component (A1) is preferably a copolymer containing structural units (a1), (a2), and (a3). The copolymer is, for example, a copolymer obtained from structural units (a1), (a2), and (a3), a copolymer obtained by structural units (a1), (a2), (a3), and (a4).

該共聚物,例如以含有下述通式(A1-11)所示3種結構單位者為佳。The copolymer is preferably, for example, one having three structural units represented by the following formula (A1-11).

〔式中,Ra、Rb、Rc分別獨立與前述R為相同之內容,R11 為低級烷基〕。 [In the formula, Ra, Rb, and Rc are each independently the same as the above R, and R 11 is a lower alkyl group].

式(A1-11)中,R11 之低級烷基,例如與前述通式(a1-1-01)中之R11 為相同之內容,又以甲基或乙基為佳。In the formula (A1-11), the lower alkyl group of R 11 is, for example, the same as R 11 in the above formula (a1-1-01), and preferably a methyl group or an ethyl group.

(A1)成份,可將各結構單位所衍生之單體,例如使用偶氮二異丁腈(AIBN)等自由基聚合起始劑依公知之自由基聚合等聚合反應而製得。The component (A1) can be obtained by polymerization of a monomer derived from each structural unit, for example, a radical polymerization initiator such as azobisisobutyronitrile (AIBN) by a known radical polymerization.

又,(A1)成份,於上述聚合之際,例如可併用HS-CH2 -CH2 -CH2 -C(CF3 )2 -OH等鏈移轉劑,而於末端導入-C(CF3 )2 -OH基。如此,可得到導入有烷基中氫原子之一部 份被氟原子取代之羥烷基的共聚物,因而可有效降低缺陷或降低LER(Line Edge Roughness:線路側壁具有不均勻凹凸)之效果。And, (A1) component, on the occasion of the above-described polymerization, for example, and, at the end introduced and -C (CF 3 by HS-CH 2 -CH 2 -CH 2 -C (CF 3) 2 -OH chain transfer agent, etc. ) 2 -OH group. Thus, a copolymer having a hydroxyalkyl group in which a part of a hydrogen atom in the alkyl group is substituted with a fluorine atom can be obtained, thereby effectively reducing defects or reducing the effect of LER (Line Edge Roughness).

(A1)成份之質量平均分子量(Mw)(凝膠滲透色層分析法之聚苯乙烯換算量)並未有特別限定,一般以2,000~50,000為佳,以3,000~30,000為更佳,以5,000~20,000為最佳。小於此範圍之上限時,作為光阻使用時對光阻溶劑可得到充分之溶解性,大於此範圍之下限時,可得到良好之耐乾蝕刻性或光阻圖型之截面形狀。The mass average molecular weight (Mw) of the component (A1) (the polystyrene equivalent amount of the gel permeation chromatography method) is not particularly limited, and is generally preferably 2,000 to 50,000, more preferably 3,000 to 30,000, and 5,000 to 30,000. ~20,000 is the best. When it is less than the upper limit of the range, sufficient solubility is obtained for the photoresist as a photoresist, and when it is larger than the lower limit of the range, a good dry etching resistance or a resist pattern cross-sectional shape can be obtained.

又,分散度(Mw/Mn)以1.0~5.0之範圍為佳,以1.0~3.0為更佳,以1.2~2.5為最佳。又,Mn為數平均分子量。Further, the degree of dispersion (Mw/Mn) is preferably in the range of 1.0 to 5.0, more preferably 1.0 to 3.0, and most preferably 1.2 to 2.5. Further, Mn is a number average molecular weight.

〔(A2)成份〕[(A2) ingredients]

(A2)成份,以分子量為500以上、未達2000之具有上述(A1)成份之說明中所例示之酸解離性溶解抑制基,與親水性基之低分子化合物為佳。具體而言,具有複數之酚骨架之化合物的羥基之氫原子的一部份被上述酸解離性溶解抑制基所取代之化合物等。The component (A2) is preferably an acid dissociable dissolution inhibiting group exemplified in the description of the component (A1) having a molecular weight of 500 or more and less than 2,000, and a low molecular compound having a hydrophilic group. Specifically, a compound in which a part of a hydrogen atom of a hydroxyl group of a compound having a plurality of phenol skeletons is substituted with the above-mentioned acid dissociable dissolution inhibiting group or the like.

又,(A2)成份中,「低分子化合物」係指不為樹脂成份之化合物之意。Further, in the component (A2), "low molecular compound" means a compound which is not a resin component.

(A2)成份,例如,已知非化學增幅型之g線或i線光阻中之增感劑,或耐熱性提升劑之低分子量酚化合物的羥基之氫原子之一部份被上述酸解離性溶解抑制基所取代 之成份為佳,前述成份可任意使用。(A2) component, for example, a sensitizer in a g-line or i-line resist which is known to be a non-chemically amplified type, or a part of a hydrogen atom of a hydroxyl group of a low molecular weight phenol compound of a heat-resistant enhancer is dissociated by the above acid Substituted for dissolution inhibition The composition is preferred, and the aforementioned ingredients can be used arbitrarily.

該低分子量酚化合物,例如,雙(4-羥基苯基)甲烷、雙(2,3,4-三羥基苯基)甲烷、2-(4-羥基苯基)-2-(4’-羥基苯基)丙烷、2-(2,3,4-三羥基苯基)-2-(2’,3’,4’-三羥基苯基)丙烷、三(4-羥基苯基)甲烷、雙(4-羥基-3,5-二甲基苯基)-2-羥基苯基甲烷、雙(4-羥基-2,5-二甲基苯基)-2-羥基苯基甲烷、雙(4-羥基-3,5-二甲基苯基)-3,4-二羥基苯基甲烷、雙(4-羥基-2,5-二甲基苯基)-3,4-二羥基苯基甲烷、雙(4-羥基-3-甲基苯基)-3,4-二羥基苯基甲烷、雙(3-環己基-4-羥基-6-甲基苯基)-4-羥基苯基甲烷、雙(3-環己基-4-羥基-6-甲基苯基)-3,4-二羥基苯基甲烷、1-〔1-(4-羥基苯基)異丙基〕-4-〔1,1-雙(4-羥基苯基)乙基〕苯、酚、m-甲酚、p-甲酚或二甲酚等酚類之甲醛水縮合物之2、3、4核體等。當然並不限定於此。The low molecular weight phenol compound, for example, bis(4-hydroxyphenyl)methane, bis(2,3,4-trihydroxyphenyl)methane, 2-(4-hydroxyphenyl)-2-(4'-hydroxyl Phenyl)propane, 2-(2,3,4-trihydroxyphenyl)-2-(2',3',4'-trihydroxyphenyl)propane, tris(4-hydroxyphenyl)methane, double (4-hydroxy-3,5-dimethylphenyl)-2-hydroxyphenylmethane, bis(4-hydroxy-2,5-dimethylphenyl)-2-hydroxyphenylmethane, bis (4 -hydroxy-3,5-dimethylphenyl)-3,4-dihydroxyphenylmethane, bis(4-hydroxy-2,5-dimethylphenyl)-3,4-dihydroxyphenylmethane , bis(4-hydroxy-3-methylphenyl)-3,4-dihydroxyphenylmethane, bis(3-cyclohexyl-4-hydroxy-6-methylphenyl)-4-hydroxyphenylmethane , bis(3-cyclohexyl-4-hydroxy-6-methylphenyl)-3,4-dihydroxyphenylmethane, 1-[1-(4-hydroxyphenyl)isopropyl]-4-[ 1,2-bis(4-hydroxyphenyl)ethyl]benzene, phenol, m-cresol, p-cresol or xylenol, etc., 2, 3, 4 nucleus of a formalin condensate of phenols. Of course, it is not limited to this.

酸解離性溶解抑制基並未有特別限定,例如可為上述之內容。The acid dissociable dissolution inhibiting group is not particularly limited, and for example, it can be as described above.

(A)成份,可單獨使用1種,或將2種以上合併使用。(A) Ingredients may be used alone or in combination of two or more.

本發明之光阻組成物中,(A)成份之含量,可配合所欲形成之光阻膜厚度等進行調整即可。In the photoresist composition of the present invention, the content of the component (A) may be adjusted in accordance with the thickness of the photoresist film to be formed.

<(B)成份><(B) ingredients>

(B)成份,為含有前述通式(b1-1)所表示之化合物所形成之酸產生劑(B1)(以下,亦稱為(B1)成份)。該 (B1)成份,係與前述本發明之化合物(B1)為相同之內容。The component (B) is an acid generator (B1) (hereinafter, also referred to as a component (B1)) which is formed by containing the compound represented by the above formula (b1-1). The The component (B1) is the same as the above-mentioned compound (B1) of the present invention.

(B1)成份,可使用1種或將2種以上混合使用。(B1) The components may be used alone or in combination of two or more.

又,本發明之光阻組成物中,(B)成份中(B1)成份之含量,以40質量%以上為佳,以70質量%以上為更佳,亦可為100質量%。最佳為100質量%。於該範圍之下限值以上時,使用本發明之光阻組成物形成光阻圖型之際,可提高解析性、線路寬度不均度(LWR)等微影蝕刻特性。Further, in the photoresist composition of the present invention, the content of the component (B1) in the component (B) is preferably 40% by mass or more, more preferably 70% by mass or more, and may be 100% by mass. The best is 100% by mass. When the photoresist pattern of the present invention is used to form a photoresist pattern, the lithographic etching characteristics such as resolution and line width unevenness (LWR) can be improved.

(B)成份中,前述(B1)成份以外之酸產生劑(B2)(以下亦稱為(B2)成份)亦可併用前述(B1)成份。In the component (B), the acid generator (B2) other than the component (B1) (hereinafter also referred to as the component (B2)) may be used in combination with the component (B1).

(B2)成份,只要為前述(B1)成份以外之成份時並未有特別限定,其可使用目前為止被提案作為化學增幅型光阻用之酸產生劑的成份。The component (B2) is not particularly limited as long as it is a component other than the component (B1), and a component which has been proposed as an acid generator for chemically amplified photoresist has been used.

前述酸產生劑,目前為止例如碘鎓鹽或鋶鹽等鎓鹽系酸產生劑,肟磺酸酯系酸產生劑、雙烷基或雙芳基磺醯基重氮甲烷類、聚(雙磺醯基)重氮甲烷類等重氮甲烷系酸產生劑、硝基苄磺酸酯類系酸產生劑、亞胺基磺酸酯系酸產生劑、二碸類系酸產生劑等多種已知化合物。The acid generator is, for example, a sulfonium acid generator such as an iodonium salt or a sulfonium salt, an oxime sulfonate acid generator, a dialkyl or bisarylsulfonyldiazomethane, or a polysulfonate. Hydrazine-based diazomethane-based acid generator, nitrobenzyl sulfonate-based acid generator, iminosulfonate-based acid generator, diterpenoid acid generator, etc. Compound.

鎓鹽系酸產生劑,例如可使用下述通式(b-1)或(b-2)所示化合物。As the onium salt acid generator, for example, a compound represented by the following formula (b-1) or (b-2) can be used.

〔式中,R1” 至R3” 、R5” 至R6” ,各自獨立為芳基或烷基;式(b-1)中之R1” 至R3” 中,任意2個可相互鍵結並與式中之硫原子共同形成環亦可;R4” 為直鏈狀、支鏈狀或環狀烷基或氟化烷基;R1” 至R3” 中至少1個為芳基,R5” 至R6” 中至少1個為芳基〕。 Wherein R 1" to R 3" and R 5" to R 6" each independently represent an aryl group or an alkyl group; and any of R 1" to R 3" in the formula (b-1) may be Bonding to each other and forming a ring together with a sulfur atom in the formula; R 4" is a linear, branched or cyclic alkyl group or a fluorinated alkyl group; at least one of R 1" to R 3" is an aryl group, R 5 "to R 6" in at least one aryl group].

式(b-1)中,R1” 至R3” 各自獨立為芳基或烷基;式(b-1)中之R1” 至R3” 中,任意2個可相互鍵結並與式中之硫原子共同形成環亦可。In the formula (b-1), R 1" to R 3" are each independently an aryl group or an alkyl group; and in the formula (b-1), any one of R 1" to R 3" may be bonded to each other and The sulfur atoms in the formula may form a ring together.

又,R1” 至R3” 中,至少1個為芳基。R1” 至R3” 中以2個以上為芳基者為佳,又以R1” 至R3” 全部為芳基者為最佳。And, R 1 "to R 3", at least one aryl group. It is preferable that two or more of R 1′′ to R 3′′ are aryl groups, and those in which R 1′′ to R 3′′ are all aryl groups are preferred.

R1” 至R3” 之芳基,並未有特別限制,例如為碳數6~20之芳基,且該芳基之一部份或全部的氫原子可被烷基、烷氧基、鹵素原子、羥基等所取代,或未被取代者亦可。芳基就可廉價合成等觀點上,以使用碳數6~10之芳基為佳。具體而言,例如苯基、萘基等。The aryl group of R 1" to R 3" is not particularly limited, and is, for example, an aryl group having 6 to 20 carbon atoms, and a part or all of a hydrogen atom of the aryl group may be an alkyl group or an alkoxy group. A halogen atom, a hydroxyl group or the like may be substituted or unsubstituted. From the viewpoint of inexpensive synthesis of an aryl group, it is preferred to use an aryl group having 6 to 10 carbon atoms. Specifically, for example, a phenyl group, a naphthyl group or the like.

前述可以取代前述芳基之氫原子的烷基,以碳數1~5之烷基為佳,又以甲基、乙基、丙基、n-丁基、tert-丁基為最佳。The alkyl group which may be substituted for the hydrogen atom of the above aryl group is preferably an alkyl group having 1 to 5 carbon atoms, and more preferably a methyl group, an ethyl group, a propyl group, an n-butyl group or a tert-butyl group.

前述可以取代前述芳基之氫原子的烷氧基,以碳數1 ~5之烷氧基為佳,又以甲氧基、乙氧基為最佳。The alkoxy group which may replace the hydrogen atom of the aforementioned aryl group, having a carbon number of 1 The alkoxy group of ~5 is preferred, and the methoxy group and the ethoxy group are preferred.

前述可以取代前述芳基之氫原子的鹵素原子,以氟原子為最佳。The halogen atom which can replace the hydrogen atom of the above aryl group is preferably a fluorine atom.

R1” 至R3” 之烷基,並未有特別限制,例如可為碳數1~10之直鏈狀、支鏈狀或環狀烷基等。就具有優良解析性等觀點,以碳數1~5者為佳。具體而言,例如甲基、乙基、n-丙基、異丙基、n-丁基、異丁基、n-戊基、環戊基、己基、環己基、壬基、癸基等。就具有優良解析性、且可廉價合成之觀點而言,以使用甲基為更佳。The alkyl group of R 1" to R 3" is not particularly limited, and may be, for example, a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms. In terms of excellent resolution, it is preferable to use a carbon number of 1 to 5. Specifically, for example, a methyl group, an ethyl group, an n-propyl group, an isopropyl group, an n-butyl group, an isobutyl group, an n-pentyl group, a cyclopentyl group, a hexyl group, a cyclohexyl group, an anthracenyl group, an anthracenyl group and the like. From the viewpoint of having excellent resolution and being inexpensively synthesized, it is more preferable to use a methyl group.

其中又以R1” 至R3” 之分別為苯基或萘基者為最佳。Among them, those in which R 1 " to R 3 " are each a phenyl group or a naphthyl group are preferred.

式(b-1)中之R1” 至R3” 中,任意2個可相互鍵結並與式中之硫原子共同形成環之情形中,以形成含有硫原子之3~10員環為佳,又以形成含有5~7員環者為更佳。In the case of R 1" to R 3" in the formula (b-1), any two of them may be bonded to each other and form a ring together with the sulfur atom in the formula to form a 3 to 10 member ring containing a sulfur atom. Good, it is better to form a ring containing 5 to 7 members.

式(b-1)中之R1” 至R3” 中,任意2個可相互鍵結並與式中之硫原子共同形成環之情形中,剩餘之1個以芳基為佳。前述芳基,例如與前述R1” 至R3” 之芳基為相同之內容。In the case where any two of R 1" to R 3" in the formula (b-1) may be bonded to each other and form a ring together with the sulfur atom in the formula, the remaining one is preferably an aryl group. The aforementioned aryl group is, for example, the same as the above-mentioned aryl group of R 1" to R 3" .

R4” 為直鏈狀、支鏈狀或環狀之烷基,或直鏈狀、支鏈狀或環狀氟化烷基。R 4" is a linear, branched or cyclic alkyl group, or a linear, branched or cyclic fluorinated alkyl group.

前述直鏈狀或支鏈狀之烷基,以碳數1~10者為佳,以碳數1~8者為更佳,以碳數1~4者為最佳。The linear or branched alkyl group is preferably a carbon number of 1 to 10, more preferably a carbon number of 1 to 8, and most preferably a carbon number of 1 to 4.

前述環狀之烷基,係如前述R1” 所示環式基,其以碳數4~15者為佳,以碳數4~10者為更佳,以碳數6~10者為最佳。The cyclic alkyl group is a cyclic group represented by the above R 1 " , and preferably has a carbon number of 4 to 15, preferably a carbon number of 4 to 10, and a carbon number of 6 to 10. good.

前述氟化烷基,以碳數1~10者為佳,以碳數1~8者為更佳,以碳數1~4者為最佳。又,該氟化烷基之氟化率(烷基中氟原子之比例)較佳為10~100%,更佳為50~100%,特別是氫原子全部被氟原子取代所得氟化烷基(全氟烷基)者,以其酸之強度更強而為更佳。The fluorinated alkyl group is preferably one having a carbon number of 1 to 10, more preferably having a carbon number of 1 to 8, and preferably having a carbon number of 1 to 4. Further, the fluorination ratio of the fluorinated alkyl group (the ratio of the fluorine atom in the alkyl group) is preferably from 10 to 100%, more preferably from 50 to 100%, particularly the fluorinated alkyl group in which all hydrogen atoms are replaced by fluorine atoms. (Perfluoroalkyl group) is more preferred because its acid strength is stronger.

R4” ,以直鏈狀或環狀之烷基,或直鏈狀、支鏈狀或環狀氟化烷基者為最佳。R 4" is preferably a linear or cyclic alkyl group, or a linear, branched or cyclic fluorinated alkyl group.

式(b-2)中,R5” 至R6” 各自獨立為芳基或烷基;R5” 至R6” 中至少1個為芳基,R5” 至R6” 中以全部為芳基者為最佳。In the formula (b-2), R 5" to R 6" are each independently an aryl group or an alkyl group; at least one of R 5" to R 6" is an aryl group, and all of R 5" to R 6" are The aryl group is the best.

R5” 至R6” 之芳基,例如與R1” 至R3” 之芳基為相同之內容。The aryl group of R 5" to R 6" is, for example, the same as the aryl group of R 1" to R 3" .

R5” 至R6” 之烷基,例如與R1” 至R3” 之烷基為相同之內容。The alkyl group of R 5" to R 6" is, for example, the same as the alkyl group of R 1" to R 3" .

其中又以R5” 至R6” 全部為苯基者為最佳。Among them, those in which R 5" to R 6" are all phenyl groups are preferred.

前述式(b-2)中之R4” 與(b-1)中之R4” 為相同之內容。(B-2) in the above formula R 4 "and (b-1) in the R 4" is the same as the contents.

式(b-1)、(b-2)所示鎓鹽系酸產生劑之具體例如,二苯基碘鎓之三氟甲烷磺酸酯或九氟丁烷磺酸酯、雙(4-tert-丁基苯基)碘鎓之三氟甲烷磺酸酯或九氟丁烷磺酸酯、三苯基鋶之三氟甲烷磺酸酯、其七氟丙烷磺酸酯或其九氟丁烷磺酸酯、三(4-甲基苯基)鋶之三氟甲烷磺酸酯、其七氟丙烷磺酸酯或其九氟丁烷磺酸酯、二甲基(4-羥基萘基)鋶之三氟甲烷磺酸酯、其七氟丙烷磺酸酯或其九 氟丁烷磺酸酯、單苯基二甲基鋶之三氟甲烷磺酸酯、其七氟丙烷磺酸酯或其九氟丁烷磺酸酯、二苯基單甲基鋶之三氟甲烷磺酸酯、其七氟丙烷磺酸酯或其九氟丁烷磺酸酯、(4-甲基苯基)二苯基鋶之三氟甲烷磺酸酯、其七氟丙烷磺酸酯或其九氟丁烷磺酸酯、(4-甲氧基苯基)二苯基鋶之三氟甲烷磺酸酯、其七氟丙烷磺酸酯或其九氟丁烷磺酸酯、三(4-tert-丁基)苯基鋶之三氟甲烷磺酸酯、其七氟丙烷磺酸酯或其九氟丁烷磺酸酯、二苯基(1-(4-甲氧基)萘基)鋶之三氟甲烷磺酸酯、其七氟丙烷磺酸酯或其九氟丁烷磺酸酯、二(1-萘基)苯基鋶之三氟甲烷磺酸酯、其七氟丙烷磺酸酯或其九氟丁烷磺酸酯、1-苯基四氫噻吩鎓之三氟甲烷磺酸酯、其七氟丙烷磺酸酯或其九氟丁烷磺酸酯、1-(4-甲基苯基)四氫噻吩鎓之三氟甲烷磺酸酯、其七氟丙烷磺酸酯或其九氟丁烷磺酸酯、1-(3,5-二甲基-4-羥苯基)四氫噻吩鎓之三氟甲烷磺酸酯、其七氟丙烷磺酸酯或其九氟丁烷磺酸酯、1-(4-甲氧基萘-1-基)四氫噻吩鎓之三氟甲烷磺酸酯、其七氟丙烷磺酸酯或其九氟丁烷磺酸酯、1-(4-乙氧基萘-1-基)四氫噻吩鎓之三氟甲烷磺酸酯、其七氟丙烷磺酸酯或其九氟丁烷磺酸酯、1-(4-n-丁氧基萘-1-基)四氫噻吩鎓之三氟甲烷磺酸酯、其七氟丙烷磺酸酯或其九氟丁烷磺酸酯、1-苯基四氫噻喃鎓之三氟甲烷磺酸酯、其七氟丙烷磺酸酯或其九氟丁烷磺酸酯、1-(4-羥苯基)四氫噻喃鎓之三氟甲烷磺酸酯、其七氟丙烷磺酸酯或其九氟丁烷磺酸酯、1-(3,5-二甲基-4-羥苯基) 四氫噻喃鎓之三氟甲烷磺酸酯、其七氟丙烷磺酸酯或其九氟丁烷磺酸酯、1-(4-甲基苯基)四氫噻喃鎓之三氟甲烷磺酸酯、其七氟丙烷磺酸酯或其九氟丁烷磺酸酯等。Specific examples of the sulfonium acid generators represented by the formulae (b-1) and (b-2), for example, diphenyl iodonium trifluoromethanesulfonate or nonafluorobutane sulfonate, bis (4-tert) -butylphenyl)iodonium trifluoromethanesulfonate or nonafluorobutanesulfonate, triphenylsulfonium trifluoromethanesulfonate, heptafluoropropanesulfonate or its nonafluorobutanesulfonate , tris(4-methylphenyl)phosphonium trifluoromethanesulfonate, its heptafluoropropane sulfonate or its nonafluorobutane sulfonate, dimethyl(4-hydroxynaphthyl)phosphonium trifluoromethanesulfonate Acid ester, its heptafluoropropane sulfonate or its nine Fluorobutanesulfonate, triphenylmethanesulfonate of monophenyldimethylhydrazine, heptafluoropropanesulfonate or its nonafluorobutanesulfonate, trifluoromethanesulfonic acid of diphenylmonomethylhydrazine Ester, its heptafluoropropane sulfonate or its nonafluorobutane sulfonate, (4-methylphenyl)diphenylphosphonium trifluoromethanesulfonate, its heptafluoropropane sulfonate or its nonafluorobutane sulfonic acid Ethyl ester, (4-methoxyphenyl)diphenylphosphonium trifluoromethanesulfonate, heptafluoropropane sulfonate or its nonafluorobutane sulfonate, tris(4-tert-butyl)phenyl hydrazine Trifluoromethanesulfonate, heptafluoropropane sulfonate or its nonafluorobutane sulfonate, diphenyl(1-(4-methoxy)naphthyl)phosphonium trifluoromethanesulfonate, heptafluoropropane a sulfonate or a nonafluorobutane sulfonate thereof, a trifluoromethanesulfonate of bis(1-naphthyl)phenylhydrazine, a heptafluoropropane sulfonate thereof or a nonafluorobutane sulfonate thereof, a 1-phenyl group Trifluoromethanesulfonate of tetrahydrothiophene, its heptafluoropropane sulfonate or its nonafluorobutane sulfonate, trifluoromethanesulfonate of 1-(4-methylphenyl)tetrahydrothiophene, Heptafluoropropane sulfonate or its nonafluorobutane Acid ester, trifluoromethanesulfonate of 1-(3,5-dimethyl-4-hydroxyphenyl)tetrahydrothiophene, its heptafluoropropane sulfonate or its nonafluorobutane sulfonate, 1-( 4-methoxynaphthalen-1-yl)tetrahydrothiophene trifluoromethanesulfonate, heptafluoropropane sulfonate or its nonafluorobutane sulfonate, 1-(4-ethoxynaphthalene-1- Trifluorothiophene trifluoromethanesulfonate, heptafluoropropane sulfonate or its nonafluorobutane sulfonate, 1-(4-n-butoxynaphthalen-1-yl)tetrahydrothiophene Trifluoromethanesulfonate, its heptafluoropropane sulfonate or its nonafluorobutane sulfonate, triphenylmethanesulfonate of 1-phenyltetrahydrothiopyranium, its heptafluoropropane sulfonate or its nonafluorobutane Sulfonic acid ester, trifluoromethanesulfonate of 1-(4-hydroxyphenyl)tetrahydrothiopyrene, its heptafluoropropane sulfonate or its nonafluorobutane sulfonate, 1-(3,5-dimethyl 4-hydroxyphenyl) Trifluoromethanesulfonate, its heptafluoropropane sulfonate or its nonafluorobutane sulfonate, 1-(4-methylphenyl)tetrahydrothiopyranium trifluoromethanesulfonate And heptafluoropropane sulfonate or its nonafluorobutane sulfonate.

又,可使用前述鎓鹽之陰離子部被甲烷磺酸酯、n-丙烷磺酸酯、n-丁烷磺酸酯、n-辛烷磺酸酯所取代之鎓鹽。Further, an anthracene salt in which the anion portion of the above-mentioned phosphonium salt is substituted with methanesulfonate, n-propanesulfonate, n-butanesulfonate or n-octanesulfonate can be used.

又,亦可使用前述式(b-1)或(b-2)中,陰離子部被下述式(b-3)或(b-4)所示陰離子部取代所得之鎓鹽系酸產生劑亦可(陽離子部係與前述式(b-1)或(b-2)相同)。Further, in the above formula (b-1) or (b-2), the anthracene salt-based acid generator obtained by substituting an anion moiety with an anion moiety represented by the following formula (b-3) or (b-4) may be used. Alternatively, the cationic moiety may be the same as the above formula (b-1) or (b-2).

〔式中,X”為至少1個氫原子被氟原子取代之碳數2~6之伸烷基;Y”、Z”各自獨立為至少1個氫原子被氟原子取代之碳數1~10之烷基〕。 [wherein, X" is an alkylene group having 2 to 6 carbon atoms in which at least one hydrogen atom is replaced by a fluorine atom; Y" and Z" are each independently a carbon number of 1 to 10 in which at least one hydrogen atom is replaced by a fluorine atom. Alkyl].

X”為至少1個氫原子被氟原子取代之直鏈狀或支鏈狀伸烷基,該伸烷基之碳數較佳為2~6,更佳為碳數3~5,最佳為碳數3。X" is a linear or branched alkyl group in which at least one hydrogen atom is replaced by a fluorine atom, and the carbon number of the alkyl group is preferably from 2 to 6, more preferably from 3 to 5, most preferably Carbon number 3.

Y”、Z”各自獨立為至少1個氫原子被氟原子取代之直鏈狀或支鏈狀烷基,該烷基之碳數較佳為1~10,更佳為碳數1~7,最佳為碳數1~3。Y′′, Z′′ each independently is a linear or branched alkyl group in which at least one hydrogen atom is replaced by a fluorine atom, and the carbon number of the alkyl group is preferably from 1 to 10, more preferably from 1 to 7, carbon atoms. The best carbon number is 1~3.

X”之伸烷基之碳數或Y”、Z”之烷基的碳數於上述碳數範圍內時,基於對光阻溶劑具有優良溶解性等理由,以 越小越好。When the carbon number of the alkyl group of X" or the carbon number of the alkyl group of Y" or Z" is in the above carbon number range, based on the reason that the solubility of the solvent is excellent, The smaller the better.

又,X”之伸烷基或Y”、Z”之烷基中,被氟原子取代之氫原子數越多時,酸之強度越強,又,相對於200nm以下之高能量光線或電子線時,以其可提高透明性而為較佳。該伸烷基或烷基中之氟原子之比例,即氟化率,較佳為70~100%,更佳為90~100%,最佳為全部氫原子被氟原子取代之全氟伸烷基或全氟烷基。Further, in the alkyl group of X" or the alkyl group of Y" or Z", the more the number of hydrogen atoms substituted by fluorine atoms, the stronger the strength of the acid, and the higher energy light or electron line with respect to 200 nm or less. Preferably, the transparency is improved by the ratio of the fluorine atom in the alkyl group or the alkyl group, that is, the fluorination rate is preferably 70 to 100%, more preferably 90 to 100%, and most preferably A perfluoroalkylene or perfluoroalkyl group in which all hydrogen atoms are replaced by fluorine atoms.

又,亦可使用具有下述通式(b-5)或(b-6)所表示之陽離子部之鋶鹽作為鎓鹽系酸產生劑使用。Further, an onium salt having a cationic portion represented by the following formula (b-5) or (b-6) can be used as the onium salt acid generator.

〔式中,R41 ~R46 為各自獨立之烷基、乙醯基、烷氧基、羧基、羥基或羥烷基;n1 ~n5 為各自獨立之0~3之整數,n6 為0~2之整數〕。 Wherein R 41 to R 46 are each independently an alkyl group, an ethyl group, an alkoxy group, a carboxyl group, a hydroxyl group or a hydroxyalkyl group; n 1 to n 5 are each independently an integer of 0 to 3, and n 6 is An integer from 0 to 2].

R41 ~R46 中,烷基以碳數1~5之烷基為佳,其中又以直鏈狀或支鏈狀之烷基為更佳,以甲基、乙基、丙基、異丙基、n-丁基、或tert-丁基為特佳。In R 41 to R 46 , the alkyl group is preferably an alkyl group having 1 to 5 carbon atoms, more preferably a linear or branched alkyl group, and a methyl group, an ethyl group, a propyl group or an isopropyl group. The base, n-butyl, or tert-butyl are particularly preferred.

烷氧基以碳數1~5之烷氧基為佳,其中又以直鏈狀 或支鏈狀之烷氧基為更佳,以甲氧基、乙氧基為特佳。The alkoxy group is preferably an alkoxy group having 1 to 5 carbon atoms, wherein the alkoxy group is linear Further, a branched alkoxy group is more preferable, and a methoxy group or an ethoxy group is particularly preferred.

羥烷基以上述烷基中之一個或多數個之氫原子被羥基所取代之基為佳,例如羥甲基、羥乙基、羥丙基等。The hydroxyalkyl group is preferably a group in which one or a plurality of hydrogen atoms of the above alkyl group are substituted by a hydroxyl group, such as a methylol group, a hydroxyethyl group, a hydroxypropyl group or the like.

R41 ~R46 所附加之符號n1 ~n6 為2以上之整數時,該複數之R41 ~R46 各自可為相同或相異皆可。When the symbols n 1 to n 6 attached to R 41 to R 46 are integers of 2 or more, the plural R 41 to R 46 may be the same or different.

n1 較佳為0~2,更佳為0或1,最佳為0。n 1 is preferably 0 to 2, more preferably 0 or 1, and most preferably 0.

n2 及n3 ,較佳為各自獨立之0或1,更佳為0。n 2 and n 3 are preferably each independently 0 or 1, more preferably 0.

n4 較佳為0~2,更佳為0或1。n 4 is preferably 0 to 2, more preferably 0 or 1.

n5 較佳為0或1,更佳為0。n 5 is preferably 0 or 1, more preferably 0.

n6 較佳為0或1,更佳為1。n 6 is preferably 0 or 1, more preferably 1.

具有式(b-5)或(b-6)所表示之陽離子部之鋶鹽的陰離子部,並未有特別限定,其可使用與目前提案作為鎓鹽系酸產生劑之陰離子部為相同之陰離子部。該陰離子部,例如上述通式(b-1)或(b-2)所表示之鎓鹽系酸產生劑之陰離子部(R4” SO3 )等氟化烷基磺酸離子;上述通式(b-3)或(b-4)所表示之陰離子部等。其中,又以氟化烷基磺酸離子為佳,以碳數1~4之氟化烷基磺酸離子為更佳,以碳數1~4之直鏈狀之全氟烷基磺酸離子為最佳。具體例如三氟甲基磺酸離子、七氟-n-丙基磺酸離子、九氟-n-丁基磺酸離子等。The anion portion having a sulfonium salt of the cation portion represented by the formula (b-5) or (b-6) is not particularly limited, and it can be used in the same manner as the anion portion currently proposed as the sulfonium acid generator. Anion part. The anion portion is, for example, a fluorinated alkylsulfonic acid ion such as an anion portion (R 4 " SO 3 - ) of the sulfonium salt generator represented by the above formula (b-1) or (b-2); An anion moiety represented by the formula (b-3) or (b-4), wherein a fluorinated alkylsulfonic acid ion is preferred, and a fluorinated alkylsulfonic acid ion having a carbon number of 1 to 4 is more preferred. It is preferred to use a linear perfluoroalkylsulfonic acid ion having a carbon number of 1 to 4. Specifically, for example, a trifluoromethanesulfonate ion, a heptafluoro-n-propylsulfonate ion, and a nonafluoro-n-butyl group. Sulfonic acid ion and the like.

本說明書中,肟磺酸酯系酸產生劑例如至少具有1個下述通式(B-1)所示之基之化合物,其具有經由放射線照射可產生酸之特性。前述肟磺酸酯系酸產生劑,常用於化學增幅型正型光阻組成物使用,本發明可任意進行選擇 使用。In the present specification, the oxime sulfonate-based acid generator has, for example, a compound having at least one group represented by the following formula (B-1), which has a property of generating an acid by radiation irradiation. The above-mentioned sulfonate-based acid generator is generally used for a chemically amplified positive-type photoresist composition, and the invention can be arbitrarily selected. use.

〔式(B-1)中,R31 、R32 各自獨立為有機基〕。 [In the formula (B-1), R 31 and R 32 are each independently an organic group].

R31 、R32 之有機基為含有碳原子之基,但其亦可含有碳原子以外之原子(例如氫原子、氧原子、氮原子、硫原子、鹵素原子(氟原子、氯原子等)等)。The organic group of R 31 and R 32 is a group containing a carbon atom, but it may also contain an atom other than a carbon atom (for example, a hydrogen atom, an oxygen atom, a nitrogen atom, a sulfur atom, a halogen atom (a fluorine atom, a chlorine atom, etc.), etc.) ).

R31 之有機基,以直鏈狀、分支狀或環狀烷基或芳基為佳。前述烷基、芳基可具有取代基。該取代基並未有任何限制,例如可為氟原子、碳數1~6之直鏈狀、支鏈狀或環狀烷基等。其中,「具有取代基」係指烷基或芳基之氫原子中一部份或全部被取代基所取代之意。The organic group of R 31 is preferably a linear, branched or cyclic alkyl group or an aryl group. The aforementioned alkyl group or aryl group may have a substituent. The substituent is not particularly limited, and examples thereof include a fluorine atom, a linear one having a carbon number of 1 to 6, a branched or a cyclic alkyl group, and the like. Here, the "having a substituent" means that a part or the whole of a hydrogen atom of an alkyl group or an aryl group is substituted by a substituent.

烷基以碳數1~20為佳,以碳數1~10為較佳,以碳數1~8為更佳,以碳數1~6為最佳,以碳數1~4為特佳。其中,烷基,特別是以部份或完全被鹵化所得之烷基(以下,亦稱為鹵化烷基)為佳。又,部份鹵化之烷基,係指氫原子之一部份被鹵素原子所取代之烷基,完全鹵化之烷基,係指氫原子全部被鹵素原子所取代之烷基之意。前述鹵素原子,例如氟原子、氯原子、溴原子、碘原子等,特別是以氟原子為佳。即,鹵化烷基以氟化烷基為佳。The alkyl group has a carbon number of 1 to 20, preferably a carbon number of 1 to 10, preferably a carbon number of 1 to 8, preferably a carbon number of 1 to 6, and a carbon number of 1 to 4. . Among them, an alkyl group is particularly preferably an alkyl group (hereinafter, also referred to as a halogenated alkyl group) obtained by partial or complete halogenation. Further, a partially halogenated alkyl group means an alkyl group in which a part of a hydrogen atom is substituted by a halogen atom, and a completely halogenated alkyl group means an alkyl group in which a hydrogen atom is entirely substituted by a halogen atom. The halogen atom, for example, a fluorine atom, a chlorine atom, a bromine atom, an iodine atom or the like, is preferably a fluorine atom. That is, the halogenated alkyl group is preferably a fluorinated alkyl group.

芳基以碳數4~20者為佳,以碳數4~10者為較佳,以碳數6~10者為更佳。芳基特別是以部份或全部被鹵化所得之芳基為佳。又,部份鹵化之芳基,係指氫原子之一 部份被鹵素原子所取代之芳基,完全鹵化之芳基,係指氫原子全部被鹵素原子所取代之芳基之意。The aryl group is preferably a carbon number of 4 to 20, preferably a carbon number of 4 to 10, and a carbon number of 6 to 10. The aryl group is particularly preferably an aryl group obtained by partially or completely halogenating. Further, a partially halogenated aryl group means one of hydrogen atoms The aryl group partially substituted by a halogen atom and the completely halogenated aryl group mean the aryl group in which all hydrogen atoms are replaced by a halogen atom.

R31 特別是以不具有取代基之碳數1~4之烷基,或碳數1~4之氟化烷基為佳。R 31 is particularly preferably an alkyl group having 1 to 4 carbon atoms or a fluorinated alkyl group having 1 to 4 carbon atoms which has no substituent.

R32 之有機基,以直鏈狀、支鏈狀或環狀烷基、芳基或氰基為佳。R32 之烷基、芳基,例如與前述R31 所列舉之烷基、芳基為相同之內容。The organic group of R 32 is preferably a linear, branched or cyclic alkyl group, an aryl group or a cyano group. The alkyl group or the aryl group of R 32 is, for example, the same as the alkyl group or the aryl group exemplified in the above R 31 .

R32 特別是為氰基、不具有取代基之碳數1~8之烷基,或碳數1~8之氟化烷基為佳。R 32 is particularly preferably a cyano group, an alkyl group having 1 to 8 carbon atoms which has no substituent, or a fluorinated alkyl group having 1 to 8 carbon atoms.

肟磺酸酯系酸產生劑,更佳者例如下述通式(B-2)或(B-3)所示化合物等。The oxime sulfonate-based acid generator is more preferably a compound represented by the following formula (B-2) or (B-3).

〔式(B-2)中,R33 為氰基、不具有取代基之烷基或鹵化烷基;R34 為芳基;R35 為不具有取代基之烷基或鹵化烷基〕 [In the formula (B-2), R 33 is a cyano group, an alkyl group having no substituent or a halogenated alkyl group; R 34 is an aryl group; and R 35 is an alkyl group having no substituent or an alkyl group having a halogenated group]

〔式(B-3)中,R36 為氰基、不具有取代基之烷基或鹵化烷基;R37 為2或3價之芳香族烴基;R38 為不具有取代基之烷基或鹵化烷基,p”為2或3〕。 [In the formula (B-3), R 36 is a cyano group, an alkyl group having no substituent or a halogenated alkyl group; R 37 is a 2 or 3 valent aromatic hydrocarbon group; and R 38 is an alkyl group having no substituent or Halogenated alkyl group, p" is 2 or 3].

前述式(B-2)中,R33 之不具有取代基之烷基或鹵化烷基,以碳數1~10為佳,以碳數1~8為更佳,以碳數1~6為最佳。In the above formula (B-2), the alkyl group or the halogenated alkyl group having no substituent of R 33 is preferably a carbon number of 1 to 10, more preferably a carbon number of 1 to 8, and a carbon number of 1 to 6. optimal.

R33 以鹵化烷基為佳,又以氟化烷基為更佳。R 33 is preferably a halogenated alkyl group, more preferably a fluorinated alkyl group.

R33 中之氟化烷基,其烷基中氫原子以50%以上被氟化者為佳,更佳為70%以上,又以90%以上被氟化者為最佳。The fluorinated alkyl group in R 33 is preferably one in which the hydrogen atom in the alkyl group is fluorinated by 50% or more, more preferably 70% or more, and more preferably 90% or more.

R34 之芳基,例如苯基或聯苯基(biphenyl)、芴基(fluorenyl)、萘基、蒽基(anthryl)基、菲基等之芳香族烴之環去除1個氫原子之基,及構成前述基之環的碳原子之一部份被氧原子、硫原子、氮原子等雜原子取代所得之雜芳基等。其中又以芴基為更佳。The aryl group of R 34 , for example, a ring of an aromatic hydrocarbon such as a phenyl group or a biphenyl group, a fluorenyl group, a naphthyl group, an anthyl group or a phenanthryl group, which removes a hydrogen atom group, And a heteroaryl group obtained by substituting a part of a carbon atom of the ring of the above-mentioned group with a hetero atom such as an oxygen atom, a sulfur atom or a nitrogen atom. Among them, the base is better.

R34 之芳基,可具有碳數1~10之烷基、鹵化烷基、烷氧基等取代基亦可。該取代基中之烷基或鹵化烷基,以碳數1~8為佳,以碳數1~4為更佳。又,該鹵化烷基以氟化烷基為更佳。The aryl group of R 34 may have a substituent such as an alkyl group having 1 to 10 carbon atoms, a halogenated alkyl group or an alkoxy group. The alkyl group or the halogenated alkyl group in the substituent is preferably a carbon number of 1 to 8, and more preferably a carbon number of 1 to 4. Further, the halogenated alkyl group is more preferably a fluorinated alkyl group.

R35 之不具有取代基之烷基或鹵化烷基,以碳數1~10為佳,以碳數1~8為更佳,以碳數1~6為最佳。The alkyl group or the halogenated alkyl group having no substituent of R 35 is preferably a carbon number of 1 to 10, more preferably a carbon number of 1 to 8, and most preferably a carbon number of 1 to 6.

R35 以鹵化烷基為佳,以氟化烷基為更佳。R 35 is preferably a halogenated alkyl group, more preferably a fluorinated alkyl group.

R35 中之氟化烷基,其烷基之氫原子以50%以上被氟化者為佳,更佳為70%以上,又以90%以上被氟化時,可提高所產生之酸而為更佳。最佳者則為氫原子100%被氟取代之全氟化烷基。The fluorinated alkyl group in R 35 preferably has a hydrogen atom of an alkyl group of 50% or more, more preferably 70% or more, and when it is fluorinated by 90% or more, the acid produced can be increased. For better. The most preferred is a perfluorinated alkyl group in which the hydrogen atom is 100% replaced by fluorine.

前述式(B-3)中,R36 之不具有取代基之烷基或鹵化 烷基,例如與上述R33 所示之不具有取代基之烷基或鹵化烷基為相同之內容。In the above formula (B-3), the alkyl group or the halogenated alkyl group having no substituent of R 36 is, for example, the same as the alkyl group or the halogenated alkyl group having no substituent represented by the above R 33 .

R37 之2或3價之芳香族烴基,例如由上述R34 之芳基中再去除1或2個氫原子所得之基等。The 2 or 3 valent aromatic hydrocarbon group of R 37 is, for example, a group obtained by further removing 1 or 2 hydrogen atoms from the aryl group of the above R 34 .

R38 之不具有取代基之烷基或鹵化烷基,例如與上述R35 所示之不具有取代基之烷基或鹵化烷基為相同之內容。R 38 the alkyl group having no substituent or a halogenated alkyl group of, for example, as shown in the above-described R 35 alkyl group or a halogenated alkyl group having no substituent group of the same content.

p”較佳為2。p" is preferably 2.

肟磺酸酯系酸產生劑之具體例,如α-(p-甲苯磺醯氧亞胺基)-苄基氰化物(cyanide)、α-(p-氯基苯磺醯氧亞胺基)-苄基氰化物、α-(4-硝基苯磺醯氧亞胺基)-苄基氰化物、α-(4-硝基-2-三氟甲基苯磺醯氧亞胺基)-苄基氰化物、α-(苯磺醯氧亞胺基)-4-氯基苄基氰化物、α-(苯磺醯氧亞胺基)-2,4-二氯基苄基氰化物、α-(苯磺醯氧亞胺基)-2,6-二氯基苄基氰化物、α-(苯磺醯氧亞胺基)-4-甲氧基苄基氰化物、α-(2-氯基苯磺醯氧亞胺基)-4-甲氧基苄基氰化物、α-(苯磺醯氧亞胺基)-噻嗯-2-基乙腈、α-(4-十二烷基苯磺醯氧亞胺基)-苄基氰化物、α-〔(p-甲苯磺醯氧亞胺基)-4-甲氧基苯基〕乙腈、α-〔(十二烷基苯磺醯氧亞胺基)-4-甲氧基苯基〕乙腈、α-(對甲苯磺醯氧亞胺基)-4-噻嗯基氰化物、α-(甲基磺醯氧亞胺基)-1-環戊烯基乙腈、α-(甲基磺醯氧亞胺基)-1-環己烯基乙腈、α-(甲基磺醯氧亞胺基)-1-環庚烯基乙腈、α-(甲基磺醯氧亞胺基)-1-環辛烯基 乙腈、α-(三氟甲基磺醯氧亞胺基)-1-環戊烯基乙腈、α-(三氟甲基磺醯氧亞胺基)-環己基乙腈、α-(乙基磺醯氧亞胺基)-乙基乙腈、α-(丙基磺醯氧亞胺基)-丙基乙腈、α-(環己基磺醯氧亞胺基)-環戊基乙腈、α-(環己基磺醯氧亞胺基)-環己基乙腈、α-(環己基磺醯氧亞胺基)-1-環戊烯基乙腈、α-(乙基磺醯氧亞胺基)-1-環戊烯基乙腈、α-(異丙基磺醯氧亞胺基)-1-環戊烯基乙腈、α-(n-丁基磺醯氧亞胺基)-1-環戊烯基乙腈、α-(乙基磺醯氧亞胺基)-1-環己烯基乙腈、α-(異丙基磺醯氧亞胺基)-1-環己烯基乙腈、α-(n-丁基磺醯氧亞胺基)-1-環己烯基乙腈、α-(甲基磺醯氧亞胺基)-苯基乙腈、α-(甲基磺醯氧亞胺基)-p-甲氧基苯基乙腈、α-(三氟甲基磺醯氧亞胺基)-苯基乙腈、α-(三氟甲基磺醯氧亞胺基)-p-甲氧基苯基乙腈、α-(乙基磺醯氧亞胺基)-p-甲氧基苯基乙腈、α-(丙基磺醯氧亞胺基)-p-甲基苯基乙腈、α-(甲基磺醯氧亞胺基)-p-溴基苯基乙腈等。Specific examples of the sulfonate-based acid generator, such as α-(p-toluenesulfonyloxyimido)-benzyl cyanide (cyanide), α-(p-chlorophenylsulfonyloxyimino) -benzyl cyanide, α-(4-nitrophenylsulfonyloxyimido)-benzyl cyanide, α-(4-nitro-2-trifluoromethylbenzenesulfonyloxyimido)- Benzyl cyanide, α-(phenylsulfonyloxyimido)-4-chlorobenzyl cyanide, α-(phenylsulfonyloxyimido)-2,4-dichlorobenzyl cyanide, --(phenylsulfonyloxyimino)-2,6-dichlorobenzyl cyanide, α-(phenylsulfonyloxyimino)-4-methoxybenzyl cyanide, α-(2 -Chlorobenzenesulfonyloxyimido)-4-methoxybenzyl cyanide, α-(phenylsulfonyloxyimino)-thien-2-ylacetonitrile, α-(4-dodecane Benzosulfonyloxyimido)-benzyl cyanide, α-[(p-toluenesulfonyloxyimido)-4-methoxyphenyl]acetonitrile, α-[(dodecylbenzenesulfonate)醯 oxyimino)-4-methoxyphenyl]acetonitrile, α-(p-toluenesulfonyloxyimino)-4-thyl cyanide, α-(methylsulfonyloxyimino) -1- ring Pentenyl acetonitrile, α-(methylsulfonyloxyimino)-1-cyclohexenylacetonitrile, α-(methylsulfonyloxyimido)-1-cycloheptenylacetonitrile, α-( Methylsulfonyloxyimino)-1-cyclooctenyl Acetonitrile, α-(trifluoromethylsulfonyloxyimido)-1-cyclopentenylacetonitrile, α-(trifluoromethylsulfonyloxyimido)-cyclohexylacetonitrile, α-(ethylsulfonate醯 oxyimino)-ethyl acetonitrile, α-(propylsulfonyloxyimino)-propyl acetonitrile, α-(cyclohexylsulfonyloxyimido)-cyclopentylacetonitrile, α-(ring Hexyl sulfoximine oxyimino)-cyclohexylacetonitrile, α-(cyclohexylsulfonyloxyimino)-1-cyclopentenylacetonitrile, α-(ethylsulfonyloxyimino)-1-ring Pentenyl acetonitrile, α-(isopropylsulfonyloxyimino)-1-cyclopentenylacetonitrile, α-(n-butylsulfonyloxyimino)-1-cyclopentenylacetonitrile, --(ethylsulfonyloxyimino)-1-cyclohexenylacetonitrile, α-(isopropylsulfonyloxyimino)-1-cyclohexenylacetonitrile, α-(n-butyl Sulfonoxyimino)-1-cyclohexenylacetonitrile, α-(methylsulfonyloxyimino)-phenylacetonitrile, α-(methylsulfonyloxyimino)-p-methoxy Phenyl acetonitrile, α-(trifluoromethylsulfonyloxyimido)-phenylacetonitrile, α-(trifluoromethylsulfonyloxyimino)-p-methoxyphenyl B , α-(ethylsulfonyloxyimido)-p-methoxyphenylacetonitrile, α-(propylsulfonyloxyimino)-p-methylphenylacetonitrile, α-(methylsulfonate醯 oxyimino)-p-bromophenylacetonitrile and the like.

又,特開平9-208554號公報(段落〔0012〕至〔0014〕之〔化18〕至〔化19〕)所揭示之肟磺酸酯系酸產生劑,WO2004/074242A2(65~85頁之Example 1~40)所揭示之肟磺酸酯系酸產生劑亦可配合需要使用。Further, the oxime sulfonate-based acid generator disclosed in JP-A-9-208554 (paragraphs [0012] to [0014] [Chem. 18] to [Chem. 19]), WO2004/074242A2 (pp. 65-85) The sulfonate-based acid generator disclosed in Examples 1 to 40) may also be used in combination.

又,較適當者例如下述所示之化合物等。Further, for example, a compound shown below or the like is suitable.

重氮甲烷系酸產生劑中,雙烷基或雙芳基磺醯基重氮甲烷類之具體例,如雙(異丙基磺醯基)重氮甲烷、雙(p-甲苯磺醯基)重氮甲烷、雙(1,1-二甲基乙基磺醯基)重氮甲烷、雙(環己基磺醯基)重氮甲烷、雙(2,4-二甲基苯基磺醯基)重氮甲烷等。Specific examples of the dialkyl or bisarylsulfonyldiazomethane in the diazomethane acid generator, such as bis(isopropylsulfonyl)diazomethane or bis(p-toluenesulfonyl) Diazomethane, bis(1,1-dimethylethylsulfonyl)diazomethane, bis(cyclohexylsulfonyl)diazomethane, bis(2,4-dimethylphenylsulfonyl) Diazomethane, etc.

又,亦適合使用特開平11-035551號公報、特開平11-035552號公報、特開平11-035573號公報所揭示之重氮甲烷系酸產生劑。Further, the diazomethane-based acid generator disclosed in JP-A-H11-035551, JP-A-H11-035552, and JP-A-11-035573 is also suitable.

又,聚(雙磺醯基)重氮甲烷類,例如特開平11-322707號公報所揭示之1,3-雙(苯基磺醯基重氮甲基磺醯基)丙烷、1,4-雙(苯基磺醯基重氮甲基磺醯基)丁烷、1,6-雙(苯基磺醯基重氮甲基磺醯基)己烷、1,10-雙(苯基磺醯基重氮甲基磺醯基)癸烷、1,2-雙(環己基磺醯基重氮甲基磺醯基)乙烷、1,3-雙(環己基磺醯基重氮甲基磺醯基)丙烷、1,6-雙(環己基磺醯基重氮甲基磺醯基)己烷、1,10-雙(環己基磺醯基重氮甲基磺醯基)癸烷等。Further, poly(disulfonyl)diazomethane, for example, 1,3-bis(phenylsulfonyldiazomethylsulfonyl)propane disclosed in JP-A-11-322707, 1,4- Bis(phenylsulfonyldiazomethylsulfonyl)butane, 1,6-bis(phenylsulfonyldiazomethylsulfonyl)hexane, 1,10-bis(phenylsulfonate) Base heavy nitrogen methylsulfonyl) decane, 1,2-bis(cyclohexylsulfonyldiazomethylsulfonyl)ethane, 1,3-bis(cyclohexylsulfonyldiazomethylsulfonate) Mercapto)propane, 1,6-bis(cyclohexylsulfonyldiazomethylsulfonyl)hexane, 1,10-bis(cyclohexylsulfonyldiazomethylsulfonyl)decane, and the like.

(B2)成份可單獨使用1種前述酸產生劑,或將2種 以上組合使用亦可。(B2) The component may be used alone or in combination with one of the foregoing acid generators. The above combination can also be used.

本發明之光阻組成物中,(B)成份之含量,對(A)成份100質量份為使用0.5~30質量份,較佳為使用1~20質量份。於上述範圍時,可充分形成圖型。且可得到均勻之溶液,與良好之保存安定性。In the photoresist composition of the present invention, the content of the component (B) is 0.5 to 30 parts by mass, preferably 1 to 20 parts by mass, per 100 parts by mass of the component (A). In the above range, the pattern can be sufficiently formed. And a uniform solution can be obtained with good preservation stability.

<任意成份><arbitrary ingredients>

本發明之光阻組成物中,為提昇光阻圖型形狀、保存安定性(post exposure stability of the latent image formed by the pattern-wise exposure of the resist layer)時,可再添加任意成份之含氮有機化合物(D)(以下亦稱為(D)成份)。In the photoresist composition of the present invention, when the post exposure stability of the latent image formed by the pattern-wise exposure of the resist layer, the nitrogen content of any component may be further added. Organic compound (D) (hereinafter also referred to as (D) component).

此(D)成份,目前已有多種化合物之提案,其亦可使用公知之任意成份,其中又以脂肪族胺、特別是二級脂肪族胺或三級脂肪族胺為佳。脂肪族胺,為具有1個以上之脂肪族之胺,該脂肪族基以碳數1~12者為佳。As the component (D), proposals have been made for various compounds, and any of the known components may be used, and among them, an aliphatic amine, particularly a secondary aliphatic amine or a tertiary aliphatic amine is preferred. The aliphatic amine is an amine having one or more aliphatic groups, and the aliphatic group is preferably one having a carbon number of from 1 to 12.

脂肪族胺,例如氨NH3 中之至少1個氫原子被碳數12以下之烷基或羥烷基取代所得之胺(烷基胺或烷醇胺)或環式胺等。An aliphatic amine such as an amine (alkylamine or alkanolamine) or a cyclic amine obtained by substituting at least one hydrogen atom of ammonia NH 3 with an alkyl group or a hydroxyalkyl group having 12 or less carbon atoms.

烷基胺與烷醇胺之具體例如n-己基胺、n-庚基胺、n-辛基胺、n-壬基胺、n-癸基胺等單烷基胺;二乙基胺、二-n-丙基胺、二-n-庚基胺、二-n-辛基胺、二環己基胺等二烷基胺;三甲基胺、三乙基胺、三-n-丙基胺、三-n-丁基胺、三-n-己基胺、三-n-戊基胺、三-n-庚基胺、三-n-辛基 胺、三-n-壬基胺、三-n-癸基胺、三-n-十二烷基胺等三烷基胺;二乙醇胺、三乙醇胺、二異丙醇胺、三異丙醇胺、二-n-辛醇胺、三-n-辛醇胺等烷醇胺。其中又以3個碳數5~10之烷基鍵結於氮原子之三烷基胺為佳,以三-n-戊基胺為最佳。Specific alkylamines and alkanolamines such as n-hexylamine, n-heptylamine, n-octylamine, n-decylamine, n-decylamine, etc.; monoethylamine; a dialkylamine such as -n-propylamine, di-n-heptylamine, di-n-octylamine or dicyclohexylamine; trimethylamine, triethylamine, tri-n-propylamine , tri-n-butylamine, tri-n-hexylamine, tri-n-pentylamine, tri-n-heptylamine, tri-n-octyl a trialkylamine such as an amine, tri-n-decylamine, tri-n-decylamine or tri-n-dodecylamine; diethanolamine, triethanolamine, diisopropanolamine, triisopropanolamine An alkanolamine such as di-n-octanolamine or tri-n-octanolamine. Among them, a trialkylamine in which three alkyl groups having 5 to 10 carbon atoms are bonded to a nitrogen atom is preferred, and tri-n-pentylamine is preferred.

環式胺,例如含有作為雜原子之氮原子的雜環化合物等。該雜環化合物,可為單環式之化合物(脂肪族單環式胺),或多環式之化合物(脂肪族多環式胺)亦可。The cyclic amine is, for example, a heterocyclic compound containing a nitrogen atom as a hetero atom. The heterocyclic compound may be a monocyclic compound (aliphatic monocyclic amine) or a polycyclic compound (aliphatic polycyclic amine).

脂肪族單環式胺,具體而言,例如哌啶、哌嗪(piperazine)等。The aliphatic monocyclic amine is specifically, for example, piperidine, piperazine or the like.

脂肪族多環式胺,以碳數6~10者為佳,具體而言,例如1,5-二氮雜二環〔4.3.0〕-5-壬烯、1,8-二氮雜二環〔5.4.0〕-7-十一碳烯、六伸甲基四胺、1,4-二氮雜二環〔2.2.2〕辛烷等。The aliphatic polycyclic amine is preferably a carbon number of 6 to 10, specifically, for example, 1,5-diazabicyclo[4.3.0]-5-nonene, 1,8-diaza Ring [5.4.0]-7-undecene, hexamethylenetetramine, 1,4-diazabicyclo[2.2.2]octane, and the like.

其可單獨使用或將2種以上組合使用皆可。They may be used alone or in combination of two or more.

(D)成份對(A)成份100質量份,一般為使用0.01~5.0質量份之範圍。(D) The component (A) component is 100 parts by mass, and is generally used in the range of 0.01 to 5.0 parts by mass.

本發明之光阻組成物,為防止感度劣化(Deterioration in sensitivity),或提昇光阻圖型形狀、保存安定性(post exposure stability of the latent image formed by the pattern-wise exposure of the resist layer)等目的上,可再含有任意成份之有機羧酸或磷之含氧酸或其衍生物所成之群所選出之至少1種化合物(E)(以下亦稱為(E)成份)。The photoresist composition of the present invention is used to prevent the deterioration of the sensitivity, or the post exposure stability of the latent image formed by the pattern-wise exposure of the resist layer. The objective is to further contain at least one compound (E) (hereinafter also referred to as (E) component) selected from the group consisting of an organic carboxylic acid of any composition or an oxoacid of phosphorus or a derivative thereof.

有機羧酸,例如乙酸、丙二酸、檸檬酸、蘋果酸、琥珀酸、苯甲酸、水楊酸等為佳。An organic carboxylic acid such as acetic acid, malonic acid, citric acid, malic acid, succinic acid, benzoic acid, salicylic acid or the like is preferred.

磷之含氧酸,例如磷酸、膦酸(Phosphonic acid)、次膦酸(Phosphinic acid)等,其中又以膦酸為佳。Phosphorus oxyacids such as phosphoric acid, Phosphonic acid, Phosphinic acid, etc., wherein phosphonic acid is preferred.

磷之含氧酸衍生物,例如前述含氧酸之氫原子被烴基取代所得之酯基等,前述烴基,例如碳數1~5之烷基,碳數6~15之芳基等。The phosphorus oxyacid derivative is, for example, an ester group obtained by substituting a hydrogen atom of the oxo acid with a hydrocarbon group, and the hydrocarbon group is, for example, an alkyl group having 1 to 5 carbon atoms or an aryl group having 6 to 15 carbon atoms.

磷酸衍生物例如磷酸二-n-丁酯、磷酸二苯酯等磷酸酯等。The phosphoric acid derivative is, for example, a phosphate such as di-n-butyl phosphate or diphenyl phosphate.

膦酸(Phosphonic acid)衍生物例如膦酸二甲酯、膦酸-二-n-丁酯、苯基膦酸、膦酸二苯酯、膦酸二苄酯等膦酸酯等。Phosphonic acid derivatives such as chromic acid esters such as dimethyl phosphonate, di-n-butyl phosphonate, phenylphosphonic acid, diphenyl phosphonate, dibenzyl phosphonate, and the like.

次膦酸(Phosphinic acid)衍生物例如,苯基次膦酸等次膦酸酯。Phosphinic acid derivatives such as phosphinates such as phenylphosphinic acid.

(E)成份可單獨使用1種,或將2種以上合併使用亦可。(E) The components may be used singly or in combination of two or more.

(E)成份,以有機羧酸為佳,特別是以水楊酸為更佳。The component (E) is preferably an organic carboxylic acid, particularly salicylic acid.

(E)成份對(A)成份100質量份而言,一般為使用0.01~5.0質量份之比例。The component (E) is usually used in an amount of 0.01 to 5.0 parts by mass based on 100 parts by mass of the component (A).

本發明之光阻組成物,可再配合需要適當添加具有混合性之添加劑,例如可改良光阻膜性能之加成樹脂,提昇塗覆性之界面活性劑、溶解抑制劑、可塑劑、安定劑、著色劑、光暈防止劑、染料等。The photoresist composition of the present invention may be further blended with an additive which is suitable for mixing, for example, an additive resin which can improve the properties of the photoresist film, a surfactant for improving coating properties, a dissolution inhibitor, a plasticizer, and a stabilizer. , colorants, halo inhibitors, dyes, and the like.

〔有機溶劑(S)〕[Organic Solvent (S)]

本發明之光阻組成物,可將材料溶解於有機溶劑(S)(以下亦稱為(S)成份)之方式製造。The photoresist composition of the present invention can be produced by dissolving a material in an organic solvent (S) (hereinafter also referred to as (S) component).

(S)成份,只要可溶解所使用之各成份而形成均勻之溶液即可,例如可由以往作為化學增幅型光阻溶劑之公知溶劑中,適當的選擇1種或2種以上使用。The (S) component may be used as long as it is a solvent which can be used to form a uniform solution. For example, one or two or more kinds of the above-mentioned known solvents can be used as a chemically amplified resist solvent.

例如γ-丁內酯等內酯類,丙酮、甲基乙基酮、環己酮、甲基-n-戊酮、甲基異戊酮、2-庚酮等酮類;乙二醇、二乙二醇、丙二醇、二丙二醇等多元醇類及其衍生物;乙二醇單乙酸酯、二乙二醇單乙酸酯、丙二醇單乙酸酯、或二丙二醇單乙酸酯等具有酯鍵結之化合物;前述多元醇類或前述具有酯鍵結之化合物的單甲基醚、單乙基醚、單丙基醚、單丁基醚等單烷基醚或單苯基醚等具有醚鍵結之化合物等之多元醇類之衍生物〔其中,又以丙二醇單甲基醚乙酸酯(PGMEA)、丙二醇單甲基醚(PGME)為佳〕;二噁烷等環狀醚類;或乳酸甲酯、乳酸乙酯(EL)、乙酸甲酯、乙酸乙酯、乙酸丁酯、丙酮酸甲酯、丙酮酸乙酯、甲氧基丙酸甲酯、乙氧基丙酸乙酯等酯類;苯甲醚、乙基苄基醚、甲酚甲基醚、二苯基醚、二苄基醚、苯乙醚、丁基苯基醚、乙基苯、二乙基苯、戊基苯、異丙基苯、甲苯、二甲苯、甲基異丙基苯、三甲基苯等芳香族系有機溶劑等。For example, lactones such as γ-butyrolactone, ketones such as acetone, methyl ethyl ketone, cyclohexanone, methyl-n-pentanone, methyl isoamyl ketone, and 2-heptanone; ethylene glycol, Polyols such as ethylene glycol, propylene glycol, dipropylene glycol and derivatives thereof; esters of ethylene glycol monoacetate, diethylene glycol monoacetate, propylene glycol monoacetate, or dipropylene glycol monoacetate a compound to be bonded; an ether having a monoalkyl ether or a monophenyl ether such as a monomethyl ether, a monoethyl ether, a monopropyl ether or a monobutyl ether as described above or a compound having an ester bond; a derivative of a polyol such as a bonded compound (in which propylene glycol monomethyl ether acetate (PGMEA) or propylene glycol monomethyl ether (PGME) is preferred); a cyclic ether such as dioxane; Or methyl lactate, ethyl lactate (EL), methyl acetate, ethyl acetate, butyl acetate, methyl pyruvate, ethyl pyruvate, methyl methoxypropionate, ethyl ethoxy propionate, etc. Ester; anisole, ethyl benzyl ether, cresyl methyl ether, diphenyl ether, dibenzyl ether, phenyl ether, butyl phenyl ether, ethyl benzene, diethyl benzene, pentyl , Isopropylbenzene, toluene, xylene, cymene, trimethylbenzene aromatic organic solvent.

前述有機溶劑可單獨使用,或以2種以上之混合溶劑 形式使用亦可。The above organic solvent may be used singly or in combination of two or more kinds. Forms can also be used.

又,其中又以使用由丙二醇單甲基醚乙酸酯(PGMEA)與丙二醇單甲基醚(PGME)、乳酸乙酯(EL)為佳。Further, among them, propylene glycol monomethyl ether acetate (PGMEA), propylene glycol monomethyl ether (PGME), and ethyl lactate (EL) are preferably used.

又,以使用PGMEA與極性溶劑混合所得之混合溶劑為佳,其添加比(質量比)可依PGMEA與極性溶劑之相溶性等作適當之決定即可,較佳為1:9至9:1,更佳為2:8至8:2之範圍。Further, a mixed solvent obtained by mixing PGMEA with a polar solvent is preferred, and the addition ratio (mass ratio) may be appropriately determined depending on the compatibility of PGMEA with a polar solvent, etc., preferably from 1:9 to 9:1. More preferably, it is in the range of 2:8 to 8:2.

更具體而言,極性溶劑為使用乳酸乙酯(EL)時,PGMEA:EL之質量比較佳為1:9至9:1,更佳為2:8至8:2。極性溶劑為使用PGME時,PGMEA:PGME之質量比較佳為1:9至9:1,更佳為2:8至8:2,最佳為3:7至7:3。More specifically, when the polar solvent is ethyl lactate (EL), the mass of PGMEA:EL is preferably from 1:9 to 9:1, more preferably from 2:8 to 8:2. When the polar solvent is PGME, the mass of PGMEA:PGME is preferably from 1:9 to 9:1, more preferably from 2:8 to 8:2, most preferably from 3:7 to 7:3.

又,(S)成份中,其他例如使用由PGMEA與EL中選出之至少1種與γ-丁內酯所得混合溶劑為佳。此時,混合比例中,前者與後者之質量比較佳為70:30~95:5。Further, among the components (S), for example, a mixed solvent of at least one selected from PGMEA and EL and γ-butyrolactone is preferably used. At this time, in the mixing ratio, the quality of the former and the latter is preferably 70:30 to 95:5.

(S)成份之使用量並未有特別限定,一般可配合塗佈於基板等之濃度,塗膜厚度等作適當的選擇設定,一般可於光阻組成物中之固體成份濃度為2~20質量%,較佳為5~15質量%之範圍下使用。The amount of the component (S) is not particularly limited. Generally, it can be appropriately selected in accordance with the concentration applied to the substrate, the thickness of the coating film, etc., and generally the solid concentration in the photoresist composition is 2-20. The mass% is preferably used in the range of 5 to 15% by mass.

光阻圖型之形成方法 Method for forming photoresist pattern

本發明之光阻圖型之形成方法,為包含使用上述本發明之光阻組成物於支撐體上形成光阻膜之步驟、使前述光 阻膜曝光之步驟、使前述光阻膜顯影以形成光阻圖型之步驟。The method for forming a photoresist pattern of the present invention comprises the steps of forming a photoresist film on a support by using the photoresist composition of the present invention described above, and forming the light The step of exposing the resist film, the step of developing the photoresist film to form a photoresist pattern.

本發明之光阻圖型之形成方法例如可依下述方式進行。The method of forming the photoresist pattern of the present invention can be carried out, for example, in the following manner.

即,首先,於支撐體上,將本發明之光阻組成物使用旋轉塗佈器等進行塗佈後,於80~150℃之溫度條件下,進行40~120秒鐘,較佳為60~90秒鐘之塗佈後燒焙(post-apply bake(PAB)),對此例如藉由ArF曝光裝置等,再利用ArF準分子雷射光介由所期待之遮罩圖型進行選擇性曝光後,再於80~150℃之溫度條件下,進行40~120秒鐘,較佳為60~90秒鐘之曝光後燒焙(Post exposure bake,PEB)。其次,將其使用鹼顯影液,例如0.1~10質量%氫氧化四甲基銨(TMAH)水溶液進行顯影處理,較佳為使用純水進行洗滌後,乾燥。又,必要時,於上述顯影處理後可進行燒焙處理(後燒焙)。如此,即可得到忠實反應遮罩圖型之光阻圖型。That is, first, the photoresist composition of the present invention is applied onto a support by a spin coater or the like, and then subjected to a temperature of 80 to 150 ° C for 40 to 120 seconds, preferably 60 to 60. After 90 seconds of post-apply bake (PAB), for example, by ArF excimer laser light, and then using ArF excimer laser light, after selective exposure by the desired mask pattern And then exposed to a temperature of 80 to 150 ° C for 40 to 120 seconds, preferably 60 to 90 seconds of exposure (Post exposure bake, PEB). Next, it is subjected to development treatment using an alkali developing solution, for example, 0.1 to 10% by mass of a tetramethylammonium hydroxide (TMAH) aqueous solution, preferably washed with pure water and then dried. Further, if necessary, baking treatment (post-baking) may be performed after the above development treatment. In this way, a photoresist pattern of a faithful response mask pattern can be obtained.

支撐體並未有特別限定,其可使用以往公知之物品,例如電子零件用之基板,或於其上形成特定配線圖型之物品等。更具體而言,例如矽晶圓、銅、鉻、鐵、鋁等金屬製之基板或,玻璃基板等。配線圖型之材料,例如可使用銅、鋁、鎳、金等。The support is not particularly limited, and conventionally known articles such as a substrate for an electronic component or an article on which a specific wiring pattern is formed may be used. More specifically, for example, a substrate made of a metal such as a germanium wafer, copper, chromium, iron, or aluminum, or a glass substrate. As the material of the wiring pattern, for example, copper, aluminum, nickel, gold, or the like can be used.

又,支撐體,例如亦可於上述基板上,設置無機系及/或有機系之膜。無機系之膜,例如無機抗反射膜(無機BARC)等。有機系之膜,例如有機抗反射膜(有機BARC )等。Further, for the support, for example, an inorganic or/or organic film may be provided on the substrate. An inorganic film such as an inorganic antireflection film (inorganic BARC). Organic film, such as organic anti-reflective film (organic BARC )Wait.

曝光所使用之波長,並未有特別限定,其可使用ArF準分子雷射、KrF準分子雷射、F2 準分子雷射、EUV(極紫外線)、VUV(真空紫外線)、EB(電子線)、X線、軟X線等放射線進行。上述光阻組成物,以對KrF準分子雷射、ArF準分子雷射、EB或EUV,特別是對ArF準分子雷射為有效。The wavelength used for the exposure is not particularly limited, and an ArF excimer laser, a KrF excimer laser, an F 2 excimer laser, an EUV (very ultraviolet ray), a VUV (vacuum ultraviolet ray), or an EB (electron line) can be used. ), X-ray, soft X-ray and other radiation. The above photoresist composition is effective for KrF excimer laser, ArF excimer laser, EB or EUV, especially for ArF excimer laser.

光阻膜之曝光,可於空氣或氮等惰性氣體中進行之通常曝光(乾式曝光),或浸潤式曝光亦可。The exposure of the photoresist film can be performed by usual exposure (dry exposure) in an inert gas such as air or nitrogen, or by immersion exposure.

浸潤式曝光,如上所述般,係於曝光時,於以往充滿空氣或氮等惰性氣體之透鏡與晶圓上之光阻膜之間的部份,充滿具有折射率較空氣之折射率為大之溶劑(浸潤式媒體)的狀態下進行曝光。The immersion exposure, as described above, is the portion between the lens filled with an inert gas such as air or nitrogen and the photoresist film on the wafer, which is filled with a refractive index higher than that of air. The exposure was carried out in the state of the solvent (immersion medium).

更具體而言,浸潤式曝光,為將上述所得之光阻膜與曝光裝置之最下位置的透鏡間,充滿具有折射率較空氣之折射率為大之溶劑(浸潤式媒體),並於該狀態下,介由所期待之光罩圖型進行曝光(浸潤式曝光)之方式實施。More specifically, the immersion exposure is such that a solvent having a refractive index higher than that of air (infiltrated medium) is filled between the photoresist film obtained as described above and the lens at the lowest position of the exposure device. In the state, exposure is performed by the desired reticle pattern (immersion exposure).

浸潤式媒體,以具有折射率較空氣之折射率為大,且較該浸潤式曝光進行曝光之光阻膜所具有之折射率為小的折射率之溶劑為佳。該溶劑之折射率,只要為前述範圍內時,則無特別限制。The immersion medium is preferably a solvent having a refractive index smaller than that of air and having a refractive index smaller than that of the resist film exposed by the immersion exposure. The refractive index of the solvent is not particularly limited as long as it is within the above range.

具有折射率較空氣之折射率為大,且較光阻膜之折射率為小的折射率之溶劑,例如,水、氟系惰性液體、矽系溶劑、烴系溶劑等。A solvent having a refractive index larger than that of air and having a refractive index smaller than that of the photoresist film, for example, water, a fluorine-based inert liquid, an oxime-based solvent, a hydrocarbon-based solvent, or the like.

氟系惰性液體之具體例如C3 HCl2 F5 、C4 F9 OCH3 、C4 F9 OC2 H5 、C5 H3 F7 等氟系化合物為主成份之液體等,又以沸點為70至180℃者為佳,以80至160℃者為更佳。氟系惰性液體中,沸點於上述範圍內之物時,於曝光結束後,可以簡便之方法去除浸潤式所使用之介質,而為較佳。Specific examples of the fluorine-based inert liquid, such as a liquid such as C 3 HCl 2 F 5 , C 4 F 9 OCH 3 , C 4 F 9 OC 2 H 5 , C 5 H 3 F 7 or the like, and a boiling point It is preferably from 70 to 180 ° C, and more preferably from 80 to 160 ° C. In the fluorine-based inert liquid, when the boiling point is in the above range, the medium used for the wetting type can be removed by a simple method after the completion of the exposure, and is preferable.

氟系惰性液體,特別是以烷基中之氫原子全部被氟原子取代所得之全氟烷基化合物為佳。全氟烷基化合物,具體而言,例如全氟烷基醚化合物或全氟烷基胺化合物等。The fluorine-based inert liquid is particularly preferably a perfluoroalkyl compound obtained by substituting all hydrogen atoms in the alkyl group with fluorine atoms. The perfluoroalkyl compound is specifically, for example, a perfluoroalkyl ether compound or a perfluoroalkylamine compound.

又,更具體而言,前述全氟烷基醚化合物,例如全氟(2-丁基-四氫呋喃)(沸點102℃),前述全氟烷基胺化合物,例如全氟三丁基胺(沸點174℃)等。Further, more specifically, the perfluoroalkyl ether compound is, for example, perfluoro(2-butyl-tetrahydrofuran) (boiling point: 102 ° C), and the above perfluoroalkylamine compound, for example, perfluorotributylamine (boiling point 174) °C) and so on.

上述本發明之光阻組成物具有以往所未知之新穎性。The above-described photoresist composition of the present invention has a novelty that has not been known in the past.

又,本發明之光阻組成物,其感度、解析性等微影蝕刻特性亦為良好,例如可形成線路與空間圖型之線寬為120nm以下之微細光阻圖型。又,使用以往之光阻組成物形成光阻圖型之情形中,常會發生基板界面因光阻圖型之底部擴大(底部拉引)所造成之矩形性降低等,容易造成光阻圖型形狀不良之問題,但使用本發明之光阻組成物時,可形成具有低底部拉引之光阻圖型。Further, in the photoresist composition of the present invention, the lithographic etching characteristics such as sensitivity and resolution are also good, and for example, a fine photoresist pattern having a line width of a line and a space pattern of 120 nm or less can be formed. Moreover, in the case of forming a photoresist pattern using a conventional photoresist composition, it is often caused that the substrate interface is reduced in rigidity due to the bottom enlargement (bottom pull) of the photoresist pattern, and the resist pattern shape is likely to occur. A problem with the defect, but when the photoresist composition of the present invention is used, a photoresist pattern having a low bottom pull can be formed.

又,本發明之光阻組成物所使用之(B1)成份,相較於以往化學增幅型之光阻組成物所使用之酸產生劑,例如三苯基鋶鹽等,其於200nm以下,特別是193nm左右波長之光具有更高度之透明性。因此,本發明之光阻組成物 中,與以往之光阻組成物相比較時,可增加(B)成份之添加量。Further, the component (B1) used in the photoresist composition of the present invention is lower than 200 nm in comparison with an acid generator used in a conventional chemically amplified photoresist composition, for example, a triphenylsulfonium salt. It is a light with a wavelength of about 193 nm which has a higher transparency. Therefore, the photoresist composition of the present invention In the comparison with the conventional photoresist composition, the addition amount of the component (B) can be increased.

又,(B1)成份,於曝光時之酸發生效率亦為優良,該(B1)成份作為(B)成份含有時,可使本發明之光阻組成物具有良好之感度。Further, the component (B1) is excellent in acid generation efficiency upon exposure, and when the component (B1) is contained as the component (B), the photoresist composition of the present invention can have a good sensitivity.

該些效果,推測主要為受到(B1)成份之陽離子部構造之影響。These effects are presumably mainly affected by the structure of the cation portion of the (B1) component.

又,(B1)成份,推測亦受到具有Y1 -SO3 骨架導入「R1 -O-〔CO〕n -」之官能基所得構造之陰離子部的影響。即,具有該構造之陰離子部,Y1 之可被氟取代之伸烷基的碳數除為1~4之較小數值以外,與九氟丁烷磺酸酯等以往之酸產生劑的陰離子部相比較時,亦可抑制曝光後之酸的過度擴散。因此,可形成具有良好微影蝕刻特性之光阻圖型。Further, the component (B1) is presumed to be affected by the anion portion having a structure in which a functional group of "R 1 -O-[CO] n -" is introduced into the Y 1 -SO 3 - skeleton. That is, in the anion portion having such a structure, the carbon number of the alkyl group which may be substituted by fluorine in Y 1 is divided into a small value of 1 to 4, and an anion of a conventional acid generator such as nonafluorobutanesulfonate When the parts are compared, excessive diffusion of the acid after exposure can also be suppressed. Therefore, a photoresist pattern having good lithography etching characteristics can be formed.

又,(B1)成份中,Y1 為可被氟取代之碳數1~4之伸烷基烷鏈,與碳數6~10之全氟烷基鏈具有難分解性之特性相比較時,就考慮生物囤積性等觀點,於處理上為更加安全。Further, in the component (B1), Y 1 is a alkylene chain having 1 to 4 carbon atoms which may be substituted by fluorine, and when compared with a property in which a perfluoroalkyl chain having 6 to 10 carbon atoms is difficult to decompose, From the viewpoint of considering bioreduction, it is safer to handle.

〔實施例〕[Examples]

其次,將以實施例對本發明作更詳細之說明,但本發明並不受該些例示所限定。In the following, the invention will be described in more detail by way of examples, but the invention is not limited by the examples.

〔實施例1〕[Example 1]

於烯丙基氧代四氟乙烷磺醯氟化物1.0g中添加四氫呋喃5ml,於水浴中,將溶有氫氧化鋰0.20g之純水2.8ml所得之水溶液滴入該溶液後,於水浴中攪拌。至-SO2 F所得之-217.8ppm的19 F-NMR之吸收消失為止,確認全部氟化磺醯基轉化為磺酸鋰。其後,將反應液濃縮、乾燥以製得白色之黏性固體。將得粗產物溶解於丙酮3.35ml中,以過濾方式去除副產物之LiF,將濾液濃縮得下述化學式(1-1)所表示之化合物(1-1)0.58g。5 ml of tetrahydrofuran was added to 1.0 g of allyloxytetrafluoroethane sulfonium fluoride, and an aqueous solution obtained by dissolving 2.8 ml of pure water containing 0.20 g of lithium hydroxide in the water bath was dropped into the solution, and then in a water bath. Stir. The absorption of the 19 F-NMR of -217.8 ppm obtained by -SO 2 F disappeared, and it was confirmed that all of the fluorinated sulfonyl group was converted into lithium sulfonate. Thereafter, the reaction liquid was concentrated and dried to obtain a white viscous solid. The crude product was dissolved in 3.35 ml of acetone, and the by-product LiF was removed by filtration, and the filtrate was concentrated to obtain 0.58 g of the compound (1-1) represented by the following formula (1-1).

〔化52〕H2 C==CHCH2 OCF2 CF2 SO3 Li (1-1)[52] H 2 C==CHCH 2 OCF 2 CF 2 SO 3 - Li + (1-1)

其次,將二苯基碘鎓甲烷磺酸酯10.00g溶解於水50.00g中,於其中,添加化合物(1-1)6.81g,於室溫攪拌1小時。反應結束後,添加酢酸乙酯68.9g,進行萃取操作,分液後,將有機層以純水34.5g洗淨5次。洗淨後,將所得有機層濃縮,以製得目的化合物6.70g。Next, 10.00 g of diphenyliodonium methanesulfonate was dissolved in 50.00 g of water, and 6.81 g of the compound (1-1) was added thereto, and the mixture was stirred at room temperature for 1 hour. After completion of the reaction, 68.9 g of ethyl phthalate was added to carry out an extraction operation. After liquid separation, the organic layer was washed 5 times with 34.5 g of pure water. After washing, the obtained organic layer was concentrated to give 6.70 g of desired compound.

所得化合物(以下,亦稱為化合物(I-11)),以1 H-NMR及19 F-NMR進行分析。其結果如以下所示。The obtained compound (hereinafter also referred to as compound (I-11)) was analyzed by 1 H-NMR and 19 F-NMR. The results are shown below.

1 H-NMR(溶劑:CDCl3 ,400MHz):δ(ppm)=7.94(d,4H(Ha )),7.44(d,2H(Hc )),7.30(t,4H(Hb )),5.71-5.80(m,1H(He )),5.06-5.29(m,2H(Hd )),4.34(d,2H(Hf ))。 1 H-NMR (solvent: CDCl 3 , 400 MHz): δ (ppm) = 7.94 (d, 4H (H a )), 7.44 (d, 2H (H c )), 7.30 (t, 4H (H b )) 5.71-5.80 (m, 1H(H e )), 5.06-5.29 (m, 2H(H d )), 4.34 (d, 2H(H f )).

19 F-NMR(溶劑:CDCl3 ,376MHz):δ(ppm)=-83.6,-115.7。 19 F-NMR (solvent: CDCl 3 , 376 MHz): δ (ppm) = -83.6, -115.7.

由上述結果得知,確認化合物(I-11)具有下述所示構造。From the above results, it was confirmed that the compound (I-11) had the structure shown below.

將化合物(I-11)3.93g、五甲基硫醚0.7745g、苯甲酸銅(II)0.060g溶解於氯基苯5.90g中,於100℃下反應1小時。反應結束後,將反應液濃縮乾固,再將其溶解於二氯甲烷26.2g。將該二氯甲烷溶液水洗後、濃縮,製得目的化合物0.84g。3.93 g of the compound (I-11), 0.7745 g of pentamethyl sulfide, and 0.060 g of copper (II) benzoate were dissolved in 5.90 g of chlorobenzene, and the mixture was reacted at 100 ° C for 1 hour. After completion of the reaction, the reaction solution was concentrated to dryness and then dissolved in dichloromethane (26.2 g). The dichloromethane solution was washed with water and concentrated to give 0.84 g of the objective compound.

所得化合物(以下,亦稱為化合物(b1-11)),以1 H-NMR及19 F-NMR進行分析。其結果如以下所示。The obtained compound (hereinafter also referred to as compound (b1-11)) was analyzed by 1 H-NMR and 19 F-NMR. The results are shown below.

1 H-NMR(溶劑:CDCl3 ,400MHz):δ(ppm)=8.10(d,2H(Hc )),7.59-7.69(m,3H(Ha +Hb )),5.86-5.96(m,1H(Hh )),5.19-5.42(m,2H(Hg )),4.50(d,2H(Hi )),3.68-3.98(m,4H(Hd )),1.85-2.30(m,6H(He +Hf ))。 1 H-NMR (solvent: CDCl 3 , 400 MHz): δ (ppm) = 8.10 (d, 2H (H c )), 7.59-7.69 (m, 3H (H a + H b )), 5.86-5.96 (m, 1H(H h )), 5.19-5.42 (m, 2H(H g )), 4.50 (d, 2H(H i )), 3.68-3.98 (m, 4H(H d )), 1.85-2.30 (m, 6H(H e +H f )).

19 F-NMR(溶劑:CDCl3 ,376MHz):δ(ppm)= -79.9,-112.2。 19 F-NMR (solvent: CDCl 3 , 376 MHz): δ (ppm) = -79.9, -112.2.

由上述結果得知,確認化合物(b1-11)具有下述所示構造。From the above results, it was confirmed that the compound (b1-11) had the structure shown below.

〔實施例2〕[Example 2]

於2-萘基甲基氧代四氟乙烷磺醯氟化物5.0g中,添加1四氫呋喃6.7ml,於冰浴中,將溶解有氫氧化鋰0.98g之純水13.6ml所得之水溶液滴入該溶液後,於冰浴中攪拌。至-SO2 F所得之-217.6ppm的19 F-NMR之吸收消失為止,確認全部氟化磺醯基轉化為磺酸鋰。其後,將反應液濃縮、乾燥以製得白色之黏性固體。將得粗產物溶解於丙酮14.2ml中,以過濾方式去除副產物之LiF,將濾液濃縮得下述化學式(1-2)所表示之化合物(1-2)5.50g。To 5.0 g of 2-naphthylmethyloxytetrafluoroethane sulfonium fluoride, 6.7 ml of 1 tetrahydrofuran was added, and an aqueous solution obtained by dissolving 13.6 ml of pure water of 0.98 g of lithium hydroxide was added dropwise in an ice bath. After the solution, it was stirred in an ice bath. When the absorption of -197.6 ppm of 19 F-NMR obtained by -SO 2 F disappeared, it was confirmed that all of the fluorinated sulfonyl group was converted into lithium sulfonate. Thereafter, the reaction liquid was concentrated and dried to obtain a white viscous solid. The crude product was dissolved in 14.2 ml of acetone, and the by-product LiF was removed by filtration, and the filtrate was concentrated to give 5.50 g of the compound (1-2) represented by the following chemical formula (1-2).

其次,將二苯基碘鎓甲烷磺酸酯7.64g溶解於水38.02g中,於其中,添加化合物(1-2)7.30g,於室溫攪拌1小時。反應結束後,添加乙酸乙酯38.02g,進行萃取操作,分液後,將有機層以純水38.02g洗淨5次。洗淨後,將所得有機層以己烷晶析,所得粉體經減壓乾燥以製得目的化合物10.87g。Next, 7.64 g of diphenyliodonium methanesulfonate was dissolved in 38.02 g of water, and 7.30 g of the compound (1-2) was added thereto, and the mixture was stirred at room temperature for 1 hour. After completion of the reaction, 38.02 g of ethyl acetate was added to carry out an extraction operation. After liquid separation, the organic layer was washed 5 times with pure water 38.02 g. After washing, the obtained organic layer was crystallized from hexane, and the obtained powder was dried under reduced pressure to yield 10.

所得化合物(以下,亦稱為化合物(I-12)),以1 H-NMR及19 F-NMR進行分析。其結果如以下所示。The obtained compound (hereinafter also referred to as compound (I-12)) was analyzed by 1 H-NMR and 19 F-NMR. The results are shown below.

1 H-NMR(溶劑:CDCl3 ,400MHz):δ(ppm)=7.99-8.01(d,4H(Ha )),7.59(s,1H(Hd )),7.80-7.83(m,3H(Phenyl+Naphtyl)),7.39-7.58(m,9H(Phenyl+Naphtyl)),5.20(s,2H(He ))。 1 H-NMR (solvent: CDCl 3 , 400 MHz): δ (ppm) = 7.99-8.01 (d, 4H (H a )), 7.59 (s, 1H (H d )), 7.80-7.83 (m, 3H ( Phenyl + Naphtyl)), 7.39-7.58 (m, 9H (Phenyl + Naphtyl)), 5.20 (s, 2H (H e )).

19 F-NMR(溶劑:CDCl3 ,376MHz):δ(ppm)=-79.0,-111.6。 19 F-NMR (solvent: CDCl 3 , 376 MHz): δ (ppm) = -79.0, -111.6.

由上述結果得知,確認化合物(I-12)具有下述所示構造。From the above results, it was confirmed that the compound (I-12) had the structure shown below.

將化合物(I-12)8.00g、五甲基硫醚1.32g、苯甲酸銅(II)0.10g溶解於氯基苯12.00g中,於100℃下反應1小時。反應結束後,將反應液濃縮乾固,再將其溶解於二氯甲烷33.40g。將該二氯甲烷溶液水洗後、濃縮,製得目的化合物0.53g。8.00 g of the compound (I-12), 1.32 g of pentamethyl sulfide, and 0.10 g of copper (II) benzoate were dissolved in 12.00 g of chlorobenzene, and reacted at 100 ° C for 1 hour. After completion of the reaction, the reaction mixture was concentrated to dryness and then dissolved in m. The dichloromethane solution was washed with water and concentrated to give 0.53 g of the objective compound.

所得化合物(以下,亦稱為化合物(b1-12)),以1 H-NMR及19 F-NMR進行分析。其結果如以下所示。The obtained compound (hereinafter also referred to as compound (b1-12)) was analyzed by 1 H-NMR and 19 F-NMR. The results are shown below.

1 H-NMR(溶劑:CDCl3 ,400MHz):δ(ppm)=7.93-7.95(d,2H(Hc )),7.85(s,1H(Hg )),7.77-7.81(m,3H(Phenyl+Naphtyl)),7.46-7.56(m,6H(Phenyl+Naphtyl)),5.21(s,2H(Hh )),3.48-3.79(m,4H(Hd )),1.68-2.13(m,6H(He +Hf ))。 1 H-NMR (solvent: CDCl 3 , 400 MHz): δ (ppm) = 7.93 - 7.95 (d, 2H (H c )), 7.85 (s, 1H (H g )), 7.77-7.81 (m, 3H ( Phenyl+Naphtyl)), 7.46-7.56 (m, 6H (Phenyl+Naphtyl)), 5.21 (s, 2H(H h )), 3.48-3.79 (m, 4H(H d )), 1.68-2.13 (m, 6H (H e +H f )).

19 F-NMR(溶劑:CDCl3 ,376MHz):δ(ppm)=-84.0,-116.5。 19 F-NMR (solvent: CDCl 3 , 376 MHz): δ (ppm) = -84.0, -116.5.

由上述結果得知,確認化合物(b1-12)具有下述所 示構造。From the above results, it was confirmed that the compound (b1-12) had the following Show the structure.

〔比較例1〕[Comparative Example 1]

將三苯基鋶溴化物0.28g溶解於純水5.0ml中,將依實施例1相同方法製得之化合物(1-1)0.17g添加於該溶液中,於室溫下攪拌14小時後,添加二氯甲烷10ml後攪拌,有機相進行分液後取出。有機相再以純水5.0ml水洗後,經分液,取出有機相。將取出之有機相濃縮後,經乾燥後得0.16g之目的化合物。0.28 g of triphenylsulfonium bromide was dissolved in 5.0 ml of pure water, and 0.17 g of the compound (1-1) obtained in the same manner as in Example 1 was added to the solution, and the mixture was stirred at room temperature for 14 hours. After adding 10 ml of dichloromethane, the mixture was stirred, and the organic phase was separated and taken out. The organic phase was washed again with 5.0 ml of water in pure water, and the organic phase was taken out by liquid separation. The organic phase which was taken out was concentrated, and dried to give 0.16 g of the objective compound.

所得化合物(以下,亦稱為化合物(b2-11)),以1 H-NMR及19 F-NMR進行分析。其結果如以下所示。The obtained compound (hereinafter also referred to as compound (b2-11)) was analyzed by 1 H-NMR and 19 F-NMR. The results are shown below.

1 H-NMR(丙酮-d6 ,400MHz):δ(ppm)=8.30~7.60(m,15H(Ha )),5.91(m,1H(Hb )),5.47(d,1H(Hc )),5.16(d,1H(Hd )),4.48(d,2H(He ))。 1 H-NMR (acetone-d 6 , 400 MHz): δ (ppm) = 8.30 to 7.60 (m, 15H (H a )), 5.91 (m, 1H (H b )), 5.47 (d, 1H (H c )), 5.16 (d, 1H(H d )), 4.48 (d, 2H(H e )).

19 F-NMR(丙酮-d6 ,376MHz):δ(ppm)=79.8, 112.1。 19 F-NMR (acetone-d 6 , 376 MHz): δ (ppm) = 79.8, 1121.

由上述結果得知,確認化合物具有下述所示構造。From the above results, it was confirmed that the compound had the structure shown below.

〔比較例2〕[Comparative Example 2]

將三苯基鋶溴化物6.99g溶解於純水125ml中,將依實施例2相同方法製得之化合物(1-2)5.50g添加於該溶液中,於室溫下攪拌19小時後,添加二氯甲烷125ml後攪拌,有機相進行分液後取出。6.90 g of triphenylsulfonium bromide was dissolved in 125 ml of pure water, and 5.50 g of the compound (1-2) obtained in the same manner as in Example 2 was added to the solution, and the mixture was stirred at room temperature for 19 hours, and then added. After stirring 125 ml of dichloromethane, the organic phase was separated and taken out.

有機相再以純水40ml水洗後,經分液,取出有機相。將取出之有機相濃縮後,經乾燥後得目的化合物7.09g。(產率:75.2%)。The organic phase was washed again with 40 ml of pure water, and the organic phase was taken out by liquid separation. The organic phase which was taken out was concentrated, and dried to give the title compound 7.09 g. (Yield: 75.2%).

所得化合物(以下,亦稱為化合物(b2-12)),以1 H-NMR及19 F-NMR進行分析。其結果如以下所示。The obtained compound (hereinafter also referred to as compound (b2-12)) was analyzed by 1 H-NMR and 19 F-NMR. The results are shown below.

1 H-NMR(丙酮-d6 ,400MHz):δ(ppm)=8.01~7.47(m,22H(Ha )),5.23(s,2H(Hb ))。 1 H-NMR (acetone-d 6 , 400 MHz): δ (ppm) = 8.01 to 7.47 (m, 22H (H a )), 5.23 (s, 2H (H b )).

19 F-NMR(丙酮-d6 ,376MHz):δ(ppm)=79.2,111.8。 19 F-NMR (acetone-d 6 , 376 MHz): δ (ppm) = 79.2, 111.8.

由上述結果得知,確認化合物具有下述所示構造。From the above results, it was confirmed that the compound had the structure shown below.

〔實施例3〕[Example 3]

將1-(2-酮-2-苯基乙基)四氫噻吩鎓溴化物5.00g溶解於純水25g中,將依實施例2相同方法所製得之化合物(1-2)6.6g添加於其中,於室溫下攪拌2小時。其後,添加二氯甲烷25.0g,將二氯甲烷層分液後,經稀鹽酸洗、水洗,於二氯甲烷層中加入n-己烷250.0g後,得白 色固體之目的化合物8.5g。5.00 g of 1-(2-keto-2-phenylethyl)tetrahydrothiophene bromide was dissolved in 25 g of pure water, and 6.6 g of the compound (1-2) obtained in the same manner as in Example 2 was added. Therein, it was stirred at room temperature for 2 hours. Then, 25.0 g of dichloromethane was added, and the methylene chloride layer was separated, washed with dilute hydrochloric acid, washed with water, and then added to the methylene chloride layer, 250.0 g of n-hexane, white The objective compound was 8.5 g as a color solid.

所得化合物(以下,亦稱為化合物(b1-13)),以1 H-NMR及19 F-NMR進行分析。其結果如以下所示。由該結果得知,確認化合物(b1-13)具有上述化學式所示構造。The obtained compound (hereinafter also referred to as compound (b1-13)) was analyzed by 1 H-NMR and 19 F-NMR. The results are shown below. From the results, it was confirmed that the compound (b1-13) had a structure represented by the above chemical formula.

1 H-NMR(DMSO-d6 ,400MHz):δ(ppm)=7.88-8.01(m,6H(Ar)),7.75(t,1H(Ar)),7.61(t,2H(Ar)),7.52-7.54(m,3H(Ar)),5.34(s,2H(CH2 )),5.20(s,2H(CH2 )),3.48-3.59(m,4H(CH2 -CH2 )),217-2.26(m,4H(CH2 -CH2 ))。 1 H-NMR (DMSO-d 6 , 400 MHz): δ (ppm) = 7.88 - 8.01 (m, 6H (Ar)), 7.75 (t, 1H (Ar)), 7.61 (t, 2H (Ar)), 7.52-7.54 (m, 3H(Ar)), 5.34 (s, 2H(CH 2 )), 5.20 (s, 2H(CH 2 )), 3.48-3.59 (m, 4H(CH 2 -CH 2 )), 217-2.26 (m, 4H(CH 2 -CH 2 )).

19 F-NMR(丙酮-d6 ,376MHz):δ(ppm)=-79.6,-111.9。 19 F-NMR (acetone-d 6 , 376 MHz): δ (ppm) = -79.6, -111.9.

〔溶劑溶解性評估〕[Solvent solubility evaluation]

將上述實施例1~3及比較例1~2所分別得到之化合物(b1-11)、(b1-12)、(b1-13)、(b2-11)、(b2-12),及下述式(b2-13)所表示之化合物(b2-13),依 下述順序評估其溶劑溶解性。The compounds (b1-11), (b1-12), (b1-13), (b2-11), (b2-12), and the following were obtained in the above Examples 1 to 3 and Comparative Examples 1 and 2, respectively. The compound (b2-13) represented by the formula (b2-13), The solvent solubility was evaluated in the following order.

於一般之光阻溶劑之丙二醇單甲基醚乙酸酯(PGMEA)、丙二醇單甲基醚(PGME)、乳酸乙酯(EL)中,將各化合物分別改變添加量下進行添加,於25℃下攪拌30分鐘。攪拌結束後,測定各化合物完全溶解之濃度(質量%)。其結果如表1所示。In a general photoresist solvent, propylene glycol monomethyl ether acetate (PGMEA), propylene glycol monomethyl ether (PGME), ethyl lactate (EL), each compound is added and changed at a dosage of 25 ° C. Stir for 30 minutes. After the completion of the stirring, the concentration (% by mass) in which each compound was completely dissolved was measured. The results are shown in Table 1.

如表1所示般,本發明之化合物(b1-11)及(b1-12),及化合物(b2-13),對於PGMEA、PGME、EL皆具有極高之溶解性。As shown in Table 1, the compounds (b1-11) and (b1-12) and the compound (b2-13) of the present invention have extremely high solubility for PGMEA, PGME, and EL.

又,化合物(b2-11),對PGME、EL雖具有較佳之溶解性,但對PGMEA之溶解性則為不良。又,化合物(b2-12)無論對任一溶劑之溶解性皆為不良。又,化合物(b2-12)之僅變更陽離子部之化合物(b1-13),可提高對PGMEA之溶解性。Further, the compound (b2-11) has a good solubility to PGME and EL, but is poor in solubility to PGMEA. Further, the compound (b2-12) is inferior in solubility in any solvent. Further, in the compound (b2-12), only the compound (b1-13) of the cationic moiety is changed, and the solubility to PGMEA can be improved.

〔實施例4~6,比較例3〕[Examples 4 to 6, Comparative Example 3]

將表2所示各成份混合、溶解,以製作正型光阻組成物。The components shown in Table 2 were mixed and dissolved to prepare a positive resist composition.

表2中,〔〕內之數值為添加量(質量份)。又,表2中之記號分別係如以下所示之內容。又,(B)成份之添加量為等莫耳量。In Table 2, the numerical value in [] is the addition amount (parts by mass). Further, the symbols in Table 2 are as follows. Further, the amount of the component (B) added is equal to the molar amount.

(A)-1:下述化學式(A)-1(式中,1/m/n=45/35/20(莫耳比))所表示之Mw=7000,Mw/Mn=1.8之共聚物。(A)-1: a copolymer of Mw=7000, Mw/Mn=1.8 represented by the following chemical formula (A)-1 (wherein 1/m/n=45/35/20 (mole ratio)) .

(B)-1:實施例1所得之化合物(b1-11)。(B)-1: Compound (b1-11) obtained in Example 1.

(B)-2:實施例2所得之化合物(b1-12)。(B)-2: Compound (b1-12) obtained in Example 2.

(B)-3:實施例3所得之化合物(b1-13)。(B)-3: Compound (b1-13) obtained in Example 3.

(B)-4:前述式(b2-13)所表示之化合物(b2-13)。(B)-4: Compound (b2-13) represented by the above formula (b2-13).

(D)-1:三-n-戊基胺。(D)-1: Tri-n-pentylamine.

(E)-1:水楊酸。(E)-1: Salicylic acid.

(S)-1:γ-丁內酯。(S)-1: γ-butyrolactone.

(S)-2:PGMEA/PGME=6/4(質量比)之混合溶劑。(S)-2: a mixed solvent of PGMEA/PGME=6/4 (mass ratio).

使用所得之光阻組成物,依以下順序形成光阻圖型,並評估其微影蝕刻特性。Using the resulting photoresist composition, a photoresist pattern was formed in the following order, and its lithographic etching characteristics were evaluated.

〔解析性、感度〕[analytical, sensitivity]

於8英吋之矽晶圓上以旋轉塗佈器塗佈有機系抗反射膜組成物「ARC29A」(商品名,普利瓦科技公司製),並於熱壓板上以205℃、60秒之條件下進行燒焙、乾燥後,形成膜厚82nm之有機系抗反射膜。隨後,將上述所得之正型光阻組成物溶液使用旋轉塗佈器分別塗佈於該抗反射膜上,並於熱壓板上依110℃、60秒之條件下進行預燒焙(PAB)處理,經乾燥後,形成膜厚150nm之光阻膜。The organic anti-reflection film composition "ARC29A" (trade name, manufactured by Privah Technologies Co., Ltd.) was applied to a hot plate at 205 ° C for 60 seconds on a ruthenium wafer of 8 inches. After baking and drying under the conditions, an organic anti-reflection film having a film thickness of 82 nm was formed. Subsequently, the positive-type photoresist composition solution obtained above was separately applied to the anti-reflection film using a spin coater, and pre-baked (PAB) was performed on a hot plate at 110 ° C for 60 seconds. After the treatment, after drying, a photoresist film having a film thickness of 150 nm was formed.

其次,使用ArF曝光裝置NSR-S302(理光公司製;NA(開口數)=0.60,2/3輪帶照明),將ArF準分子雷射(193nm)介由遮罩圖型(6%half-tone)進行選擇性照射。隨後,依110℃、60秒鐘之條件下進行曝光後加熱(PEB)處理,再於23℃下,使用2.38質量%氫氧化四甲基銨(TMAH)水溶液於30秒鐘之條件下進行顯影,其後再 以30秒鐘,使用純水進行洗滌,進行振動乾燥。Next, an ArF exposure apparatus NSR-S302 (manufactured by Ricoh Co., Ltd.; NA (number of openings) = 0.60, 2/3 wheel illumination) was used, and an ArF excimer laser (193 nm) was masked (6%). Tone) for selective illumination. Subsequently, post-exposure heating (PEB) treatment was carried out at 110 ° C for 60 seconds, and development was carried out at 23 ° C using a 2.38 mass % aqueous solution of tetramethylammonium hydroxide (TMAH) for 30 seconds. And then The mixture was washed with pure water for 30 seconds, and subjected to vibration drying.

其結果,無論任一例示中,皆形成線寬120nm、間距240nm之線路與空間(1:1)的光阻圖型(L/S圖型)。As a result, in any of the examples, a photoresist pattern (L/S pattern) having a line width of 120 nm and a pitch of 240 nm and a space (1:1) was formed.

又,求取此時形成線寬120nm、間距240nm之L/S圖型之最適曝光量Eop(mJ/cm2 ),即感度。其結果係如表3所示。Further, an optimum exposure amount Eop (mJ/cm 2 ) of the L/S pattern having a line width of 120 nm and a pitch of 240 nm was formed at this time, that is, sensitivity. The results are shown in Table 3.

「線路寬度不均度(LWR)」"Line width unevenness (LWR)"

於前述Eop所形成之各個L/S圖型中,使用測長SEM(掃描型電子顯微鏡,商品名:S-9220,日立製作所公司製),將線寬依線路之長度方向測定5個處所,由其結果算出標準偏差(s)之3倍值(3s)作為LWR之表示尺度。其結果如表3所示。該3s值越小時,表示其線路寬度之不均勻度越小,而為可得到具有更均勻寬度之L/S圖型之意。In each of the L/S patterns formed by the above Eop, a length measuring SEM (scanning electron microscope, trade name: S-9220, manufactured by Hitachi, Ltd.) was used, and the line width was measured in five places according to the length direction of the line. From the results, a three-fold value (3 s) of the standard deviation (s) was calculated as a scale of expression of LWR. The results are shown in Table 3. The smaller the 3s value is, the smaller the unevenness of the line width is, and the L/S pattern having a more uniform width is obtained.

如表3所示般,實施例4~6之光阻組成物皆顯示出良好之LWR。又,比較例3之光阻組成物則顯示出不良之LWR。As shown in Table 3, the photoresist compositions of Examples 4 to 6 all showed good LWR. Further, the photoresist composition of Comparative Example 3 showed a poor LWR.

Claims (13)

一種光阻組成物,其為含有經由酸之作用而對鹼顯影液之溶解性發生變化之基材成份(A),及經由曝光而產生酸之酸產生劑成份(B)之光阻組成物,其特徵為,前述酸產生劑成份(B)為含有由下述通式(b1-1)所表示之化合物所形成之酸產生劑(B1), 〔式中,R1 為可具有取代基之芳基或烷基,R3 為氫原子或烷基,n1為0或1,n1為1時,R1 及R3 可相互鍵結,與該R1 鍵結之碳原子及R3 鍵結之碳原子同時形成3~7員環構造之環,A為,可與該A鍵結之硫原子同時形成3~7員環構造之環的2價之基,前述環可具有取代基,R2 為R53 -R54 -所表示之基(式中,R53 為碳數2~10之烯基或芳基,R54 為碳數1~5之直鏈狀或支鏈狀之伸烷基〕,n為0,Y1 為可被氟取代之碳數1~4之伸烷基〕。A photoresist composition comprising a substrate component (A) containing a change in solubility of an alkali developer via an action of an acid, and a photoresist composition of an acid generator component (B) which generates an acid by exposure The acid generator component (B) is an acid generator (B1) containing a compound represented by the following formula (b1-1). Wherein R 1 is an aryl group or an alkyl group which may have a substituent, R 3 is a hydrogen atom or an alkyl group, n1 is 0 or 1, and when n1 is 1, R 1 and R 3 may be bonded to each other, and The carbon atom of R 1 bond and the carbon atom of R 3 bond form a ring of 3 to 7 member ring structure at the same time, and A is a ring of 3 to 7 ring structure which can form a ring with the A bond. The valence group, the ring may have a substituent, and R 2 is a group represented by R 53 -R 54 - (wherein R 53 is an alkenyl group or an aryl group having 2 to 10 carbon atoms, and R 54 is a carbon number of 1~ a linear or branched alkyl group of 5, wherein n is 0, and Y 1 is an alkyl group having 1 to 4 carbon atoms which may be substituted by fluorine. 如申請專利範圍第1項之光阻組成物,其中,前述基材成份(A)為經由酸之作用而增大對鹼顯影液之溶解性的基材成份。 The photoresist composition according to claim 1, wherein the substrate component (A) is a substrate component which increases solubility in an alkali developer via an action of an acid. 如申請專利範圍第2項之光阻組成物,其中,前述基材成份(A)為含有經由酸之作用而增大對鹼顯影液 之溶解性的樹脂成份(A1),該樹脂成份(A1)為具有含有酸解離性溶解抑制基之丙烯酸酯所衍生之結構單位(a1)。 The photoresist composition of claim 2, wherein the substrate component (A) is added to the alkali developer by the action of an acid A soluble resin component (A1) which is a structural unit (a1) derived from an acrylate having an acid dissociable dissolution inhibiting group. 如申請專利範圍第3項之光阻組成物,其中,前述樹脂成份(A1)尚具有含有含內酯之環式基的丙烯酸酯所衍生之結構單位(a2)。 The photoresist composition of claim 3, wherein the resin component (A1) further has a structural unit (a2) derived from an acrylate containing a lactone-containing cyclic group. 如申請專利範圍第3項之光阻組成物,其中,前述樹脂成份(A1)尚具有含有含極性基之脂肪族烴基之丙烯酸酯所衍生之結構單位(a3)。 The photoresist composition according to claim 3, wherein the resin component (A1) further has a structural unit (a3) derived from an acrylate containing a polar group-containing aliphatic hydrocarbon group. 如申請專利範圍第4項之光阻組成物,其中,前述樹脂成份(A1)尚具有含有含極性基之脂肪族烴基之丙烯酸酯所衍生之結構單位(a3)。 The photoresist composition of claim 4, wherein the resin component (A1) further has a structural unit (a3) derived from an acrylate containing a polar group-containing aliphatic hydrocarbon group. 如申請專利範圍第1項之光阻組成物,其中,含有含氮有機化合物(D)。 The photoresist composition of claim 1, wherein the nitrogen-containing organic compound (D) is contained. 一種光阻圖型之形成方法,其特徵為,包含使用申請專利範圍第1~7項中任一項之光阻組成物於支撐體上形成光阻膜之步驟,使前述光阻膜曝光之步驟,及將前述光阻膜鹼顯影以形成光阻圖型之步驟。 A method for forming a photoresist pattern, comprising the step of forming a photoresist film on a support by using the photoresist composition according to any one of claims 1 to 7 to expose the photoresist film And a step of alkali developing the aforementioned photoresist film to form a photoresist pattern. 一種下述通式(b1-1)所表示之化合物, 〔式中,R1 為可具有取代基之芳基或烷基,R3 為氫原子 或烷基,n1為0或1,n1為1時,R1 及R3 可相互鍵結,與該R1 鍵結之碳原子及R3 鍵結之碳原子同時形成3~7員環構造之環,A為,可與該A鍵結之硫原子同時形成3~7員環構造之環的2價之基,前述環可具有取代基,R2 為R53 -R54 -所表示之基(式中,R53 為碳數2~10之烯基或芳基,R54 為碳數1~5之直鏈狀或支鏈狀之伸烷基〕,n為0,Y1 為可被氟取代之碳數1~4之伸烷基〕。a compound represented by the following formula (b1-1), Wherein R 1 is an aryl group or an alkyl group which may have a substituent, R 3 is a hydrogen atom or an alkyl group, n1 is 0 or 1, and when n1 is 1, R 1 and R 3 may be bonded to each other, and The carbon atom of R 1 bond and the carbon atom of R 3 bond form a ring of 3 to 7 member ring structure at the same time, and A is a ring of 3 to 7 ring structure which can form a ring with the A bond. The valence group, the ring may have a substituent, and R 2 is a group represented by R 53 -R 54 - (wherein R 53 is an alkenyl group or an aryl group having 2 to 10 carbon atoms, and R 54 is a carbon number of 1~ a linear or branched alkyl group of 5, wherein n is 0, and Y 1 is an alkyl group having 1 to 4 carbon atoms which may be substituted by fluorine. 一種通式(b1-1-1)所表示之化合物之製造方法,其特徵為,包含將下述通式(I)所表示之化合物,與下述通式(II)所表示之化合物,與銅觸媒進行反應而製得下述通式(b1-1-1)所表示之化合物之步驟, 〔式中,A為,可與該A鍵結之硫原子同時形成3~7員環構造之環的2價之基,前述環可具有取代基,R2 為可具有取代基之芳香族基、可具有作為取代基之烷氧基、氯原子、溴原子、碘原子或羥基的碳數1~10之直鏈狀或支鏈狀之烷基,或為可具有取代基之碳數2~10之直鏈狀或支鏈狀之烯基,n為0,Y1 為可被氟取代之碳數1~4之伸烷 基,R1 為各自獨立之可具有取代基之芳基或烷基〕。A method for producing a compound represented by the formula (B1-1-1), which comprises a compound represented by the following formula (I) and a compound represented by the following formula (II); a step of reacting a copper catalyst to obtain a compound represented by the following formula (b1-1-1), Wherein A is a divalent group which can form a ring of a 3 to 7 member ring structure simultaneously with the sulfur atom bonded to the A bond, the ring may have a substituent, and R 2 is an aromatic group which may have a substituent a linear or branched alkyl group having 1 to 10 carbon atoms which may have an alkoxy group, a chlorine atom, a bromine atom, an iodine atom or a hydroxyl group as a substituent, or a carbon number which may have a substituent 2~ a linear or branched alkenyl group of 10, n is 0, Y 1 is an alkylene group having 1 to 4 carbon atoms which may be substituted by fluorine, and R 1 is an independently substituted aryl group or an alkane. base〕. 一種通式(I)所表示之化合物, 〔式中,R2 為可具有取代基之芳香族基、可具有作為取代基之烷氧基、氯原子、溴原子、碘原子或羥基的碳數1~10之直鏈狀或支鏈狀之烷基,或為可具有取代基之碳數2~10之直鏈狀或支鏈狀之烯基,n為0,Y1 為可被氟取代之碳數1~4之伸烷基,R1 為各自獨立之可具有取代基之芳基或烷基〕。a compound represented by the formula (I), [wherein R 2 is an aromatic group which may have a substituent, and may have a linear or branched carbon number of 1 to 10 which may have an alkoxy group as a substituent, a chlorine atom, a bromine atom, an iodine atom or a hydroxyl group; An alkyl group, or a linear or branched alkenyl group having 2 to 10 carbon atoms which may have a substituent, n is 0, and Y 1 is an alkylene group having 1 to 4 carbon atoms which may be substituted by fluorine. R 1 is an aryl group or an alkyl group which may independently have a substituent. 一種通式(I)所表示之化合物之製造方法,其特徵為,包含將下述通式(I-1)所表示之化合物,與下述通式(I-2)所表示之化合物進行反應以製得下述通式(I)所表示之化合物之步驟, 〔式中,R2 為可具有取代基之芳香族基、可具有作為取代基之烷氧基、氯原子、溴原子、碘原子或羥基的碳數1~10之直鏈狀或支鏈狀之烷基,或為可具有取代基之碳數2~10之直鏈狀或支鏈狀之烯基,n為0,Y1 為可被氟取代之碳數1~4之伸烷基,M+ 為鹼金屬離子,R1 為各自獨立之可具有取代基之芳基或烷基,R7 為烷基或氟化烷基〕。A method for producing a compound represented by the formula (I), which comprises reacting a compound represented by the following formula (I-1) with a compound represented by the following formula (I-2) a step of producing a compound represented by the following formula (I), [wherein R 2 is an aromatic group which may have a substituent, and may have a linear or branched carbon number of 1 to 10 which may have an alkoxy group as a substituent, a chlorine atom, a bromine atom, an iodine atom or a hydroxyl group; An alkyl group, or a linear or branched alkenyl group having 2 to 10 carbon atoms which may have a substituent, n is 0, and Y 1 is an alkylene group having 1 to 4 carbon atoms which may be substituted by fluorine. M + is an alkali metal ion, R 1 is an independently aryl or alkyl group which may have a substituent, and R 7 is an alkyl group or a fluorinated alkyl group. 一種酸產生劑,其特徵為,由申請專利範圍第9項之化合物所形成者。 An acid generator characterized by being formed by a compound of claim 9 of the patent application.
TW097125068A 2007-07-18 2008-07-03 Novel compound, manufacturing method thereof, acid generator, resist composition and method of forming resist pattern TWI424265B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2007187593 2007-07-18
JP2007257492A JP5205027B2 (en) 2007-07-18 2007-10-01 Method for producing compound

Publications (2)

Publication Number Publication Date
TW200923572A TW200923572A (en) 2009-06-01
TWI424265B true TWI424265B (en) 2014-01-21

Family

ID=40441896

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097125068A TWI424265B (en) 2007-07-18 2008-07-03 Novel compound, manufacturing method thereof, acid generator, resist composition and method of forming resist pattern

Country Status (3)

Country Link
JP (2) JP5205027B2 (en)
KR (1) KR100964523B1 (en)
TW (1) TWI424265B (en)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5544212B2 (en) * 2009-04-27 2014-07-09 東京応化工業株式会社 Resist composition, resist pattern forming method, compound and method for producing the same, acid generator
JP5953670B2 (en) * 2010-08-27 2016-07-20 住友化学株式会社 Salt, resist composition and method for producing resist pattern
WO2012074077A1 (en) * 2010-12-02 2012-06-07 Jsr株式会社 Radiation-sensitive resin composition and radiation-sensitive acid generator
JP5677135B2 (en) 2011-02-23 2015-02-25 東京応化工業株式会社 Resist composition, resist pattern forming method, and polymer compound
JP5856508B2 (en) * 2011-04-13 2016-02-09 住友化学株式会社 Salt, resist composition and method for producing resist pattern
US9057948B2 (en) 2011-10-17 2015-06-16 Tokyo Ohka Kogyo Co., Ltd. Resist composition for EUV or EB, and method of forming resist pattern
JP5775856B2 (en) * 2011-11-07 2015-09-09 富士フイルム株式会社 Actinic ray-sensitive or radiation-sensitive resin composition, actinic ray-sensitive or radiation-sensitive film and pattern forming method using the same
JP5894802B2 (en) * 2012-01-10 2016-03-30 東京応化工業株式会社 Resist composition and resist pattern forming method
JP5676021B2 (en) * 2014-01-06 2015-02-25 富士フイルム株式会社 Actinic ray-sensitive or radiation-sensitive resin composition and pattern forming method using the same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI261147B (en) * 2001-09-28 2006-09-01 Fuji Photo Film Co Ltd Positive resist composition
US20070100158A1 (en) * 2005-10-28 2007-05-03 Sumitomo Chemical Company, Limited Salt suitable for an acid generator and a chemically amplified resist composition containing the same

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001255647A (en) * 2000-03-13 2001-09-21 Daikin Ind Ltd Fluoroalkylonium salt type cation or acid generating agent which generates cation or acid when irradiated with energy beam
US6664022B1 (en) * 2000-08-25 2003-12-16 Shipley Company, L.L.C. Photoacid generators and photoresists comprising same
JP2002236359A (en) * 2001-02-09 2002-08-23 Fuji Photo Film Co Ltd Positive type photosensitive composition
JP2004519520A (en) * 2001-04-05 2004-07-02 アーチ・スペシャルティ・ケミカルズ・インコーポレイテッド Perfluoroalkylsulfonic acid compounds for photoresists
JP3912767B2 (en) * 2001-06-21 2007-05-09 富士フイルム株式会社 Positive photosensitive composition
JP4025039B2 (en) * 2001-08-21 2007-12-19 富士フイルム株式会社 Positive photosensitive composition
EP1308781A3 (en) * 2001-10-05 2003-09-03 Shipley Co. L.L.C. Cyclic sulfonium and sulfoxonium photoacid generators and photoresists containing them
US6841333B2 (en) * 2002-11-01 2005-01-11 3M Innovative Properties Company Ionic photoacid generators with segmented hydrocarbon-fluorocarbon sulfonate anions
JP2005041857A (en) 2003-01-22 2005-02-17 Jsr Corp Sulfonium salt compound, radiation-sensitive acid generator and positive type radiation-sensitive resin composition
JP4271968B2 (en) * 2003-03-13 2009-06-03 富士フイルム株式会社 Positive or negative resist compositions and compounds
KR100561842B1 (en) 2003-08-25 2006-03-16 삼성전자주식회사 A composition containing a photoacid generator monomer, a substrate coated with the composition, method for synthesizing a compound on a substrate using the composition and a microarray produced by the method
JP2005084240A (en) * 2003-09-05 2005-03-31 Fuji Photo Film Co Ltd Stimulus-sensitive composition, compound, and pattern forming method using stimulus-sensitive composition
JP4443898B2 (en) * 2003-11-13 2010-03-31 富士フイルム株式会社 Photosensitive composition and pattern forming method using the same
JP4407814B2 (en) * 2004-08-27 2010-02-03 信越化学工業株式会社 Resist material and pattern forming method
JP4452632B2 (en) * 2005-01-24 2010-04-21 富士フイルム株式会社 Photosensitive composition, compound used for photosensitive composition, and pattern formation method using the photosensitive composition
JP5070801B2 (en) * 2005-10-28 2012-11-14 住友化学株式会社 Salt for acid generator of chemically amplified resist composition
JP5070802B2 (en) * 2005-10-28 2012-11-14 住友化学株式会社 Salt for acid generator of chemically amplified resist composition
JP5245326B2 (en) * 2006-08-22 2013-07-24 住友化学株式会社 Salt for acid generator of chemically amplified resist composition
US7491482B2 (en) * 2006-12-04 2009-02-17 Az Electronic Materials Usa Corp. Photoactive compounds
JP5193513B2 (en) * 2007-02-23 2013-05-08 東京応化工業株式会社 Compound, acid generator, resist composition, and resist pattern forming method
JP5238216B2 (en) * 2007-04-17 2013-07-17 東京応化工業株式会社 Compound, acid generator, resist composition, and resist pattern forming method
JP2008299069A (en) * 2007-05-31 2008-12-11 Shin Etsu Chem Co Ltd Resist material containing novel photoacid generator and method for forming pattern

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI261147B (en) * 2001-09-28 2006-09-01 Fuji Photo Film Co Ltd Positive resist composition
US20070100158A1 (en) * 2005-10-28 2007-05-03 Sumitomo Chemical Company, Limited Salt suitable for an acid generator and a chemically amplified resist composition containing the same

Also Published As

Publication number Publication date
KR20090009113A (en) 2009-01-22
JP2013067653A (en) 2013-04-18
KR100964523B1 (en) 2010-06-21
JP5205027B2 (en) 2013-06-05
TW200923572A (en) 2009-06-01
JP2009040761A (en) 2009-02-26
JP5564555B2 (en) 2014-07-30

Similar Documents

Publication Publication Date Title
TWI391781B (en) Resist composition, method of forming resist pattern, novel compound, and acid generator
TWI465844B (en) Resist composition, method of forming resist pattern, compound and acid generator
TWI525071B (en) Novel compound
TWI507424B (en) Resist composition, method of forming resist pattern, novel compound and acid generator
TWI532754B (en) Compound, polymeric compound, acid generator, resist composition, and method of forming resist pattern
TWI589992B (en) Resist composition, method of forming resist pattern and polymeric compound
JP5399639B2 (en) Resist composition and resist pattern forming method
TWI384325B (en) Resist composition, method of formimg resist pattern, novel compound and method of producing the same, and acid generator
TWI389922B (en) Polymer compound, positive resist composition and method of forming resist pattern
TWI383252B (en) Resist composition, method of forming resist pattern, compound and acid generator
TWI424265B (en) Novel compound, manufacturing method thereof, acid generator, resist composition and method of forming resist pattern
TWI534531B (en) Resist composition, method of forming resist pattern, and polymeric compound
TWI464533B (en) Resist composition, method of forming resist pattern, and novel compound and acid generator
JP2010113334A (en) Positive resist composition and method of forming resist pattern
TWI388575B (en) Fluorine-containing compound, resist composition for immersion exposure, and method of forming resist pattern
JP5401597B2 (en) Resist composition, resist pattern forming method, compound, acid generator
TW201323457A (en) Polymer, resist composition and method of forming resist pattern
TWI394741B (en) Compound, acid generator, resist composition, and method for formation of resist patterns
JP2011123463A (en) Positive resist composition, method for forming resist pattern, polymer compound and compound
JP5380232B2 (en) Resist composition, resist pattern forming method, novel compound and acid generator
TWI534530B (en) Resist composition and method of forming resist pattern
TWI494686B (en) Resist composition, method of forming resist pattern
JP5376813B2 (en) Resist composition, resist pattern forming method, novel compound, and acid generator
TWI614230B (en) Compound, radical polymerization initiator, method of producing compound, polymer, resist composition, method of forming resist pattern
TWI427416B (en) Positive resist composition, method of forming resist pattern, polymeric compound, and compound