TWI370494B - Improving the reliability of high-k gate dielectric layers - Google Patents

Improving the reliability of high-k gate dielectric layers

Info

Publication number
TWI370494B
TWI370494B TW097109526A TW97109526A TWI370494B TW I370494 B TWI370494 B TW I370494B TW 097109526 A TW097109526 A TW 097109526A TW 97109526 A TW97109526 A TW 97109526A TW I370494 B TWI370494 B TW I370494B
Authority
TW
Taiwan
Prior art keywords
reliability
improving
gate dielectric
dielectric layers
layers
Prior art date
Application number
TW097109526A
Other languages
English (en)
Other versions
TW200849412A (en
Inventor
Adrien Lavoie
Aaron Budrevich
Ashutosh Ashutosh
Huicheng Chang
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of TW200849412A publication Critical patent/TW200849412A/zh
Application granted granted Critical
Publication of TWI370494B publication Critical patent/TWI370494B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28176Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
TW097109526A 2007-03-19 2008-03-18 Improving the reliability of high-k gate dielectric layers TWI370494B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/725,521 US8394694B2 (en) 2007-03-19 2007-03-19 Reliability of high-K gate dielectric layers

Publications (2)

Publication Number Publication Date
TW200849412A TW200849412A (en) 2008-12-16
TWI370494B true TWI370494B (en) 2012-08-11

Family

ID=39766438

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097109526A TWI370494B (en) 2007-03-19 2008-03-18 Improving the reliability of high-k gate dielectric layers

Country Status (3)

Country Link
US (1) US8394694B2 (zh)
TW (1) TWI370494B (zh)
WO (1) WO2008116019A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8088685B2 (en) * 2010-02-09 2012-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Integration of bottom-up metal film deposition
CN104160507B (zh) 2011-12-28 2017-10-24 英特尔公司 在三栅极(finfet)工艺上集成多个栅极电介质晶体管的方法
US9299802B2 (en) * 2012-10-28 2016-03-29 International Business Machines Corporation Method to improve reliability of high-K metal gate stacks
US9660084B2 (en) * 2015-07-01 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
CN107958872B (zh) * 2016-10-17 2020-09-08 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US11292938B2 (en) 2019-09-11 2022-04-05 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of selective chemical mechanical polishing cobalt, zirconium oxide, poly-silicon and silicon dioxide films
KR20210154622A (ko) 2020-06-12 2021-12-21 삼성전자주식회사 3차원 반도체 장치 및 반도체 장치의 제조방법

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3439370B2 (ja) * 1999-04-21 2003-08-25 Necエレクトロニクス株式会社 半導体メモリ装置の製造方法
US6603181B2 (en) * 2001-01-16 2003-08-05 International Business Machines Corporation MOS device having a passivated semiconductor-dielectric interface
KR100520433B1 (ko) * 2003-06-30 2005-10-11 광주과학기술원 고압 수소 열처리를 이용한 고유전율 절연막 제조공정
US20050205969A1 (en) * 2004-03-19 2005-09-22 Sharp Laboratories Of America, Inc. Charge trap non-volatile memory structure for 2 bits per transistor

Also Published As

Publication number Publication date
US20120286372A1 (en) 2012-11-15
WO2008116019A1 (en) 2008-09-25
US8394694B2 (en) 2013-03-12
TW200849412A (en) 2008-12-16

Similar Documents

Publication Publication Date Title
EP2191504A4 (en) DOUBLE-gate oxide ARRANGEMENT INTEGRATION
EP2100317A4 (en) CAPACITIVE DEVICE WITH DOUBLE ELECTRIC LAYER
EP2058828A4 (en) ELECTRIC DOUBLE-LAYER CONDENSER
EP2164648A4 (en) LOW DIELECTRIC CONSTANT
GB2465942B (en) Subterranean formation properties prediction
HK1183607A1 (zh) 用於閉合左心耳附屬物的裝置
EP1905740A4 (en) ELECTRIC DOUBLE-LAYER CONDENSER
GB0713567D0 (en) Gate assembly
EP2419925A4 (en) INTEGRATION WITH TWO METALS AND TWO DIELECTRICS FOR HIGH METALLIC CONSTANT K FIELD EFFECT TRANSISTORS
EP1936642A4 (en) ELECTRIC DOUBLE LAYER CAPACITOR
GB2487326B (en) Measurement of the mobility of mass-selected ions
SG137747A1 (en) Spacer-less low-k dielectric processes
TWI370494B (en) Improving the reliability of high-k gate dielectric layers
EP1962308A4 (en) ELECTRIC DOUBLE LAYER CAPACITOR
PL2586952T3 (pl) Brama wyposażona w element izolujący
GB0815721D0 (en) FASR-acting gate
EP2489068A4 (en) Ic package with non-uniform dielectric layer thickness
EP2316129A4 (en) INCREASED RELIABILITY FOR SEMICONDUCTOR COMPONENTS WITH DIELECTRIC HOUSING
TWI319898B (en) Method of manufacturing gate dielectric layer
EP1876611A4 (en) ELECTRIC DOUBLE LAYER CAPACITOR
GB0812718D0 (en) Gate
EP1971742A4 (en) MECHANISM FOR MANUFACTURING A MULTI PANEL DOOR WITH IMPROVED INSULATING PROPERTIES
EP2295696A4 (de) Sektionaltor
GB2450857B (en) Insulating materials
GB0622422D0 (en) Contact arrangement for gate or the like

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees