TWI257688B - Method of manufacturing a semiconductor device - Google Patents

Method of manufacturing a semiconductor device Download PDF

Info

Publication number
TWI257688B
TWI257688B TW91104861A TW91104861A TWI257688B TW I257688 B TWI257688 B TW I257688B TW 91104861 A TW91104861 A TW 91104861A TW 91104861 A TW91104861 A TW 91104861A TW I257688 B TWI257688 B TW I257688B
Authority
TW
Taiwan
Prior art keywords
circuit
manufacturing
secondary coil
semiconductor device
film
Prior art date
Application number
TW91104861A
Other languages
Chinese (zh)
Inventor
Masaaki Hiroki
Shunpei Yamazaki
Original Assignee
Semiconductor Energy Lab
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Lab filed Critical Semiconductor Energy Lab
Priority to TW91104861A priority Critical patent/TWI257688B/en
Application granted granted Critical
Publication of TWI257688B publication Critical patent/TWI257688B/en

Links

Landscapes

  • Thin Film Transistor (AREA)

Description

1257688 A7 __B7 五、發明説明(!) 發明背景 1、 發明領域 (請先閱讀背面之注意事項再填寫本頁) 本發明係關於一種半導體裝置的製造方法,包含利用 半導體特性的半導體元件(如電晶體,特別是場效應電晶 體;通常爲金屬氧化物半導體(MOS)電晶體和薄膜電晶 體(TFT ))的檢測方法。更具體地說,本發明係關於非 接觸型檢測裝置和使用該檢測裝置的檢測方法。本發明還 關於包含這種半導體元件的檢測方法的半導體裝置的製造 方法。 2、 相關技術的說明 在主動矩陣型液晶顯示器和電致發光(EL )顯示器 中,TFT —般提供在每個圖素中。在液晶顯示器的情況下 ,在形成在每個圖素中的多個TFT中,有些TFT用做開 關元件,而另一些控制電流。 在完成産品之前,在製造其中形成大量TFT的顯示 器期間,在早期階段包含識別缺陷産品的檢測方法對於降 低成本是非常有效的。原因如下:不需要對缺陷産品進行 後續步驟;由於早期發現,因此很容易修復缺陷産品等等 〇 例如,在EL顯示器中,EL元件的一個電極(圖素電 極)和電容可以利用形成在其間的電晶體互相連接。即使 在用於控制發光元件的發光電路或電路元件中有某些問題 ,也很難證實存在缺陷,直到完成EL顯示器和即使進行 衫氏張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 一 '2 / Μ -4 - 1257688 A7 B7 五、發明説明(2) 顯示爲止。實際上,對於未成爲産品的EL面板,爲了與 滿意的産品區別,形成發光元件,進行封裝,並固定連接 器以完成EL顯示器,藉以對EL顯示器進行檢測。 在這種情況下,形成發光元件、封裝和固定連接器的 方法變成毫無用處,因此不能降低減少時間和成本。此外 ’即使在採用多削角基底形成EL面板的情況下,封裝和 固定連接器的方法就沒有用了,因此也不能減少時間和成 本。 爲了檢測由於半導體膜、絕緣膜或接線(以下只稱爲 “圖形”)的圖形寬度變化而引起的操作故障的部分和由 於灰塵或缺陷膜形成引起的接線斷開或短路的部分,且爲 了證實要檢測的電路或電路元件是否正常操作,進行檢測 。這種缺陷檢測主要分爲光學檢測法和探針檢測法。 根據光學檢測法,由CCD等讀取形成在基底上的圖 形,並且被讀取圖形與參考圖形比較以識別缺陷。根據探 針檢測法,細針(探針)的端部放在基底一側上,並在探 針之間的電流或電壓的大小基礎上識別缺陷。通常,前種 方法稱爲非接觸型檢測法,後種方法稱爲觸針型檢測法。 藉由接線直接連接(接觸)到TFT基底上的上述檢 測法識別能用於産品好的TFT基底而不能用於産品的缺 陷TFT基底。但是,根據該方法,在連接接線固定和去 除期間,灰塵可能附著於基底上。此外,根據藉由直接使 細針(探針)與接線接觸的檢測缺陷部分的方法,接線可 能被損壞。這種檢測方法在檢測方法期間可能不必要地增 、本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 衣. 、訂 -5- 1257688 A7 , _ B7 ♦ 五、發明説明(3) 加缺陷産品。而且,根據光學檢測法,需要很長時間以檢 測很多次。 (請先閲讀背面之注意事項再填寫本頁) 發明槪要 因此,鑒於上述原因,本發明的目的是提供包含非接 觸型檢測方法的半導體裝置的製造方法,該檢測方法在 EL顯示器完成之前能夠確認形成在tft基底上的電路或 電路元件是否正常操作’以便批量生産主動矩陣型EL顯 示器。 本發明的發明人發現了一種方法,即在不直接將檢測 裝置連接到陣列基底上的情況下,藉由電磁感應向接線産 生電動勢而允許電流流過TFT基底的接線。 更具體地說,爲了檢測TFT基底,分開製備檢查基 底。檢查基底具有一次線圈,要檢測的陣列基底(TFT基 底)具有二次線圈。 二次線圈是藉由構圖形成在基底上的導電膜形成的。 根據本發明,可以使一次線圈和二次線圈爲:其中在中心 提供磁性物質以形成磁通路。而且,亦可以採用在中心不 提供磁性物質的線圈。 檢查基底的一次線圈與陣列基底的二次線圈重疊,因 此其間保持預定間隔,並且在一次線圈的兩端施加交流電 壓,由此在二次線圈的兩端産生電動勢。希望檢查基底和 陣列基底之間的間隔盡可能的小,且一次線圈和二次線圏 較佳的互相靠近到能控制其間的間隔的程度。 冬养張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) · " -6 - 1257688 A7 . _____B7___ · 五、發明説明(4) (請先閲讀背面之注意事項再填寫本頁) 作爲在二次線圈中産生的電動勢的交流電壓在TFT 基底中被校正之後適當地變平緩,因此得到電壓可用做用 於驅動TFT基底的電路或電路元件的直流電壓(以下稱 爲“電源電壓”)。此外,作爲在二次線圏中産生的電動 勢的交流電壓被波形整形電路等適當整形,因此得到的電 壓可以用做用於驅動TFT基底的電路或電路元件的訊號 (以下稱爲“驅動訊號”)。 驅動訊號或電源電壓施加於TFT基底,藉以驅動電 路或電路元件。當電路或電路元件被驅動時,在電路或電 路元件中産生弱電磁波或電場。藉由確認弱電磁波或電場 的狀態,從大量電路或電路元件中可發現包含沒有正常操 作的電路或電路元件的TFT基底。 可採用已知方法當成確認在電路或電路元件中産生弱 電磁波或電場的方法。 根據本發明,由於上述構造,在不直接將探針連接於 TFT基底的情況下,可確認TFT基底對於産品的適合性。 因此,減少了在檢測方法期間由固著於TFT基底上的灰 塵産生的缺陷,這防止了成品率的下降。與光學檢測法不 一樣,在一個檢測方法中可決定TFT基底對於産品的適 合性。因此檢測方法更簡單化,且在批量生産的情況下可 以在短時間內進行檢測方法。此外,沒有形成不必要的發 光元件。 圖式簡單說明 祷#張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 1257688 A7 ___ B7__* t 五、發明説明(5) 圖1表示檢查基底和陣列基底之間的關係; 圖2A-2D示意性地顯示發光裝置之製造方法; (請先閲讀背面之注意事項再填寫本頁) 圖3表示整流電路和波形整形電路的電路圖; 圖4A和4B示意性地表示檢查基底和陣列基底; 圖5表示陣列基底和TFT基底之間的關係; 圖6表示檢查基底和陣列基底之間的關係; 圖7A-7D表示本發明的典型實施例; 圖8A-8C表示本發明的典型實施例; 圖9A-9C表示本發明的典型實施例; 圖10A和10B表示本發明的典型實施例; 圖11表示本發明的典型實施例; 圖12表示本發明的典型實施例; 圖1 3表示本發明的典型實施例; 圖14表示本發明的典型實施例; 圖15A-15C表示本發明的典型實施例; 圖16A-16C表示本發明的典型實施例; 圖17A和17B表示本發明的典型實施例; 圖18A和18B表示本發明的典型實施例; 圖19A-19H表示在顯示部分中採用根據本發明製造 的發光裝置的電設備; 圖20A和20B表示從交流向脈動電流被整流的訊號 隨著時間的變化; 圖21 A-2 1C表示藉由增加脈動電流産生的直流隨著消 逝時間的改變; 張尺度適用中國國家標準(CNS ) A4規格(210X297公董) " "8 - 1257688 A7 B7五、發明説明(6) 圖22是表示在檢測過程中陣列基底和檢查基底的透 視圖; 圖23A-23C表不放大的線圏;和 圖24A-24F表示本發明的典型實施例。 主要元件對照表 100 陣列基底 101 T F T基底 102 驅動電路 103 圖素部份 104a 二次線圈 104b 整流電路 104c 波形整形電路 105 外部訊號輸入端子 106 檢查基底 200 基底 201 TFT 202 中間層絕緣膜 203 絕緣膜 204 接線 205 透明導電膜 206 圖素電極 207 築堤 601 二極體 -奈攀張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) -9 - 1257688 A7 B7 五、發明説明(7) 602 電容 603 電阻 604a、604b 端子 606、608 電阻 607 ' 609 107; 電容 一次線圈1257688 A7 __B7 V. OBJECT DESCRIPTION OF THE INVENTION (!) BACKGROUND OF THE INVENTION 1. Field of the Invention (Please read the note on the back side and then fill out this page) The present invention relates to a method of fabricating a semiconductor device including a semiconductor element utilizing semiconductor characteristics (such as electricity) Crystals, particularly field effect transistors; typically methods for the detection of metal oxide semiconductor (MOS) transistors and thin film transistors (TFTs). More specifically, the present invention relates to a non-contact type detecting device and a detecting method using the same. The present invention also relates to a method of fabricating a semiconductor device including such a method of detecting a semiconductor device. 2. Description of Related Art In active matrix type liquid crystal displays and electroluminescence (EL) displays, TFTs are generally provided in each pixel. In the case of a liquid crystal display, among a plurality of TFTs formed in each pixel, some TFTs are used as switching elements, and others control current. Prior to the completion of the product, during the manufacture of a display in which a large number of TFTs are formed, the detection method including the identification of defective products at an early stage is very effective for reducing the cost. The reason is as follows: no subsequent steps are required for the defective product; it is easy to repair defective products due to early detection, etc. For example, in an EL display, one electrode (pixel electrode) and a capacitor of the EL element can be formed therebetween. The transistors are connected to each other. Even if there are some problems in the light-emitting circuit or circuit element for controlling the light-emitting element, it is difficult to confirm the existence of the defect until the completion of the EL display and even the Chinese National Standard (CNS) A4 specification (210X297 mm) is applied. ) A '2 / Μ -4 - 1257688 A7 B7 V. Description of invention (2) Displayed until. Actually, for an EL panel which is not a product, in order to distinguish from a satisfactory product, a light-emitting element is formed, packaged, and a connector is fixed to complete an EL display, thereby detecting the EL display. In this case, the method of forming the light-emitting element, the package, and the fixed connector becomes useless, so that the reduction in time and cost cannot be reduced. Further, even in the case where an EL panel is formed using a multi-corner substrate, the method of encapsulating and fixing the connector is useless, and thus time and cost cannot be reduced. In order to detect a portion of an operation failure caused by a change in the pattern width of a semiconductor film, an insulating film or a wiring (hereinafter simply referred to as "pattern"), and a portion which is broken or short-circuited due to formation of dust or a defect film, and for verification The circuit or circuit component to be tested is tested for normal operation. This defect detection is mainly divided into optical detection method and probe detection method. According to the optical detecting method, the pattern formed on the substrate is read by a CCD or the like, and the read pattern is compared with the reference pattern to identify the defect. According to the probe detection method, the end of the fine needle (probe) is placed on one side of the substrate, and the defect is identified based on the magnitude of the current or voltage between the probes. Usually, the former method is called non-contact type detection method, and the latter method is called stylus type detection method. The above-described detection method of directly connecting (contacting) to the TFT substrate by wiring identifies a defective TFT substrate which can be used for a good TFT substrate of a product and cannot be used for a product. However, according to this method, dust may adhere to the substrate during connection fixing and removal. Further, the wiring may be damaged according to the method of detecting the defective portion by directly contacting the fine needle (probe) with the wiring. This test method may be unnecessarily increased during the test method. The paper size is applicable to the Chinese National Standard (CNS) A4 specification (210X297 mm) (please read the notes on the back and fill out this page). - 1257688 A7 , _ B7 ♦ V. Description of invention (3) Add defective products. Moreover, according to the optical detection method, it takes a long time to detect many times. (Please read the note on the back side and then fill out this page.) SUMMARY OF THE INVENTION Therefore, in view of the above, it is an object of the present invention to provide a method of manufacturing a semiconductor device including a non-contact type detecting method which can be performed before the EL display is completed. It is confirmed whether the circuit or circuit element formed on the tft substrate is normally operated 'to mass-produce the active matrix type EL display. The inventors of the present invention have found a method of allowing current to flow through the wiring of the TFT substrate by electromagnetically inducing an electromotive force to the wiring without directly connecting the detecting device to the array substrate. More specifically, in order to detect the TFT substrate, an inspection substrate is separately prepared. The inspection substrate has a primary coil, and the array substrate (TFT substrate) to be inspected has a secondary coil. The secondary coil is formed by patterning a conductive film formed on a substrate. According to the present invention, the primary coil and the secondary coil can be made such that a magnetic substance is provided at the center to form a magnetic path. Further, a coil which does not provide a magnetic substance at the center can also be used. The primary coil of the inspection substrate overlaps with the secondary coil of the array substrate, thereby maintaining a predetermined interval therebetween, and an alternating voltage is applied across the primary coil, thereby generating an electromotive force at both ends of the secondary coil. It is desirable to check that the spacing between the substrate and the array substrate is as small as possible, and that the primary coil and the secondary coil are preferably close to each other to the extent that the spacing therebetween can be controlled. The winter standard is applicable to the Chinese National Standard (CNS) A4 specification (210X297 mm) · " -6 - 1257688 A7 . _____B7___ · V. Invention description (4) (Please read the note on the back and fill out this page) The AC voltage of the electromotive force generated in the secondary coil is appropriately smoothed after being corrected in the TFT substrate, so that a voltage can be obtained as a DC voltage (hereinafter referred to as "supply voltage") for driving a circuit or circuit element of the TFT substrate. . Further, the AC voltage as the electromotive force generated in the secondary coil is appropriately shaped by a waveform shaping circuit or the like, and thus the obtained voltage can be used as a signal for driving a circuit or a circuit component of the TFT substrate (hereinafter referred to as "driving signal"). ). A drive signal or supply voltage is applied to the TFT substrate to drive the circuit or circuit components. When a circuit or circuit component is driven, a weak electromagnetic wave or electric field is generated in the circuit or circuit component. By confirming the state of weak electromagnetic waves or electric fields, a TFT substrate containing circuits or circuit elements that are not normally operated can be found from a large number of circuits or circuit elements. A known method can be employed as a method of confirming the generation of a weak electromagnetic wave or electric field in a circuit or circuit component. According to the present invention, due to the above configuration, the suitability of the TFT substrate for the product can be confirmed without directly connecting the probe to the TFT substrate. Therefore, defects caused by dust adhering to the TFT substrate during the detecting method are reduced, which prevents a decrease in yield. Unlike optical detection, the suitability of a TFT substrate for a product can be determined in one test method. Therefore, the detection method is simpler, and in the case of mass production, the detection method can be performed in a short time. In addition, unnecessary light-emitting elements are not formed. The diagram simply illustrates the Prayer #张Scale Applicable to China National Standard (CNS) A4 Specification (210X297 mm) 1257688 A7 ___ B7__* t V. Invention Description (5) Figure 1 shows the relationship between the inspection substrate and the array substrate; Figure 2A -2D schematically shows a method of manufacturing the light-emitting device; (please read the back note first and then fill in the page) Figure 3 shows a circuit diagram of the rectifier circuit and the waveform shaping circuit; Figures 4A and 4B schematically show the inspection substrate and the array substrate Figure 5 shows the relationship between the array substrate and the TFT substrate; Figure 6 shows the relationship between the inspection substrate and the array substrate; Figures 7A-7D show an exemplary embodiment of the invention; Figures 8A-8C show an exemplary embodiment of the invention Figures 9A-9C show an exemplary embodiment of the present invention; Figures 10A and 10B show an exemplary embodiment of the present invention; Figure 11 shows an exemplary embodiment of the present invention; Figure 12 shows an exemplary embodiment of the present invention; Exemplary Embodiments of the Invention; Fig. 14 shows an exemplary embodiment of the present invention; Figs. 15A to 15C show an exemplary embodiment of the present invention; Figs. 16A to 16C show an exemplary embodiment of the present invention; Figs. 17A and 17B show the present invention. Exemplary Embodiments of the Invention; Figs. 18A and 18B show an exemplary embodiment of the present invention; Figs. 19A to 19H show an electric apparatus using a light-emitting device manufactured according to the present invention in a display portion; Figs. 20A and 20B show an alternating current to a pulsating current. The rectified signal changes with time; Figure 21 A-2 1C shows the change of the DC generated by increasing the ripple current with the elapsed time; the scale is applicable to the Chinese National Standard (CNS) A4 specification (210X297 Gongdong) " &quot 8 - 1257688 A7 B7 V. INSTRUCTION DESCRIPTION (6) FIG. 22 is a perspective view showing the array substrate and the inspection substrate during the inspection; FIGS. 23A-23C show an enlarged line; and FIGS. 24A-24F show the present invention. Typical embodiment. Main component comparison table 100 Array substrate 101 TFT substrate 102 Driving circuit 103 pixel portion 104a secondary coil 104b rectifier circuit 104c waveform shaping circuit 105 external signal input terminal 106 inspection substrate 200 substrate 201 TFT 202 interlayer insulating film 203 insulating film 204 Wiring 205 Transparent Conductive Film 206 Photonic Electrode 207 Embankment 601 Diode-Naipan Scale Applicable to China National Standard (CNS) A4 Specification (210X297 mm) (Please read the back note first and then fill in this page) -9 - 1257688 A7 B7 V. Description of invention (7) 602 Capacitor 603 Resistor 604a, 604b Terminal 606, 608 Resistor 607 ' 609 107; Capacitor primary coil

i rP 108 材料 109a,109b 透明導電膜 104 二次線圈形成部 107 —次線圈形成部 110 孔線圈形成部 111 驅動訊號輸入裝置 112a 光源 112b 光學系統 113 視頻相機 114 影像處理裝置 208 絕緣膜 209 有機化合物層 210 陰極 301 檢查基底 302 一次線圈 305 驅動訊號輸入裝置 303 天線 304 孔 (請先閱讀背面之注意事項再填寫本頁)i rP 108 material 109a, 109b transparent conductive film 104 secondary coil forming portion 107 - secondary coil forming portion 110 hole coil forming portion 111 driving signal input device 112a light source 112b optical system 113 video camera 114 image processing device 208 insulating film 209 organic compound Layer 210 cathode 301 inspection substrate 302 primary coil 305 drive signal input device 303 antenna 304 hole (please read the back of the note before filling this page)

-本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 10 1257688 A7 B7 五、發明説明(8) 306 影像處理裝置 900 基底 (請先閱讀背面之注意事項再填寫本頁) 901 底絕緣膜 901a,901b 氫氧化矽膜 902-906 半導體層 907 閘極絕緣膜 908 阻熱導電層 909 第二導電層 910a,910b 阻止掩膜 911-915 導電層 9 1 6-920 第一 η型雜質區 92 1 -925 導電膜 926-930 第二形狀導電膜 933-937 第二η型雜質區 939,940,94 1 雜質區 93 8a,93 8b 阻止掩模 942 第一中間層絕緣膜 943 第二中間層絕緣膜 944 絕緣膜 945-952 接線 953 陽極 954 有機絕緣膜 955 抗靜電膜 956 築堤 '本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 朗 -11- 1257688 A7 B7 五、發明説明(9) 957 絕緣膜 958 有機化合物層 959 陰極 960 發光元件 1000 p通道T F T 1001 η通道T F T 1002 開關T F Τ 1003 電流控制T F Τ 943B 緻密膜 943C D L C膜 50 陣列基底 52 閘極電極 51 底絕緣膜 53 閘極絕緣膜 54 結晶半導體膜 55 源/汲區 56 絕緣膜 57 接線 58 中間層絕緣膜 59 圖素電極 60 有機絕緣膜 61 抗靜電膜 62 築堤 63 有機化合物層 (請先閱讀背面之注意事項再填寫本頁) -矣煞張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -12- 1257688 A7 B7 五、發明説明(& 64 陰極 64a 陰極 (請先閲讀背面之注意事項再填寫本頁) 64b 透明導電膜 65 保護膜 1100 基底 1101 底絕緣膜 1102 氮化矽膜 1103 非晶半導體膜 1104 含觸媒層 1105 結晶矽膜 1106 薄層 1107 吸氣部份 1108 結晶矽膜 801 源極側驅動電路 802 圖素部份 803 閘極側驅動電路 804 密封基底 805 密封劑 807 間隔器 808 連接接線- This paper scale applies to Chinese National Standard (CNS) A4 specification (210X297 mm) 10 1257688 A7 B7 V. Invention description (8) 306 Image processing device 900 base (please read the back note before filling this page) 901 Bottom Insulating film 901a, 901b Barium hydroxide film 902-906 Semiconductor layer 907 Gate insulating film 908 Heat-resistant conductive layer 909 Second conductive layer 910a, 910b Blocking mask 911-915 Conductive layer 9 1 6-920 First n-type impurity Region 92 1 - 925 Conductive film 926-930 Second shape conductive film 933-937 Second n-type impurity region 939, 940, 94 1 Impurity region 93 8a, 93 8b Block mask 942 First interlayer insulating film 943 Second intermediate layer Insulation film 944 Insulation film 945-952 Wiring 953 Anode 954 Organic insulating film 955 Antistatic film 956 Embankment 'This paper scale applies to China National Standard (CNS) A4 specification (210X297 mm) 朗-11- 1257688 A7 B7 V. Description of invention (9) 957 Insulation film 958 Organic compound layer 959 Cathode 960 Light-emitting element 1000 p-channel TFT 1001 n-channel TFT 1002 switch TF Τ 1003 Current control TF Τ 943B Dense film 943C DLC film 50 Array substrate 52 Gate electrode 51 bottom insulating film 53 gate insulating film 54 crystalline semiconductor film 55 source/turn region 56 insulating film 57 wiring 58 interlayer insulating film 59 pixel electrode 60 organic insulating film 61 antistatic film 62 embankment 63 organic compound layer ( Please read the notes on the back and fill out this page. - The Chinese Standard (CNS) A4 (210X297 mm) -12- 1257688 A7 B7 5. Description of Invention (& 64 Cathode 64a Cathode (Please Read the back note and fill out this page.) 64b Transparent Conductive Film 65 Protective Film 1100 Substrate 1101 Bottom Insulation Film 1102 Tantalum Nitride Film 1103 Amorphous Semiconductor Film 1104 Containing Catalyst Layer 1105 Crystalline Film 1106 Thin Layer 1107 Suction Parts 1108 crystallization film 801 source side driver circuit 802 pixel part 803 gate side driver circuit 804 sealing substrate 805 sealant 807 spacer 808 connection wiring

809 F P C 810 基底809 F P C 810 substrate

811 電流控制T F T 812 陽極 -本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 汹 -13- 1257688 A7 B7 五、發明説明(〇 813 η通道T F T 814 Ρ通道T F Τ 815 築堤 816 有機化合物層 817 陰極 818 發光元件 821 絕緣膜 703 接線 704a,704b 閘極電極 704 開關T F 丁 705 汲極接線 715 源極接線 706 電流控制T F Τ 707 聞極電極 716 電流供應線 717 汲極接線 718 陽極 719 電容 720 半導體膜 200 1 殼 2002 支持座 2003 顯示單元 2004 揚聲器單元 2005 視頻輸入單元 (請先閱讀背面之注意事項再填寫本頁) -裝· 訂 丧導張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -14- 1257688 A7 B7 五、發明説明(4 2101 本體 2102 顯示單元 (請先閲讀背面之注意事項再填寫本頁) 2103 影像接收單元 2104 操作鍵 2105 外部連接埠 2106 快門 2201 本體 2202 殼 2203 顯示單元 2204 鍵盤 2205 外部連接埠 2206 指標滑鼠 2301 本體 2302 顯示單元 2303 開關 2304 操作鍵 2305 紅外線埠 2401 本體 2402 殻811 Current Control TFT 812 Anode - This paper scale is applicable to China National Standard (CNS) A4 specification (210X297 mm) 汹-13- 1257688 A7 B7 V. Invention description (〇813 η channel TFT 814 Ρ channel TF Τ 815 levee 816 organic Compound layer 817 Cathode 818 Light-emitting element 821 Insulation film 703 Wiring 704a, 704b Gate electrode 704 Switch TF D-705 汲 Gate connection 715 Source connection 706 Current control TF Τ 707 Sense electrode 716 Current supply line 717 Dip connection 718 Anode 719 Capacitor 720 Semiconductor film 200 1 Shell 2002 Support 2003 Display unit 2004 Speaker unit 2005 Video input unit (please read the note on the back and fill out this page) - Install · Set the guide to the Chinese National Standard (CNS) A4 specifications (210X297 mm) -14- 1257688 A7 B7 V. Invention description (4 2101 body 2102 display unit (please read the back note first and then fill in this page) 2103 image receiving unit 2104 operation key 2105 external connection 埠 2106 shutter 2201 body 2202 Shell 2203 Display Unit 2204 Keyboard 2205 External Connection 埠 2206 Indicator Mouse 2301 body 2302 display unit 2303 switch 2304 operation button 2305 infrared 埠 2401 body 2402 case

2403 顯示單元A2403 display unit A

2404 顯示單元B 2405 記錄媒體記錄單元 2406 操作鍵 2407 揚聲器單元 献度適用中國國家標準(CNS ) A4祕(2H)X 297公羡) — -15 - 五、發明説明(j 1257688 A7 B7 250 1 本體 2502 顯示單元 2503 臂早兀 260 1 本體 2602 顯示單元 2603 殼 2604 外部連接埠 2605 遙控接收單元 2606 影像接收單元 2607 電池 2608 音頻輸入單元 2609 操作鍵 270 1 本體 2702 殼 2703 顯示單元 2704 音頻輸入單元 2705 音頻輸出單元 2706 操作鍵 2707 外部連接埠 2708 天線 較佳實施例的詳細說明 實施模式1 在本實施模式中,將參照圖1-5和圖20A-B到23A- 决參張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁)2404 Display unit B 2405 Recording media recording unit 2406 Operation key 2407 Speaker unit contribution Applicable to China National Standard (CNS) A4 Secret (2H) X 297 羡) — -15 - V. Invention description (j 1257688 A7 B7 250 1 body 2502 Display unit 2503 Arm early 260 1 Body 2602 Display unit 2603 Shell 2604 External connection 埠 2605 Remote control receiving unit 2606 Image receiving unit 2607 Battery 2608 Audio input unit 2609 Operation key 270 1 Body 2702 Shell 2703 Display unit 2704 Audio input unit 2705 Audio Output unit 2706 operation key 2707 external connection 埠 2708 antenna detailed description of the preferred embodiment implementation mode 1 In this embodiment mode, reference will be made to Figures 1-5 and 20A-B to 23A - CNS ) A4 size (210X297 mm) (Please read the notes on the back and fill out this page)

-16- 1257688 A7 B7-16- 1257688 A7 B7

五、發明説明(A 23C說明在陣列基底100上製造多個TFT基底101的方法 、確認製造的TFT基底的品質的檢測方法、和在被決定 滿意的TFT基底上形成發光元件的方法。 在本實施模式中,將說明在TFT基底上形成發光元 件的情況。但是,本發明不僅適用於具有發光元件的發光 裝置(EL顯示器),而且適用於採用利用半導體特性的 半導體元件的所有電設備,如液晶顯示裝置,例如,電晶 體、特別是場效應電晶體,通常爲MOS (金屬氧化物半 導體)電晶體和TFT (薄膜電晶體)。 首先,在陣列基底100上形成包含TFT、圖素部分 103、變壓器的二次線圈104a、整流電路104b和波形整形 電路104c的驅動電路102、和外部訊號輸入端子105。圖 2A-2D中所示的TFT控制流過圖素部分103中的發光元件 的電流,並且在本說明書中,這種TFT稱爲電流控制TFT 。藉由採用提供在檢查基底上的變壓器一次線圈,變壓器 的二次線圈以非接觸方式對TFT基底傳送驅動電源和驅 動訊號。整流電路將從一次線圈施加的交流電壓整流成直 流電壓。波形整形電路將從一次線圈施加的交流電壓校正 爲驅動訊號的波形(或接近於訊號波形的形狀)。 圖3表示整流電路104b和波形整形電路104c的示意 電路圖。圖4A和4B表示陣列基底100和檢查基底106 的示意頂視圖。 然後,在形成在基底200上的TFT201上形成中間層 絕緣膜202,然後修平。作爲中間層絕緣膜202,可採用 本熱張尺度適用中國國家標準(CNS ) A4規格(210X:297公釐) _ ' -17- (請先閱讀背面之注意事項再填寫本頁) '裝· 訂 1257688 A7 ____B7 五、發明説明(4 選自聚醯亞胺、丙烯酸樹脂、聚醯胺、聚醯亞胺-醯胺、 環氧樹脂和苯並環丁烯 (BCB )的有機樹脂材料,或選 自氧化矽和氮氧化矽的無機絕緣材料。平均膜厚設定爲約 1.0-2.0/zm (圖 2A) 〇 此外,在中間層絕緣膜202上形成絕緣膜203,隨後 形成含有所希望圖形的抗鈾劑掩模,並形成到達TFT201 的汲區的接觸孔以提供接線204。可以藉由利用濺射或真 空蒸氣澱積,形成由Al、Ti或其合金製成的導電金屬膜 ,然後構圖成所希望的形狀,由此獲得接線。 而後,形成要作爲發光元件的陽極的透明導電膜205 。透明導電膜205通常是由氧化銦錫(ITO)或與2-20% 氧化鋅(ZnO )混合的氧化銦形成。 蝕刻透明導電膜205以形成圖素電極206。形成要成 爲築堤的有機絕緣膜207 (在本說明書中,在圖素電極上 具有開口並設置成以便覆蓋圖素電極的端部的絕緣膜將稱 爲“築堤”),可以在有機絕緣膜的表面上形成抗靜電膜 ,以便防止帶電。形成抗靜電膜的第一個原因是爲了防止 在後面進行的檢測方法期間灰塵附著於陣列基底。 第二個原因如下。作爲用於發光元件的電極材料,採 用鹼金屬材料如A1和Mg,它們可能對TFT特性産生關 鍵性的損傷。當鹼金屬混合在TFT的主動層中時’ TFT的 電特性改變,如此即無法保證隨著時間消逝的可靠性。爲 了防止TFT特性被損壞’ TFT製造方法處理室(潔淨空間 )與發光元件製造方法處理室(潔淨空間)分開,由此防 未棒張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)5. Description of the Invention (A 23C describes a method of manufacturing a plurality of TFT substrates 101 on the array substrate 100, a method of detecting the quality of the manufactured TFT substrate, and a method of forming a light-emitting element on a TFT substrate which is determined to be satisfactory. In the embodiment mode, a case where a light-emitting element is formed on a TFT substrate will be explained. However, the present invention is applicable not only to a light-emitting device (EL display) having a light-emitting element but also to all electric devices using a semiconductor element utilizing semiconductor characteristics, such as A liquid crystal display device, for example, a transistor, particularly a field effect transistor, is usually a MOS (Metal Oxide Semiconductor) transistor and a TFT (Thin Film Transistor). First, a TFT, pixel portion 103 is formed on the array substrate 100. The secondary winding 104a of the transformer, the driving circuit 102 of the rectifier circuit 104b and the waveform shaping circuit 104c, and the external signal input terminal 105. The TFT shown in Figs. 2A-2D controls the current flowing through the light emitting element in the pixel portion 103. And in this specification, such a TFT is called a current control TFT by using a transformer provided on the inspection substrate. The secondary coil, the secondary coil of the transformer transmits the driving power and the driving signal to the TFT substrate in a non-contact manner. The rectifier circuit rectifies the alternating voltage applied from the primary coil into a direct current voltage. The waveform shaping circuit corrects the alternating current voltage applied from the primary coil to The waveform of the drive signal (or close to the shape of the signal waveform) Fig. 3 shows a schematic circuit diagram of the rectifier circuit 104b and the waveform shaping circuit 104c. Figs. 4A and 4B show schematic top views of the array substrate 100 and the inspection substrate 106. Then, in formation An interlayer insulating film 202 is formed on the TFT 201 on the substrate 200, and then flattened. As the interlayer insulating film 202, the thermal index can be applied to the Chinese National Standard (CNS) A4 specification (210X: 297 mm) _ ' -17 - (Please read the notes on the back and fill out this page) 'Installation 1257688 A7 ____B7 V. Description of invention (4 selected from polyimine, acrylic resin, polyamide, polyimine-decylamine, ring An organic resin material of an oxyresin and benzocyclobutene (BCB), or an inorganic insulating material selected from the group consisting of cerium oxide and cerium oxynitride. The average film thickness is set to about 1. 0-2.0/zm (Fig. 2A) Further, an insulating film 203 is formed on the interlayer insulating film 202, and then an uranium resist mask containing a desired pattern is formed, and a contact hole reaching the germanium region of the TFT 201 is formed to provide wiring. 204. A conductive metal film made of Al, Ti or an alloy thereof can be formed by sputtering or vacuum vapor deposition, and then patterned into a desired shape, thereby obtaining a wiring. Then, a light-emitting element is formed. The transparent conductive film 205 of the anode. The transparent conductive film 205 is usually formed of indium tin oxide (ITO) or indium oxide mixed with 2-20% zinc oxide (ZnO). The transparent conductive film 205 is etched to form the pixel electrode 206. An organic insulating film 207 to be a bank is formed (in the present specification, an insulating film having an opening on the pixel electrode and disposed so as to cover the end of the pixel electrode will be referred to as a "bank") may be used in the organic insulating film. An antistatic film is formed on the surface to prevent charging. The first reason for forming the antistatic film is to prevent dust from adhering to the array substrate during the detection method performed later. The second reason is as follows. As the electrode material for the light-emitting element, alkali metal materials such as A1 and Mg are used, which may cause a critical damage to the TFT characteristics. When the alkali metal is mixed in the active layer of the TFT, the electrical characteristics of the TFT change, and thus the reliability with time lapse cannot be guaranteed. In order to prevent the TFT characteristics from being damaged, the processing method (clean space) of the TFT manufacturing method is separated from the processing room (clean space) of the light-emitting element manufacturing method, thereby preventing the un-sticker scale from applying the Chinese National Standard (CNS) A4 specification (210×297 mm).

.ci / -I (請先閲讀背面之注意事項再填寫本頁) 裝· 訂 -18- 1257688 A7 __B7_ 五、發明説明(4 止TFT的主動層被鹼金屬污染。這樣,在移動處理室時 ’可防止灰塵附著於陣列基底。 (請先閲讀背面之注意事項再填寫本頁) 由於上述原因,在有機絕緣膜上提供抗靜電膜。在本 實施模式中,抗靜電膜可以由能藉由水淸洗去除的已知抗 靜電材料形成。可採用任何防止帶電的措施代替形成抗靜 電膜。圖5表示這種狀態中的陣列基底的示意圖。 然後,進行用於確認形成在陣列基底上的電路或電路 元件的操作的檢測。下面參照圖1 (圖22 )說明檢測方法 〇 在與製造TFT的相同方法期間,在陣列基底100上 ,形成二次線圈104a、整流電路104b和波形整形電路 104c。檢查基底106設置在陣列基底100上方。 檢查基底106以非接觸方式(含有預定間隔)水平覆 蓋在陣列基底100附近。檢查基底106傳送電源電壓和驅 動訊號,並在電場和電磁場改變的基礎上檢測陣列基底( TFT基底)上的電路或電路元件的操作。 如圖3所示,整流電路104b由二極體601、電容602 和電阻603構成。二極體601將輸入交流電壓整流成直流 電壓。在本實施模式中,由於在與在陣列基底上形成TFT 相同的方法中形成整流電路1 〇4b的二極體,因此藉由圖 3所示的已知方法用二極體代替TFT。 圖20A表示交流電壓在二極體601中被整流之前隨著 時間的變化。圖20B表示被整流之後電壓隨著時間的變 化。正如從圖20A的曲線和20B的曲線之間的對比理解 •夺;蹲張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -19- 1257688 A7 B7 五、發明説明(合 到的,被整流之後的電壓具有0或在半週期基礎上具有一 個極性的値(所謂的脈動電壓)。 圖20B所示的脈動電壓不能用做電源電壓。因此, 通常情況下,電荷被積累在電容中,由此脈動電壓變平緩 爲直流電壓。實際上,藉由薄膜半導體可以使脈動電壓變 得足夠平緩。然而,由於需要形成大容量的電容,因此電 容本身的面積太大,這是不實際的。因此,根據本發明, 含有不同相位的脈動電壓在被整流之後互相組合(相加) ,由此使電壓變平緩。根據所述結構,即使電容的容量很 小,使脈動電壓也足以變平緩,而且,即使沒有確實提供 電容,也能使脈動電壓足以變平緩。 在圖3中,從四個二極體601輸出的含有不同相位的 四個脈動訊號互相加起來以産生電源電壓。但是,本發明 不限於此。相位分割的數量不限於四個。可以採用任何數 量的相位分割,只要來自整流電路的輸出能變平緩以便用 做電源電壓即可。 圖21 A-2 1C表示藉由使多個整流訊號互相相加得到的 電源電壓隨著時間的變化。圖21A表示其中含有不同相 位的四個脈動電壓互相相加以産生一個電源電壓的例子。 藉由使多個脈動電流相加産生電源電壓,因此存在作 爲除了支流以外的分量的漣波。漣波指的是電源電壓的最 高電壓和最低電壓之間的擦痕。由於漣波很小,因此電源 電壓接近於直流。 圖21B表示藉由使含有不同相位的八個脈動電壓相 ,本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) … -20 - (請先閲讀背面之注意事項再填寫本頁).ci / -I (Please read the note on the back and fill out this page) 装·订-18- 1257688 A7 __B7_ V. Description of the invention (4 The active layer of the TFT is contaminated with alkali metal. Thus, when moving the processing chamber 'Prevents dust from adhering to the array substrate. (Please read the back note first and then fill out this page.) For the above reasons, an antistatic film is provided on the organic insulating film. In this embodiment mode, the antistatic film can be used by A known antistatic material is removed by water rinsing. Any antistatic treatment can be used instead of forming an antistatic film. Fig. 5 shows a schematic view of the array substrate in this state. Then, it is performed for confirming formation on the array substrate. Detection of operation of circuit or circuit element. Next, a detection method will be described with reference to FIG. 1 (FIG. 22). On the array substrate 100, a secondary coil 104a, a rectifier circuit 104b, and a waveform shaping circuit 104c are formed during the same method as in manufacturing the TFT. The inspection substrate 106 is disposed above the array substrate 100. The inspection substrate 106 is horizontally covered in the vicinity of the array substrate 100 in a non-contact manner (including a predetermined interval). The bottom 106 transmits the power supply voltage and the drive signal, and detects the operation of the circuit or circuit component on the array substrate (TFT substrate) based on the change of the electric field and the electromagnetic field. As shown in FIG. 3, the rectifier circuit 104b is composed of a diode 601 and a capacitor. 602 and resistor 603. The diode 601 rectifies the input AC voltage into a DC voltage. In this embodiment mode, since the diode of the rectifier circuit 1 〇 4b is formed in the same method as the TFT is formed on the array substrate, The TFT is replaced with a diode by the known method shown in Fig. 3. Fig. 20A shows the change of the alternating voltage with time before being rectified in the diode 601. Fig. 20B shows the change of the voltage with time after being rectified. Just as the comparison between the curve of Fig. 20A and the curve of 20B is understood; the scale of the 蹲 is applicable to the Chinese National Standard (CNS) A4 specification (210X297 mm) -19- 1257688 A7 B7 V. The invention description (collected, The voltage after being rectified has 0 or a 値 (so-called ripple voltage) having a polarity on a half-cycle basis. The ripple voltage shown in Fig. 20B cannot be used as a power supply voltage. Therefore, usually In this case, the electric charge is accumulated in the capacitor, whereby the pulsating voltage is flattened to a direct current voltage. In fact, the pulsating voltage can be made sufficiently gentle by the thin film semiconductor. However, since a large-capacity capacitor needs to be formed, the capacitor itself The area is too large, which is not practical. Therefore, according to the present invention, the ripple voltages having different phases are combined (added) with each other after being rectified, thereby smoothing the voltage. According to the structure, even if the capacity of the capacitor is very large Small, so that the pulsating voltage is also flat enough, and even if the capacitor is not provided, the pulsating voltage is sufficiently gentle. In Fig. 3, four pulsating signals having different phases output from the four diodes 601 are mutually Add up to generate the power supply voltage. However, the present invention is not limited to this. The number of phase divisions is not limited to four. Any number of phase divisions can be used as long as the output from the rectifier circuit can be flattened to be used as the supply voltage. Fig. 21 A-2 1C shows the variation of the power supply voltage with time by adding a plurality of rectified signals to each other. Fig. 21A shows an example in which four ripple voltages having different phases are added to each other to generate a power supply voltage. Since the power supply voltage is generated by adding a plurality of ripple currents, there is a chopping wave as a component other than the branch current. Chopping refers to the scratch between the highest voltage and the lowest voltage of the power supply voltage. Since the chopping is small, the power supply voltage is close to DC. Figure 21B shows that by making the eight pulsating voltage phases with different phases, the paper scale is applicable to the Chinese National Standard (CNS) A4 specification (210X297 mm) ... -20 - (Please read the back note first and then fill out this page)

1257688 A7 __B7_ 五、發明説明(4 加得到的電源電壓隨著時間的變化。與圖2 1 A所示的電 源電壓隨著時間的變化相比,應該理解漣波是較小的。 (請先閲讀背面之注意事項再填寫本頁) 圖21C表示藉由使含有不同相位的16個脈動電壓相 加得到的電源電壓隨著時間的變化。與圖2 1 B所示的電 源電壓隨著時間的變化相比,應該理解漣波是較小的。 如上所述,應該理解,藉由使含有不同相位的大量脈 動電壓互相相加,電源電壓的漣波較小,並且可以得到更 滿意的直流。這樣,隨著相位分割數量越大,從整流電路 104b輸出的電源電壓更加平緩。此外,隨著電容602的 容量變大,從整流電路輸出的電源電壓更平緩。 在整流電路104b中産生的電源電壓藉由端子604a和 604b輸出。更具體地說,從端子604a輸出接近於地電壓 ,從端子604b輸出具有正極性的電源電壓。藉由在相反 方向連接二極體的陽極和陰極,輸出的電源電壓的極性可 以是相反的。在陽極和陰極關於二極體在相反方向連接到 端子上的情況下,輸出的方向相反。 在TFT基底上形成各種電路或電路元件(驅動電路 、週邊邏輯電路等),並且要傳送給電路或電路元件的電 源電壓的大小根據每個電路或電路元件的種類或使用而改 變。在圖3所示的整流電路104b中,藉由調整輸入交流 訊號的幅度,可以調整要輸入到每個端子的電壓的大小。 此外,藉由根據電路或電路元件改變要連接的端子,可以 改變傳送到電路或電路元件的電源電壓。 本發明中使用的整流電路104b不限於圖3中所示的 耕張尺度適用侧家標準(cns ) m— ( 21〇x歸釐) ~ - -21 - 1257688 A7 B7 五、發明説明(& 構造。本發明的中採用的整流電路1 〇4b可以是能從輸入 交流訊號傳送直流電源電壓的電路。 (請先閱讀背面之注意事項再填寫本頁) 波形整形電路1 04c是用於形成隨著時間的改變量即 電壓、電流等的波形和整形波形的電子電路。在圖3中, 具有電阻606和608和電容607和609的每個電路元件組 合以構成波形整形電路104c。不用說,波形整形電路 1 04c不限於圖3所示的構造。本發明的採用的波形整形 電路104c從輸入交流的電動勢産生時鐘訊號(Clk)、 啓始脈衝訊號(S P )和視頻訊號並輸出它們。從波形整形 電路104c輸出的訊號不限於上述那些。可以從波形整形 電路104c輸出具有任何波形的訊號,只要它們能産生藉 由在TFT基底的電路或電路元件中監視決定缺陷部分的 電磁波或電場即可。而且,放大器由參考標記6 0 5表示。 在檢查基底106上,提供變壓器的一次線圈1〇7、光 學特性因電場改變而改變的材料(泡克耳斯材料(1257688 A7 __B7_ V. Description of the invention (4) The power supply voltage obtained with time changes. Compared with the change of the power supply voltage shown in Figure 2 1 A, it should be understood that the chopping is small. Read the precautions on the back page and fill in this page. Figure 21C shows the change of the power supply voltage over time by adding 16 pulsating voltages with different phases. The power supply voltage shown in Figure 2 1 B is over time. In contrast, it should be understood that the chopping is small. As described above, it should be understood that by adding a large number of pulsating voltages having different phases to each other, the chopping of the power supply voltage is small, and a more satisfactory direct current can be obtained. Thus, as the number of phase divisions increases, the power supply voltage output from the rectifying circuit 104b is more gradual. Further, as the capacity of the capacitor 602 becomes larger, the power supply voltage output from the rectifying circuit is more gradual. The power generated in the rectifying circuit 104b The voltage is outputted through the terminals 604a and 604b. More specifically, the output is close to the ground voltage from the terminal 604a, and the power supply voltage having the positive polarity is output from the terminal 604b. The anode and cathode of the diode are connected in direction, and the polarity of the output power supply voltage may be reversed. In the case where the anode and the cathode are connected to the terminals in opposite directions with respect to the diode, the output directions are opposite. Formed on the TFT substrate. Various circuits or circuit components (drive circuits, peripheral logic circuits, etc.), and the magnitude of the power supply voltage to be transmitted to the circuit or circuit components varies depending on the type or use of each circuit or circuit component. The rectifier circuit shown in FIG. In 104b, by adjusting the amplitude of the input AC signal, the magnitude of the voltage to be input to each terminal can be adjusted. Further, by changing the terminal to be connected according to the circuit or circuit component, the power transmitted to the circuit or circuit component can be changed. The rectifying circuit 104b used in the present invention is not limited to the cultivating sheet standard applicable to the cultivating standard (cns) shown in Fig. 3 m - (21 〇 x 厘 ~ ) ~ - - 21 - 1257 688 A7 B7 V. Description of the invention ( <Configuration. The rectifier circuit 1 〇 4b used in the present invention may be a circuit capable of transmitting a DC power supply voltage from an input AC signal. Precautions on the back side. Fill in this page.) Waveform shaping circuit 1 04c is an electronic circuit for forming waveforms and shaping waveforms of voltage, current, etc., which vary with time. In Figure 3, there are resistors 606 and 608 and capacitors. Each of the circuit elements of 607 and 609 is combined to constitute a waveform shaping circuit 104c. Needless to say, the waveform shaping circuit 104c is not limited to the configuration shown in Fig. 3. The waveform shaping circuit 104c employed in the present invention generates a clock signal from the electromotive force input to the alternating current. (Clk), start pulse signal (SP) and video signal and output them. The signals output from the waveform shaping circuit 104c are not limited to those described above. Signals having any waveform can be output from the waveform shaping circuit 104c as long as they can be generated by It is sufficient to monitor the electromagnetic wave or electric field that determines the defective portion in the circuit or circuit element of the TFT substrate. Moreover, the amplifier is denoted by reference numeral 605. On the inspection substrate 106, a primary coil 1〇7 of the transformer and a material whose optical characteristics are changed by the electric field change are provided (bucces material (

PockeIs cell)或液晶)108、和形成以夾住材料1〇8的透 明導電膜(通常由IT0形成)109a和l〇9b,且該透明導 電膜109b接地。 形成在檢查基底106上的一次線圈l〇7a和形成在陣 列基底上的二次線圈1 04a不是提供在中心以形成磁路徑 的磁性物質。當檢查基底1 06和陣列基底1 〇〇保持以互相 靠近時,藉由在一次線圈107a的兩端之間施加交流電壓 ,二次線圈104a在其兩個端子之間産生電動勢。 作爲在二次線圈中産生的電動勢的交流電壓被形成在 2#張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ™ - 22 - 1257688 A7 ___B7 五、發明説明(2J) (請先閱讀背面之注意事項再填寫本頁) 陣列基底100上的整流電路104b整流並變平緩,藉此, 變平緩的電壓可用做用於驅動陣列基底1〇〇上的電路或電 路元件的直流電壓(以下稱爲“電源電壓”)。此外,作 爲在二次線圈104a中産生的電動勢的交流電壓被形成在 陣列基底100上的波形整形電路l〇4c適當整形成訊號波 形’藉此,被整形的電壓可用做用於驅動陣列基底1〇〇上 的電路或電路元件的訊號(以下稱爲“驅動訊號”)。 接著,將詳細說明一次線圈l〇7a和二次線圈104a的 構造。圖23A-23C顯示線圈的放大圖。 圖23A中所示的線圈具有圓螺旋形,其中在線圈兩 端形成線圈端子。圖23B中所示的線圏具有矩形螺旋形 狀,其中在線圈兩端形成線圈端子。 關於本發明中使用的線圈,只需要線圈的整個接線形 成在同一平面內,線圈的接線捲繞成螺旋形。因此,當在 垂直於形成線圏的平面的方向觀看時,線圈的接線可呈現 圓形或有角形狀。 此外,如果需要,線圈的匝數、線寬、和佔據基底的 面積可適當由設計者設定。 如圖1所示,檢查基底106的一次線圈形成部分107 和陣列基底(TFT基底)100的二次線圈形成部分104以 其間預定的間隔互相重疊。 圖23C顯示一次線圈形成部分107與二次線圈形成部 分104疊加部分之放大圖。在圖23C中,一次線圈107a 的接線的捲繞方向與二次線圈1 04a的相同。然而,本發 冬終張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) -23- 1257688 A7 __B7_ 五、發明説明(2) 明不限於這種構造。一次線圈的捲繞方向可以與二次線圏 的相反。 (請先閲讀背面之注意事項再填寫本頁) 在本實施模式中,在預定壓力下,經由檢查基底106 ’在檢查基底106和陣列基底100之間注入氣體,藉此在 其間保持預定間隔。這個間隔可以由本領域技術人員適當 決定。但是,在本實施模式中,該間隔較佳的在10-200 // m範圍內。此外,爲了在檢查基底1 06和陣列基底1 00之 間注入氣體,檢查基底106提供有用於注入氣體的多個孔 〇 可使用絕緣液體代替氣體,以便保持陣列基底100和 檢查基底106之間的間隔。 檢測裝置提供有驅動電源和驅動訊號輸入裝置111、 光源(可採用無干擾光源如鹵素燈和放電燈)11 2a、光學 系統112b、視頻相機113、和影像處理裝置114。在給 TFT施加電壓之前,照射來自光源112a的光,來自 Pockel cell的表面的光態被視頻相機113作爲影像捕獲, 然後採用影像處理裝置114進行影像處理。 作爲檢測形成在提供在檢查基底106上的陣列基底( TFT基底)100上的電路或電路元件上的資訊的方法,可 採用其光學特性因電場改變而改變的材料(Pockel晶體 )如液晶或Pockel cell。在檢查基底106中,Pockel cell 108夾在第一電極109a和第二電極109b之間(圖22)。PockeIs cell or liquid crystal 108, and a transparent conductive film (formed generally by IT0) 109a and 10b formed to sandwich the material 1〇8, and the transparent conductive film 109b is grounded. The primary coil 10a formed on the inspection substrate 106 and the secondary coil 104a formed on the array substrate are not magnetic substances provided at the center to form a magnetic path. When the inspection substrate 106 and the array substrate 1 are held close to each other, the secondary coil 104a generates an electromotive force between its two terminals by applying an alternating voltage between both ends of the primary coil 107a. The AC voltage as the electromotive force generated in the secondary coil is formed in the 2# sheet scale applicable to the Chinese National Standard (CNS) A4 specification (210X297 mm) TM - 22 - 1257688 A7 ___B7 V. Invention description (2J) (Please first Read the backside note and fill out this page) The rectifier circuit 104b on the array substrate 100 is rectified and smoothed, whereby the flattened voltage can be used as a DC voltage for driving the circuit or circuit component on the array substrate 1 Hereinafter referred to as "power supply voltage"). Further, the AC voltage as the electromotive force generated in the secondary coil 104a is appropriately formed into a waveform waveform by the waveform shaping circuit 10c4 formed on the array substrate 100. Thereby, the shaped voltage can be used to drive the array substrate 1 The signal of a circuit or circuit component on the cymbal (hereinafter referred to as "drive signal"). Next, the configuration of the primary coil 10a and the secondary coil 104a will be described in detail. 23A-23C show enlarged views of the coil. The coil shown in Fig. 23A has a circular spiral shape in which coil terminals are formed at both ends of the coil. The turns shown in Fig. 23B have a rectangular spiral shape in which coil terminals are formed at both ends of the coil. With regard to the coil used in the present invention, only the entire wiring of the coil is required to be formed in the same plane, and the wiring of the coil is wound into a spiral shape. Therefore, the wiring of the coil can assume a circular or angular shape when viewed in a direction perpendicular to the plane in which the turns are formed. Further, if necessary, the number of turns of the coil, the line width, and the area occupying the substrate can be appropriately set by the designer. As shown in Fig. 1, the primary coil forming portion 107 of the inspection substrate 106 and the secondary coil forming portion 104 of the array substrate (TFT substrate) 100 are overlapped with each other at a predetermined interval therebetween. Fig. 23C is an enlarged view showing a portion where the primary coil forming portion 107 and the secondary coil forming portion 104 are superposed. In Fig. 23C, the winding direction of the wiring of the primary coil 107a is the same as that of the secondary coil 104a. However, the winter final sheet scale of this issue applies to the Chinese National Standard (CNS) Α4 specification (210X297 mm) -23- 1257688 A7 __B7_ V. The invention description (2) is not limited to this configuration. The winding direction of the primary coil may be opposite to that of the secondary winding. (Please read the caution on the back side and then fill out this page.) In the present embodiment mode, gas is injected between the inspection substrate 106 and the array substrate 100 via the inspection substrate 106' under a predetermined pressure, thereby maintaining a predetermined interval therebetween. This interval can be appropriately determined by those skilled in the art. However, in this embodiment mode, the interval is preferably in the range of 10-200 // m. Further, in order to inject gas between the inspection substrate 106 and the array substrate 100, the inspection substrate 106 is provided with a plurality of holes for injecting gas, and an insulating liquid may be used instead of the gas in order to maintain the space between the array substrate 100 and the inspection substrate 106. interval. The detecting device is provided with a driving power source and a driving signal input device 111, a light source (a non-interference source such as a halogen lamp and a discharge lamp can be employed) 11 2a, an optical system 112b, a video camera 113, and an image processing device 114. Before the voltage is applied to the TFT, the light from the light source 112a is irradiated, and the light state from the surface of the Pockel cell is captured by the video camera 113 as an image, and then image processing is performed by the image processing device 114. As a method of detecting information formed on a circuit or circuit element provided on the array substrate (TFT substrate) 100 on the inspection substrate 106, a material (Pockel crystal) whose optical characteristics are changed by an electric field change such as liquid crystal or Pockel may be employed. Cell. In the inspection substrate 106, the Pockel cell 108 is sandwiched between the first electrode 109a and the second electrode 109b (Fig. 22).

Pockelcell是具有電光效應(Pockel效應)的光學 元件,它利用電光特性根據施加電壓而改變的性能。藉由 夺秀張尺度適用中國國家標準(CNS )八4規格(210X297公釐) -24- 1257688 Α7 Β7 五、發明説明( (請先閲讀背面之注意事項再填寫本頁)Pockelcell is an optical element having an electro-optic effect (Pockel effect) which utilizes electro-optic characteristics to vary depending on the applied voltage. Applicable to China National Standard (CNS) 8 4 specifications (210X297 mm) by the scale of Zhang Xiu Zhang -24- 1257688 Α7 Β7 5, invention description (please read the back note and fill out this page)

對晶體施加交流電壓或脈衝電壓,這個性能可用於光調變 、快門、和圓偏振光的產生和檢測。更具體地說,Pockel cell 是 NH4H2P〇4、BaTiCh、KH2PC) ( KHP ) 、KD2P〇4 ( D KDP) 、:LiNbCh、ZnTe 或 Zn〇等之晶體。 似陣列基底的電路或電路元件被驅動以改變電場,且 電場的改變引起在Pockel cell中的雙折射,由此透射率 呈現不同。更具體地說,和與正常電路或電路元件疊加的 部分中的Pockel cell相比,與缺陷電路或電路元件疊加 的部分中的Pockel cell表現爲更亮或更暗。 例如,透光率在形成在圖素中的正常TFT和缺陷TFT 之間改變。原因如下:當設置裝置基底以便垂直於Pockel cell的鐵電晶體的光軸時,由於在電路或電路元件中産生 的電場而在鐵電晶體中産生雙折射。 關於具有在電場方向的分量的偏振光的雙折射的折射 率由電場強度決定。因此,在具有相同結構和正常操作的 多個電路或電路元件中,産生具有相同強度的電場,因此 與每個電路或電路元件重疊的部分中的鐵電晶體的折射率 基本上相同。 然而,在缺陷電路或電路元件中産生的電場比在其他 正常電路或電路元件中産生的電場強或弱。因此,與缺陷 電路或電路元件重疊的部分中的鐵電晶體的折射率不同於 與其他正常電路或電路元件重疊的部分中的鐵電晶體的折 射率。當藉由Pockel cell觀察裝置基底時,和與正常電 路或電路元件重疊的部分相比,與缺陷電路或電路元件重 %張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -25- 1257688 A7 ____B7_ 五、發明説明(4 疊的部分表現爲更亮或更暗。 (請先閱讀背面之注意事項再填寫本頁) 例如,如圖1所示,下列情況是可能的:利用光學系 統如偏振束分離器將在垂直於陣列基底的方向的光分離, 並且監視光的強度,由此計算Pockel cell的透射率,以 便檢測缺陷部分。藉由多次監視光得到的結果可進行某種 運算處理,以便檢測缺陷部分。 還可以將來自要檢測的所有電路的輸出輸入到用於檢 測的電路,並利用電光元件測量在用於檢測的電路中産生 的電場強度,由此決定缺陷的存在或決定缺陷部分本身。 採用用於檢測電路不需要用Pockel cell監視要檢測的所 有電路或電路元件中的光,由此簡化和加速檢測方法。 缺陷的檢測不限於圖素部分,本檢測方法可適用於任 何電路或電路兀件。例如,Pockel cell可以與驅動電路或 訊號線驅動電路疊加,並監測折射率,由此可同樣檢測缺 陷部分。此外,可同樣檢測在裝置基底上的路由路線中産 生的如斷開和短路等缺陷。 由於上述檢測方法,確認陣列基底上的每個TFT基 底是否適合於産品。此後,檢測方法之前已經形成抗靜電 膜的情況下,去掉抗靜電膜,並鈾刻有機絕緣膜以形成築 堤207,然後在230-350°C下進行熱處理。 然後分離陣列基底1〇〇以形成TFT基底101。關於在 陣列基底100上如何形成TFT基底和二次線圈形成部分 104 (二次線圈l〇4a、整流電路104b、波形整形電路104c ),可以由本領域技術人員適當決定。但是,較佳的,形 夺終張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -26- 1257688 A7 B7 五、發明説明(' 成TFT基底和檢測電路,以便TFT基底ι〇1上的驅動電 路可與用在檢測方法中的二次線圈l〇4a、整流電路1〇仆 (請先閲讀背面之注意事項再填寫本頁) 、波形整形電路104c分離,以便其間不留下電氣和物理 連接,如圖5所示。Applying an alternating voltage or pulse voltage to the crystal, this property can be used for the generation and detection of light modulation, shutter, and circularly polarized light. More specifically, the Pockel cell is a crystal of NH4H2P〇4, BaTiCh, KH2PC) (KHP), KD2P〇4 (D KDP), LiNbCh, ZnTe or Zn〇. A circuit or circuit element like an array substrate is driven to change the electric field, and a change in the electric field causes birefringence in the Pockel cell, whereby the transmittances are different. More specifically, the Pockel cell in the portion superimposed with the defective circuit or circuit element appears to be brighter or darker than the Pockel cell in the portion overlapped with the normal circuit or circuit element. For example, the light transmittance changes between a normal TFT and a defective TFT formed in a pixel. The reason is as follows: When the device substrate is disposed so as to be perpendicular to the optical axis of the ferroelectric crystal of the Pockel cell, birefringence is generated in the ferroelectric crystal due to an electric field generated in the circuit or circuit element. The refractive index of the birefringence of the polarized light having a component in the direction of the electric field is determined by the electric field strength. Therefore, in a plurality of circuits or circuit elements having the same structure and normal operation, electric fields having the same intensity are generated, and thus the refractive indices of the ferroelectric crystals in the portion overlapping each circuit or circuit element are substantially the same. However, the electric field generated in the defective circuit or circuit element is stronger or weaker than the electric field generated in other normal circuits or circuit elements. Therefore, the refractive index of the ferroelectric crystal in the portion overlapping the defective circuit or circuit element is different from the refractive index of the ferroelectric crystal in the portion overlapping with other normal circuits or circuit elements. When observing the device substrate by the Pockel cell, the Chinese National Standard (CNS) A4 specification (210X297 mm) is applied to the defective circuit or circuit component compared to the portion overlapping with the normal circuit or circuit component. 1257688 A7 ____B7_ V. INSTRUCTIONS (The 4 stacks appear brighter or darker. (Please read the back note first and then fill out this page.) For example, as shown in Figure 1, the following is possible: using an optical system For example, the polarization beam splitter separates light in a direction perpendicular to the array substrate, and monitors the intensity of the light, thereby calculating the transmittance of the Pockel cell to detect the defective portion. The result obtained by monitoring the light multiple times can be performed. The processing is performed to detect the defective portion. It is also possible to input the output from all the circuits to be detected to the circuit for detection, and measure the electric field strength generated in the circuit for detection using the electro-optical element, thereby determining the existence of the defect Or determine the defect itself. The use of the circuit for detecting does not require the use of a Pockel cell to monitor all circuits or circuit components to be detected. This simplifies and speeds up the detection method. The detection of defects is not limited to the pixel part, and the detection method can be applied to any circuit or circuit element. For example, the Pockel cell can be superimposed with the driving circuit or the signal line driving circuit, and the refractive index is monitored. Thus, the defective portion can be similarly detected. Further, defects such as disconnection and short circuit generated in the routing route on the device substrate can be similarly detected. Due to the above detection method, it is confirmed whether each TFT substrate on the array substrate is suitable for the product. Thereafter, in the case where the antistatic film has been formed before the detection method, the antistatic film is removed, and the organic insulating film is uranium-etched to form the embankment 207, and then heat-treated at 230 to 350 ° C. Then, the array substrate 1 is separated by The TFT substrate 101 is formed. How to form the TFT substrate and the secondary coil forming portion 104 (the secondary coil 104a, the rectifier circuit 104b, and the waveform shaping circuit 104c) on the array substrate 100 can be appropriately determined by those skilled in the art. Preferably, the final sheet size is applicable to the Chinese National Standard (CNS) A4 specification (210X297 mm) -26- 1257688 A7 B7 V. Invention Description ('The TFT substrate and the detection circuit, so that the driving circuit on the TFT substrate ι〇1 can be used with the secondary coil l〇4a used in the detection method, the rectifier circuit 1 is servant (please read the back first) The precautions are filled out again. The waveform shaping circuit 104c is separated so as not to leave electrical and physical connections therebetween, as shown in FIG.

然後’在上述檢測方法中被決定適合於産品的TFT 基底101的圖素電極206上,形成絕緣膜208、有機化合 物層209、和陰極210。被決定適合於産品的TFT基底從 用於分析缺陷的製造方法中除去。當可以修復缺陷TFT 基底以便適合於産品時,缺陷TFT被修復並再回到檢測 方法。 作爲絕緣膜208 ’藉由旋塗形成厚度爲丨-“以的由聚 醯亞胺、醯胺、丙烯酸樹脂等構成的有機樹脂絕緣膜。 有機化合物層209是藉由堆疊包含電洞注入層、電洞 傳送層、電洞阻擋層、電子傳送層和電子注入層以及發光 層的多個層的組合形成的。有機化合物層209的厚度較佳 約爲 10-400nm (圖 2D )。 陰極210是在形成有機化合物層209之後藉由氣相澱 積形成的。作爲陰極210的材料,不僅可採用MgAg或 Al-Li合金(鋁和鋰的合金),而且可以採用藉由屬於週 期表1或2族的元素和鋁的共氣相澱積形成的膜。陰極 210的厚度較佳爲約80-200nm。 如上所述,可藉由採用形成在陣列基底100上的多個 TFT基底101製造發光裝置。 在本實施模式中,玻璃基底用做陣列基底。然而,也 ^紙張尺度適用中國國家標準(CNS ) A4規格(21〇><297公釐) 二心 -27- 1257688 A7 B7 五、發明説明(2¾ (請先閲讀背面之注意事項再填寫本頁) 可採用石英基底或塑膠基底。在採用塑膠基底的情況下, 基底的耐熱溫度低,因此本領域技術人員可以適當決定在 塑膠基底能承受的溫度下進行製造方法。 形成在檢查基底和陣列基底上的線圈的匝數、線寬、 形狀和佔據基底的面積可由本領域技術人員適當決定。但 是,考慮到二次線圈的匝數與一次線圈的匝數的比和引入 到二次線圈的電壓與施加於一次線圈的電壓的比成反比, 因此決定這些參數是很重要的。 實施模式2 在本實施模式中,將參照圖4A和4B及圖6說明用 於TFT基底的另一檢測方法。 當形成在陣列基底上的TFT基底上的電路或電路元 件操作時,産生電磁波。根據本實施模式中所揭示的檢測 方法,藉由測量電磁波的強度和頻率,和在某一時間週期 基礎上的電磁波的強度和頻率,確認TFT基底是否適合 於産品。 被決定滿意(適合於産品)的TFT基底上的電路的 電磁波的強度及在某一時間週期基礎上的強度和頻率可預 先被測量並使用以相比較以決定TFT基底對産品的適合 性。 然後,利用電磁感應,對形成在陣列基底上的TFT 基底上的電路或電路元件傳送電源電壓和驅動訊號。此時 ’利用具有能測量電磁波的天線的檢查基底,測量電磁波 矣举張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) "~' -28 _ 1257688 A7 B7 五、發明説明(2έ 的強度和頻率及在某一時間週期(某定時)基礎上的強度 和頻率。 (請先閲讀背面之注意事項再填寫本頁) 檢查基底301用與實施模式1相同的方式提供有一次 線圈302。當在一次線圈的兩個端子之間施加來自驅動電 源和驅動訊號輸入裝置305的交流電壓時,在二次線圈 104a的兩個端子之間産生電動勢。 然後,作爲在二次線圈104a中産生的電動勢的交流 電壓被形成在陣列基底100上的整流電路1 04b整流和變 平緩,藉此變平緩的電壓可用做用於驅動陣列基底的電路 或電路元件的直流電壓(以下稱爲“電源電壓”)。此外 ,作爲在二次線圈104a中産生的電動勢的交流電壓被形 成在陣列基底100上的波形整形電路104c適當整形成訊 號波形,藉此被整形的電壓可用做用於驅動陣列基底100 上的電路或電路元件的訊號(以下稱爲“驅動訊號”)。 當由整流電路和波形整形電路傳送驅動電源和驅動訊 號時,如實施模式1中所述,形成在TFT基底上的電路 或電路元件操作以産生電磁波。利用提供在檢查基底301 上的天線303測量産生的電磁波的強度和光譜及在定時基 礎上的強度和光譜。作爲提供在檢查基底301上的天線 3 03 (電磁感測器),可採用具有IMHz-lGHz的測量頻率 帶的已知感測器(天線)。此外,爲使檢查基底301不與 陣列基底100接觸,和爲了提高由陣列基底100上的電路 或電路元件産生的電磁波的測量可重復性,需要總是保持 檢查基底30 1和陣列基底1 〇〇之間的預定間隔。在本實施 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) L、}L -29- 1257688 A7 _B7___ 五、發明説明(2> (請先閲讀背面之注意事項再填寫本頁) 模式中,在預定壓力下,將氣體注入檢查基底301中,由 此在檢查基底30 1和陣列基底100之間保持預定間隔。這 個間隔可由本領域技術人員適當決定。但是,在本實施模 式中,間隔設定在10-200 // m的範圍內。爲了注入氣體, 檢查基底提供有多個孔304。圖4A和4B示意性地顯示一 次線圈302和檢查基底301上的外部訊號輸入端子之間以 及二次線圏104a和陣列基底100上的外部訊號輸入端子 105之間的關係。 在天線303中,爲了得到用於獲得位置資訊如由形成 在陣列基底100上的電路或電路元件産生的電磁波的強度 和頻率所需要的解析度,較佳的用於在檢查基底30 1上形 成天線303的間隔做得盡可能的小,以便可形成更小的天 線。用於形成天線303的間隔可由本領域技術人員適當決 定,以便根據圖素尺寸得到最佳解析度。 此外,藉由盡可能保持檢查基底和陣列基底之間的間 隔爲預定値(在本實施模式中爲100/zm或更小),可提 高解析度。 電磁波的強度和頻率的測量結果是藉由天線303得到 的,並利用影像處理裝置306分析。由此可以例如藉由顔 色編碼顯示電磁波的強度分佈。 根據在本實施模式中揭示的檢測方法,藉由同時測量 由電路或電路元件産生的電磁波的強度和頻率及在某一時 間週期基礎上的電磁波的強度和頻率,確認形成在陣列基 底上的電路或電路元件的操作。因此可在短時間週期內確 夺與張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -30- 1257688 A7 B7 五、發明説明(2¾ 認TFT基底是否適合於産品。 當完成本實施模式中的檢測方法時,陣列基底100被 分成單獨的TFT基底101。此後,可在被決定適合於産品 的TFT基底上形成發光元件以製造EL顯示器。TFT基底 可粘接到相對基底上,其間密封液晶以製造液晶顯示器。 由於在製造方法中包含上述檢測方法,因此可以不在 包含大量缺陷圖素或缺陷驅動電路的TFT基底上形成發 光元件。因此,不會浪費用於形成發光元件的材料,這就 可以降低製造成本。 此外,可利用非接觸方式將驅動電源或驅動訊號傳送 給陣列基底。因此,可防止在檢測方法(或爲檢測方法之 準備)期間灰麈附著於陣列基底上以污染陣列基底。 實施例 實施例1 在本實施例中,將說明根據本發明製造的發光元件。 這裏,將參照圖7A-7D到10A和10B說明製造具有在同 一基底上的本發明的發光元件、提供在圖素部分週邊的驅 動電路的TFT ( η通道TFT和p通道TFT )、變壓器的二 次線圈、整流電路,和用於檢測TFT基底的驅動的波形 整形電路的圖素部分的方法的例子。 首先,在本例中,採用由玻璃如以Corning公司生産 的#7059玻璃和#1 737玻璃爲代表的硼矽酸鋇玻璃或硼矽 酸鋁玻璃製成的基底900。作爲基底900,可採用具有透 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) w -31- (請先閲讀背面之注意事項再填寫本頁)Then, on the pixel electrode 206 of the TFT substrate 101 which is determined to be suitable for the product in the above-described detecting method, the insulating film 208, the organic compound layer 209, and the cathode 210 are formed. The TFT substrate decided to be suitable for the product is removed from the manufacturing method for analyzing defects. When the defective TFT substrate can be repaired to suit the product, the defective TFT is repaired and returned to the detection method. As the insulating film 208', an organic resin insulating film made of polyimide, amide, acrylic, or the like is formed by spin coating. The organic compound layer 209 is formed by stacking a hole injecting layer, The hole transport layer, the hole barrier layer, the electron transport layer and the electron injection layer, and a combination of a plurality of layers of the light-emitting layer are formed. The thickness of the organic compound layer 209 is preferably about 10 to 400 nm (Fig. 2D). It is formed by vapor deposition after the formation of the organic compound layer 209. As the material of the cathode 210, not only MgAg or an Al-Li alloy (an alloy of aluminum and lithium) but also a periodic table 1 or 2 may be employed. A film formed by co-vapor deposition of an element of the group and aluminum. The thickness of the cathode 210 is preferably about 80 to 200 nm. As described above, the light-emitting device can be manufactured by using the plurality of TFT substrates 101 formed on the array substrate 100. In this embodiment mode, the glass substrate is used as an array substrate. However, the paper size is also applicable to the Chinese National Standard (CNS) A4 specification (21〇><297 mm). Two hearts -27- 1257688 A7 B7 Description of the invention (23⁄4 ( Read the back of the precautions and fill out this page. You can use a quartz substrate or a plastic substrate. In the case of a plastic substrate, the substrate has a low heat-resistant temperature, so those skilled in the art can appropriately determine the temperature at which the plastic substrate can withstand. Manufacturing method. The number of turns, the line width, the shape, and the area occupying the substrate of the coil formed on the inspection substrate and the array substrate can be appropriately determined by those skilled in the art, but considering the number of turns of the secondary coil and the number of turns of the primary coil The ratio is inversely proportional to the ratio of the voltage introduced to the secondary coil to the voltage applied to the primary coil, so it is important to determine these parameters. Embodiment Mode 2 In this embodiment mode, description will be made with reference to FIGS. 4A and 4B and FIG. Another detection method for a TFT substrate. When a circuit or circuit element formed on a TFT substrate on an array substrate is operated, electromagnetic waves are generated. According to the detection method disclosed in this embodiment mode, by measuring the intensity and frequency of electromagnetic waves And the intensity and frequency of electromagnetic waves based on a certain time period, confirming whether the TFT substrate is suitable for production The intensity of the electromagnetic wave of the circuit on the TFT substrate that is determined to be satisfactory (suitable for the product) and the intensity and frequency over a period of time can be measured and used in comparison to determine the suitability of the TFT substrate for the product. Then, using electromagnetic induction, the power supply voltage and the driving signal are transmitted to the circuit or circuit component on the TFT substrate formed on the array substrate. At this time, the measurement substrate is measured by using an inspection substrate having an antenna capable of measuring electromagnetic waves. China National Standard (CNS) A4 specification (210X297 mm) "~' -28 _ 1257688 A7 B7 V. Description of invention (2 έ intensity and frequency and intensity and frequency based on a certain time period (a certain timing). (Please read the precautions on the back side and then fill out this page.) The inspection substrate 301 is provided with the primary coil 302 in the same manner as in the embodiment mode 1. When an alternating voltage from the driving power source and the driving signal input means 305 is applied between the two terminals of the primary coil, an electromotive force is generated between the two terminals of the secondary coil 104a. Then, the alternating current voltage as the electromotive force generated in the secondary coil 104a is rectified and flattened by the rectifying circuit 104b formed on the array substrate 100, whereby the flattened voltage can be used as a circuit or circuit element for driving the array substrate. The DC voltage (hereinafter referred to as "supply voltage"). Further, the AC voltage as the electromotive force generated in the secondary coil 104a is appropriately formed into a signal waveform by the waveform shaping circuit 104c formed on the array substrate 100, whereby the shaped voltage can be used as a circuit for driving the array substrate 100. Or the signal of a circuit component (hereinafter referred to as "drive signal"). When the driving power source and the driving signal are transmitted by the rectifying circuit and the waveform shaping circuit, as described in Embodiment Mode 1, the circuit or circuit element formed on the TFT substrate operates to generate electromagnetic waves. The intensity and spectrum of the generated electromagnetic waves and the intensity and spectrum on the basis of timing are measured by the antenna 303 provided on the inspection substrate 301. As the antenna 303 (electromagnetic sensor) provided on the inspection substrate 301, a known sensor (antenna) having a measurement frequency band of 1 MHz - 1 GHz can be employed. Furthermore, in order to make the inspection substrate 301 not in contact with the array substrate 100, and in order to improve the measurement repeatability of electromagnetic waves generated by circuits or circuit elements on the array substrate 100, it is necessary to always maintain the inspection substrate 30 1 and the array substrate 1 The predetermined interval between. In this paper, the Chinese National Standard (CNS) A4 specification (210X297 mm) is applied. L,}L -29- 1257688 A7 _B7___ V. Invention description (2> (Please read the back note and fill out this page) In the mode, gas is injected into the inspection substrate 301 at a predetermined pressure, thereby maintaining a predetermined interval between the inspection substrate 30 1 and the array substrate 100. This interval can be appropriately determined by those skilled in the art. However, in the present embodiment mode The interval is set in the range of 10-200 // m. In order to inject the gas, the inspection substrate is provided with a plurality of holes 304. Figures 4A and 4B schematically show the relationship between the primary coil 302 and the external signal input terminal on the inspection substrate 301. And a relationship between the secondary coil 104a and the external signal input terminal 105 on the array substrate 100. In the antenna 303, electromagnetic waves generated for obtaining positional information such as circuits or circuit elements formed on the array substrate 100 are obtained. The resolution required for the intensity and frequency, preferably used to form the antenna 303 on the inspection substrate 30 1 as small as possible so that a smaller size can be formed The spacing used to form the antenna 303 can be appropriately determined by those skilled in the art to obtain an optimum resolution according to the pixel size. Further, by keeping the interval between the inspection substrate and the array substrate as much as possible (in this case) In the implementation mode, 100/zm or less, the resolution can be improved. The measurement results of the intensity and frequency of the electromagnetic wave are obtained by the antenna 303 and analyzed by the image processing device 306. Thus, for example, by color coding The intensity distribution of electromagnetic waves. According to the detection method disclosed in this embodiment mode, it is confirmed that the intensity and frequency of electromagnetic waves generated by circuits or circuit elements and the intensity and frequency of electromagnetic waves on a time period basis are simultaneously measured. The operation of the circuit or circuit component on the array substrate. Therefore, it can be used in a short period of time to apply the Chinese National Standard (CNS) A4 specification (210X297 mm) -30- 1257688 A7 B7 in a short period of time. V. Invention Description (23⁄4 recognition Whether the TFT substrate is suitable for the product. When the detection method in this embodiment mode is completed, the array substrate 100 is divided into single A unique TFT substrate 101. Thereafter, a light-emitting element can be formed on a TFT substrate determined to be suitable for a product to manufacture an EL display. The TFT substrate can be bonded to an opposite substrate with a liquid crystal sealed therebetween to manufacture a liquid crystal display. The above detection method is included, so that the light-emitting element can be formed not on the TFT substrate containing a large number of defective pixels or defective drive circuits. Therefore, the material for forming the light-emitting element is not wasted, which can reduce the manufacturing cost. The contact mode transmits the driving power source or the driving signal to the array substrate. Therefore, the ash is prevented from adhering to the array substrate during the detecting method (or preparation for the detecting method) to contaminate the array substrate. EXAMPLES Example 1 In this example, a light-emitting element manufactured according to the present invention will be explained. Here, a TFT (n-channel TFT and p-channel TFT) having a driving circuit of the present invention provided on the same substrate, a driver circuit provided around the pixel portion, and a transformer 2 will be described with reference to FIGS. 7A to 7D to 10A and 10B. An example of a method of a secondary coil, a rectifying circuit, and a pixel portion for detecting a waveform shaping circuit of a driving of a TFT substrate. First, in this example, a substrate 900 made of glass such as barium strontium borosilicate glass or aluminum borosilicate glass typified by #7059 glass and #1 737 glass manufactured by Corning Corporation is used. As the substrate 900, it can be applied to the Chinese National Standard (CNS) A4 specification (210X297 mm) w-31- with the paper size. (Please read the back note first and then fill in this page)

1257688 A7 B7 五、發明説明(3 明度的任何基底,並且可採用石英基底。此外,可採用具 有能承受本實施例的處理溫度的耐熱性的塑膠基底。 (請先閲讀背面之注意事項再填寫本頁) 然後,如圖7A所示,在基底900上形成由絕緣膜如 氧化矽膜、氮化矽膜或氮氧化矽膜製成的底絕緣膜901。 在本例中,底絕緣膜901具有雙層結構。但是,底絕緣膜 901可以具有單層結構或兩層或多層絕緣膜的多層結構。 作爲底絕緣膜901的下層,採用SiH4、NH3和N2〇作爲反 應氣體,利用電漿CVD形成厚度爲10-200nm (較佳50-100nm)的氮氧化矽膜901a。在本實施例中,形成厚度爲 5 0nm的氮氧化矽膜901a (成分比:Si = 32%,0 = 27%, N = 24%和H=17%)。然後,作爲底絕緣膜901的上層,採 用SiH*和N2〇作爲反應氣體,利用電漿CVD在其上形成 厚度爲50-200nm (較佳100- 1 50nm )的氮氧化矽膜901b。 在本例中,形成厚度爲lOOnm的氮氧化矽膜901b (成分 比:Si = 32%,0 = 59%,N = 7%和 H = 2% )。 然後,在底絕緣膜901上形成半導體層902-906。半 導體層906是藉由使整流電路中的TFT變形而用於形成 二極體。在本說明書中,包含通道形成區和其中添加高濃 度的η型雜質的要成爲後來的源區和汲區的區域的半導體 層被稱爲主動層。利用已知方法(濺射、LPCVD、電漿 CVD等),藉由形成具有非晶結構的半導體膜,並對半 導體膜進行已知的結晶(鐳射結晶、熱結晶、採用催化劑 如鎳的熱結晶),以便得到結晶半導體膜,並將該結晶半 導體膜構圖成所希望的形狀,由此得到半導體層902-906 矣紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) -32- 1257688 A7 _B7_ 五、發明説明(3J) (請先閲讀背面之注意事項再填寫本頁) 。半導體層902-906形成爲25-80nm (較佳30-60nm)的 厚度。對於結晶半導體膜的材料沒有特別的限制。較佳的 ’結晶半導體膜是由矽或矽鍺(SixGe^ ( Χ = 〇·〇〇〇1-〇·〇2 ))合金構成。在本例中,藉由電漿CVD形成厚度爲55nm 的非晶矽膜,然後將含有鎳的溶液保持在非晶矽膜上。該 非晶矽膜在500°C脫水一小時,在550°C熱結晶4小時, 並進行鐳射退火以提高結晶,由此形成結晶矽膜。藉由光 微顯影構圖該結晶矽膜以形成半導體層902-906。 形成半導體層902-906之後,爲了控制TFT的臨界値 ,用微量的雜質元素摻雜(硼或磷)半導體層902-906。 在利用鐳射結晶製造結晶半導體膜的情況下,可採用 脈衝振蕩型或連續發光型準分子雷射器、YAG雷射器或 YV〇4雷射器。在採用這些雷射器的情況下,採用這樣的 方法,以便從鐳射振蕩器發射的鐳射被光學系統聚集成線 形並照射半導體膜。結晶的條件可由本領域技術人員適當 選擇。但是,在採用準分子雷射器的情況下,脈衝振蕩頻 率設定爲300Hz,鐳射能量密度設定爲100-400mJ/cm2 ( 通常爲200-300 mJ/cm2)。在使用YAG雷射器的情況下, 採用二次諧波,脈衝振蕩頻率設定爲30-300kHz,鐳射能 量密度設定爲 300-600mJ/cm2 (通常爲 350-500 mJ/cm2)。 被聚集成寬度爲100- 1000 // m (例如400 /z m )的線形的鐳 射照射在基底的整個表面上,且此時線形鐳射的重疊率可 以爲 50-90%。 然後,形成覆羞半導體膜902-906的907。閘極絕緣 夺#張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -33- 1257688 A7 _ B7___ 五、發明説明(3) (請先閱讀背面之注意事項再填寫本頁) 膜907是藉由電漿CVD或濺射由厚度爲40- 1 50nm的含有 矽的絕緣膜製成的。在本實施例中,利用電漿CVD形成 厚度爲110nm的氮氧化矽膜(成分比:Si = 32%,0 = 59%, N = 7%,H = 2% )作爲閘極絕緣膜907。不用說,閘極絕緣 膜907不限於氮氧化矽膜,可具有含有矽的其他絕緣膜的 單層結構或多層結構。 在使用氧化矽膜的情況下,藉由電漿CVD,混合原 矽酸四乙酯(TEOS )和〇2並在40Pa的反應壓力、300°C -400 °C的基底溫度和0· 5-0.8 W/cm2的高頻(13.56MHz)功率 密度下進行放電,形成閘極絕緣膜907。如此形成的氧化 矽膜藉由在400-500°C的熱退火的後面步驟可呈現作爲閘 極絕緣膜的滿意特性。 在閘極絕緣膜907上形成厚度爲200-400nm (較佳爲 250-350nm)的用於形成閘極的耐熱導電層908。耐熱導 電層908可以具有單層結構,或者,如果需要,可具有多 個層(例如兩層或三層)的多層結構。耐熱導電層908含 有選自由Ta、Ti和W、構成的組的一種元素、含有該元 素的合金、或所述元素的組合的合金膜。這些耐熱導電層 是藉由濺射或CVD形成的。爲了降低電阻,較佳的,降 低含在耐熱導電層中的雜質的濃度。特別是,氧的濃度較 佳設定爲30ppm或以下。在本例中,形成厚度爲3〇〇nm 的W膜。可用W做靶、藉由濺射形成w膜,或者利用六 氟化鎢(WF6 )藉由熱CVD形成W膜。在任何情況下, 爲了用該膜做閘極,需要降低電阻。希望W膜的電阻設 夺為張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -34- 1257688 A7 _____B7___ 五、發明説明(3 (請先閱讀背面之注意事項再填寫本頁) 定爲20 // Ω cm或以下。可藉由放大晶粒來降低W膜的電 阻。然而,在大量雜質元素如氧含在W膜中的情況下, 阻止了結晶,並增加了電阻。因此,在濺射的情況下,使 用純度爲99.9999%的W靶,並且應該小心,以便在膜形 成期間雜質不混入氣相中以形成W膜,由此可實現9-20 // Ω c m的電阻。 另一方面,在使用Ta膜用於耐熱導電層908的情況 下,可藉由濺射同樣形成耐熱導電層908。Ta膜是用Ar 做濺射氣體形成的。如果給濺射氣體添加適量的Xe或Kr ,可減輕要形成的膜的內部應力,以便防止膜剝落。處於 α相的Ta膜的電阻約爲20 // Ω cm,因此這個Ta膜可用 於閘極。另一方面,處於/5相的Ta膜的電阻約爲180 // Ω cm,因此這個Ta膜不適合用於閘極。由於TaN膜具 有接近於α相的晶體結構,如果在Ta膜下面形成TaN膜 ,則很容易獲得α相的Ta膜。雖然圖中未示出,但在耐 熱導電層908下面形成厚度約爲2-20nm的用磷(P)摻雜 的矽膜是有效的。這能增強粘附性和防止在矽膜上要形成 的耐熱導電層908被氧化,同時防止在耐熱導電層908和 9〇9中存在的微量鹼金屬元素擴散到第一形狀閘極絕緣膜 907中。在任何情況下,耐熱導電層908較佳的具有在 10-50// Qcm範圍內的電阻。 此外,作爲形成閘極的另一例子,以用雜質元素如磷 摻雜的多晶矽膜爲代表的半導體膜可用做第一導電膜。而 且,可使用具有三層結構的閘極。三層結構的閘極可藉由 本攀張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -35- 1257688 A7 B7 五、發明説明(3各 如下組合形成:鎢(W )膜作爲第一導電膜、Cu膜作爲 第二導電膜和鈦(Ti )膜做爲第三導電膜的組合,鉬( TaN )膜作爲第一導電膜、鋁(A1)膜作爲第二導電膜和 鈦(Ti )膜作爲第三導電膜的組合,氮化鉬(TaN )膜作 爲第一導電膜、鋁(A1 )膜作爲第二導電膜和鈦(Ti )膜 作爲第三導電膜的組合,和氮化鉅(TaN)膜作爲第一導 電膜、Cu膜作爲第二導電膜和鈦(Ti )膜作爲第三導電 膜的組合。 在本實施例中,形成TaN膜作爲第一導電層(第一 導電膜)908,形成W膜作爲第二導電層(第二導電膜) 909 (圖 7A)。 然後,藉由光微顯影形成用於形成閘極的抗鈾劑掩模 910a和用於形成二次線圏的抗蝕劑掩模910b。進行第一 蝕刻方法。第一鈾刻方法是在第一和第二蝕刻條件下進 行的。 在本實施例中,用Cla、Ch和〇2作爲蝕刻氣體,且各 個氣體的流速爲25/25/10(seem),藉由在IPa壓力和傳送 的3.2W/cm2的RF(13.56MHz))功率下,産生電漿,由此在 ICP蝕刻裝置中進行第一蝕刻方法。進一步對基底側(樣 品階段)傳送224mW/cm2的RF(13.56MHz)功率,由此基 本上對其施加負自偏壓。在第一蝕刻條件下蝕刻W膜。 然後在不除去抗蝕劑掩模的情況下,用CF4和Cl2作爲蝕 刻氣體,且各個氣體的流速爲30/30(sccm),藉由在IPa壓 力和傳送的RF(13.56MHz))功率下,産生電漿,由此在 冬參張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁)1257688 A7 B7 V. INSTRUCTIONS (3) Any substrate of the brightness and a quartz substrate can be used. In addition, a plastic substrate having heat resistance capable of withstanding the processing temperature of the present embodiment can be used. (Please read the notes on the back and fill in the fields. On this, then, as shown in FIG. 7A, a bottom insulating film 901 made of an insulating film such as a hafnium oxide film, a hafnium nitride film or a hafnium oxynitride film is formed on the substrate 900. In this example, the bottom insulating film 901 is formed. There is a two-layer structure. However, the bottom insulating film 901 may have a single layer structure or a multilayer structure of two or more insulating films. As a lower layer of the bottom insulating film 901, SiH4, NH3, and N2 are used as reaction gases, and plasma CVD is used. A hafnium oxynitride film 901a having a thickness of 10 to 200 nm (preferably 50 to 100 nm) is formed. In the present embodiment, a hafnium oxynitride film 901a having a thickness of 50 nm is formed (comparity ratio: Si = 32%, 0 = 27%). , N = 24% and H = 17%. Then, as the upper layer of the bottom insulating film 901, SiH* and N2 are used as reaction gases, and a thickness of 50-200 nm (preferably 100-) is formed thereon by plasma CVD. 1 50 nm) yttrium oxynitride film 901b. In this example, thickness is formed 100 nm yttrium oxynitride film 901b (composition ratio: Si = 32%, 0 = 59%, N = 7%, and H = 2%) Then, a semiconductor layer 902-906 is formed on the underlying insulating film 901. The semiconductor layer 906 It is used to form a diode by deforming a TFT in a rectifier circuit. In the present specification, a semiconductor including a channel formation region and a region in which a high concentration of n-type impurities is to be added as a subsequent source region and germanium region is included. The layer is called an active layer. A known method (sputtering, LPCVD, plasma CVD, etc.) is used to form a semiconductor film having an amorphous structure, and the semiconductor film is subjected to known crystallization (laser crystallization, thermal crystallization, A thermal semiconductor of a catalyst such as nickel is used to obtain a crystalline semiconductor film, and the crystalline semiconductor film is patterned into a desired shape, thereby obtaining a semiconductor layer 902-906. The paper size is applicable to the Chinese National Standard (CNS) Α 4 specification (210X297). PCT) -32- 1257688 A7 _B7_ V. INSTRUCTIONS (3J) (Please read the note on the back and fill out this page.) The semiconductor layers 902-906 are formed to a thickness of 25-80 nm (preferably 30-60 nm). For the material of the crystalline semiconductor film There is no particular limitation. A preferred 'crystalline semiconductor film is composed of a tantalum or niobium (SixGe^(Χ = 〇·〇〇〇1-〇·〇2)) alloy. In this example, by plasma CVD An amorphous germanium film having a thickness of 55 nm is formed, and then a solution containing nickel is held on the amorphous germanium film. The amorphous germanium film is dehydrated at 500 ° C for one hour, thermally crystallized at 550 ° C for 4 hours, and subjected to laser annealing. The crystallization is increased, thereby forming a crystalline ruthenium film. The crystalline germanium film is patterned by photomicrodevelopment to form semiconductor layers 902-906. After the formation of the semiconductor layers 902-906, in order to control the critical enthalpy of the TFT, the (boron or phosphorous) semiconductor layers 902-906 are doped with a trace amount of impurity elements. In the case of producing a crystalline semiconductor film by laser crystallization, a pulse oscillation type or continuous light-emitting excimer laser, a YAG laser or a YV 〇 4 laser can be used. In the case of using these lasers, such a method is employed that the laser light emitted from the laser oscillator is integrated into a linear shape by the optical system and illuminates the semiconductor film. The conditions for crystallization can be appropriately selected by those skilled in the art. However, in the case of using a pseudo-molecular laser, the pulse oscillation frequency is set to 300 Hz, and the laser energy density is set to 100-400 mJ/cm2 (usually 200-300 mJ/cm2). In the case of a YAG laser, the second harmonic is used, the pulse oscillation frequency is set to 30-300 kHz, and the laser energy density is set to 300-600 mJ/cm2 (usually 350-500 mJ/cm2). A linear laser that is integrated into a width of 100 - 1000 // m (e.g., 400 / z m ) is irradiated onto the entire surface of the substrate, and at this time, the linear laser may have an overlap ratio of 50-90%. Then, 907 of the shoddy semiconductor film 902-906 is formed. Gate Insulation Capture #张Scale Applicable to China National Standard (CNS) A4 Specification (210X297 mm) -33- 1257688 A7 _ B7___ V. Invention Description (3) (Please read the back note before filling this page) Membrane 907 It is made of a film containing germanium having a thickness of 40 to 150 nm by plasma CVD or sputtering. In the present embodiment, a ruthenium oxynitride film having a thickness of 110 nm (component ratio: Si = 32%, 0 = 59%, N = 7%, H = 2%) was formed by plasma CVD as the gate insulating film 907. Needless to say, the gate insulating film 907 is not limited to the yttrium oxynitride film, and may have a single layer structure or a multilayer structure of another insulating film containing ruthenium. In the case of using a ruthenium oxide film, tetraethyl orthosilicate (TEOS) and ruthenium 2 are mixed by plasma CVD at a reaction pressure of 40 Pa, a substrate temperature of 300 ° C to 400 ° C, and 0·5. The discharge was performed at a high frequency (13.56 MHz) power density of 0.8 W/cm 2 to form a gate insulating film 907. The ruthenium oxide film thus formed can exhibit satisfactory characteristics as a gate insulating film by a subsequent step of thermal annealing at 400 to 500 °C. A heat-resistant conductive layer 908 for forming a gate electrode having a thickness of 200 to 400 nm (preferably 250 to 350 nm) is formed on the gate insulating film 907. The heat resistant conductive layer 908 may have a single layer structure or, if necessary, a multilayer structure having a plurality of layers (e.g., two or three layers). The heat-resistant conductive layer 908 contains an alloy film selected from the group consisting of Ta, Ti, and W, an alloy containing the element, or a combination of the elements. These heat resistant conductive layers are formed by sputtering or CVD. In order to lower the electric resistance, it is preferable to lower the concentration of impurities contained in the heat-resistant conductive layer. In particular, the concentration of oxygen is preferably set to 30 ppm or less. In this example, a W film having a thickness of 3 〇〇 nm was formed. W can be used as a target, a w film can be formed by sputtering, or a W film can be formed by thermal CVD using tungsten hexafluoride (WF6). In any case, in order to use the film as a gate, it is necessary to lower the resistance. It is hoped that the resistance of the W film is set to the Chinese standard (CNS) A4 specification (210X297 mm) -34- 1257688 A7 _____B7___ V. Invention description (3 (Please read the note on the back and fill out this page) It is 20 // Ω cm or less. The resistance of the W film can be lowered by amplifying the crystal grains. However, in the case where a large amount of impurity elements such as oxygen are contained in the W film, crystallization is prevented and electrical resistance is increased. In the case of sputtering, a W target having a purity of 99.9999% is used, and care should be taken so that impurities do not mix into the gas phase during film formation to form a W film, whereby a resistance of 9-20 // Ω cm can be achieved. On the other hand, in the case where a Ta film is used for the heat-resistant conductive layer 908, the heat-resistant conductive layer 908 can be formed by sputtering. The Ta film is formed by using Ar as a sputtering gas. If an appropriate amount is added to the sputtering gas Xe or Kr can reduce the internal stress of the film to be formed in order to prevent the film from peeling off. The resistance of the Ta film in the α phase is about 20 // Ω cm, so this Ta film can be used for the gate. On the other hand, at / The resistance of the 5-phase Ta film is about 180 // Ω cm, because This Ta film is not suitable for the gate. Since the TaN film has a crystal structure close to the α phase, if a TaN film is formed under the Ta film, the α film of the α phase is easily obtained. Although not shown in the drawing, it is heat resistant. It is effective to form a phosphorium (P) doped germanium film having a thickness of about 2 to 20 nm under the conductive layer 908. This can enhance adhesion and prevent the heat resistant conductive layer 908 to be formed on the tantalum film from being oxidized while preventing The trace amount of alkali metal elements present in the heat-resistant conductive layers 908 and 9〇9 diffuse into the first-type gate insulating film 907. In any case, the heat-resistant conductive layer 908 preferably has a range of 10-50//Qcm. Further, as another example of forming a gate electrode, a semiconductor film typified by a polycrystalline germanium film doped with an impurity element such as phosphorus can be used as the first conductive film. Further, a gate having a three-layer structure can be used. The gate structure of the layer structure can be applied to the Chinese National Standard (CNS) A4 specification (210X297 mm) by the climbing standard. -35- 1257688 A7 B7 V. Invention Description (3 are formed by the following combinations: tungsten (W) film as the first Conductive film, Cu film as a second guide a combination of a film and a titanium (Ti) film as a third conductive film, a combination of a molybdenum (TaN) film as a first conductive film, an aluminum (A1) film as a second conductive film, and a titanium (Ti) film as a third conductive film a molybdenum nitride (TaN) film as a first conductive film, an aluminum (A1) film as a second conductive film and a titanium (Ti) film as a combination of a third conductive film, and a nitrided (TaN) film as a first conductive film The film, the Cu film is used as a combination of the second conductive film and the titanium (Ti) film as the third conductive film. In the present embodiment, a TaN film is formed as a first conductive layer (first conductive film) 908, and a W film is formed as a second conductive layer (second conductive film) 909 (Fig. 7A). Then, an anti-uranium agent mask 910a for forming a gate and a resist mask 910b for forming a secondary line are formed by photomicrodevelopment. The first etching method is performed. The first uranium engraving method is performed under the first and second etching conditions. In the present embodiment, Cla, Ch, and 〇2 are used as etching gases, and the flow rate of each gas is 25/25/10 (seem), by IP at a pressure of IP and a 3.2 W/cm2 of RF (13.56 MHz). At the power, a plasma is generated, whereby the first etching method is performed in the ICP etching apparatus. Further, RF (13.56 MHz) power of 224 mW/cm 2 was transmitted to the substrate side (sample stage), whereby a negative self-bias was applied thereto. The W film is etched under the first etching conditions. Then, CF4 and Cl2 were used as etching gases without removing the resist mask, and the flow rate of each gas was 30/30 (sccm), by IPa pressure and transmitted RF (13.56 MHz) power. , to produce plasma, thus applying the Chinese National Standard (CNS) A4 specification (210X297 mm) on the winter ginseng scale (please read the notes on the back and fill out this page)

-36- 1257688 A7 _______B7 五、發明説明(d 第二蝕刻條件下進行蝕刻。進一步對基底側(樣品階段) 傳送20W的RF( 13.56MHz)功率,由此基本上對其施加負 自偏壓。 由於第一蝕刻方法,形成具有第一錐形的導電層 911-915。導電層911-915形成以獲得之錐角爲15°·3(Γ。 爲了在不留殘餘物的情況下進行蝕刻,進行蝕刻時間增力口 約10-20%的過蝕刻。由於氮氧化矽膜(閘極絕緣膜907 ) 相對於W膜的選擇率爲2-4 (通常爲3 ),因此氮氧化矽 膜的露出表面藉由過蝕刻被蝕刻約20-5Onm。 藉由第一摻雜方法對半導體層902-906添加具有一種 導電性的雜質元素。這裏,在不除去抗蝕劑掩模910a的 情況下添加η型雜質元素。用具有第一錐形的導電膜 911-915做掩模,以自對準方式給半導體層902-906的一 部分添加雜質,由此形成第一 η型雜質區916-920。作爲 η型雜質元素,採用屬於15族(通常爲磷(Ρ)或砷(As ))的元素。這裏,藉由離子摻雜,用磷(P)以lxl〇2Q-1M021原子/cm3的濃度範圍對第一 n型雜質區916-920添 加η型雜質元素(圖7Β)。-36- 1257688 A7 _______B7 V. DESCRIPTION OF THE INVENTION (d etching is performed under the second etching condition. Further, 20 W of RF (13.56 MHz) power is transmitted to the substrate side (sample stage), whereby a negative self-bias is substantially applied thereto. Due to the first etching method, the conductive layers 911-915 having the first taper are formed. The conductive layers 911-915 are formed to obtain a taper angle of 15°·3 (Γ. In order to perform etching without leaving a residue, Performing an overetch of about 10-20% of the etching time boosting port. Since the selectivity of the yttrium oxide film (gate insulating film 907) to the W film is 2-4 (usually 3), the yttrium oxide film is The exposed surface is etched by over-etching by about 20-5 Onm. An impurity element having one conductivity is added to the semiconductor layers 902-906 by the first doping method. Here, the resist mask 910a is added without removing the resist mask 910a. An n-type impurity element. Using a conductive film 911-915 having a first taper as a mask, impurities are added to a portion of the semiconductor layers 902-906 in a self-aligned manner, thereby forming first n-type impurity regions 916-920. As an n-type impurity element, it belongs to group 15 (usually phosphorus) An element of yttrium or arsenic (As). Here, by ion doping, an n-type impurity is added to the first n-type impurity region 916-920 at a concentration range of lxl 〇 2Q-1 M021 atoms/cm 3 with phosphorus (P). Element (Figure 7Β).

然後,在不除去抗蝕劑掩模的情況下進行第二蝕刻方 法。第二蝕刻方法是在第三和第四蝕刻條件下進行的。用 CF4和Cl2作爲鈾刻氣體,且各個氣體的流速爲30/30(sccm) ,藉由在IPa壓力和傳送的RF(13.56MHz))功率下産生電 漿,由此利用與第一鈾刻方法相同的方法在ICP蝕刻裝置 中進行第二蝕刻方法。對基底側(樣品階段)傳送20W 冬紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) —-------0装— (請先閱讀背面之注意事項再填寫本頁) 訂 -37- 1257688 A7 B7 五、發明説明(娃 的RF( 13.56MHz)功率,由此基本上對其施加負自偏壓。 在第三蝕刻條件下,形成導電膜92 1 -925,其中W膜和 TaN膜被蝕刻到相同程度(圖7C)。 然後,在不除去抗蝕劑掩模的情況下,用CF4、Ch 和〇2作蝕刻氣體,藉由在IPa壓力和傳送的 RF(13.56MHz))功率下産生電漿,由此在第四蝕刻條件下 進行蝕刻。對基底側(樣品階段)傳送20W的 RF( 13.56MHz)功率,由此基本上對其施加負自偏壓。W膜 是在第四蝕刻條件下被蝕刻的,由此形成第二成形導電膜 926-930 (圖 7D )。 在本實施例中,在完成用於形成閘極的所有蝕刻方法 之後,藉由用螺旋形掩模蝕刻,可形成二次線圈。因此, 在蝕刻期間要形成閘極的區域用掩模覆蓋。此外,螺旋形 掩模形成得使二次線圈具有1mm的外徑和〇.5mm的內徑 。然而,二次線圈的形狀不限於圓螺旋形狀,可以由本領 域技術人員適當決定。此外,形成在每個TFT基底上的 二次線圈的數量可以和TFT基底的驅動電壓一起由本領 域技術人員適當決定。另外,形成二次線圈的方法不限於 本實施例,可以由本領域技術人員決定。 然後,進行第二摻雜方法(藉由第二形狀第一導電膜 926a-93 0a對半導體層添加η型雜質元素),由此在接觸 第一 η型雜質區916-920的通道形成區的一側形成第二η 型雜質區933-937。第二η型雜質區中的雜質的濃度設定 爲1Μ016-1Μ019原子/cm3。在第二摻雜方法中,採用如下 本紙張尺度適用中國國家標準(CNS ) A4規格(210X29*7公釐) (請先閱讀背面之注意事項再填寫本頁) 訂 -38- 1257688 A7 ______ B7_ 五、發明説明(^ (請先閲讀背面之注意事項再填寫本頁) 條件:其中甚至藉由作爲第一層的第二形狀導電膜9 26a-930a的錐部對半導體層添加n型雜質元素。在本說明書 中,與作爲第一層的第二形狀導電膜926a-930a重疊的第 二η型雜質區被稱爲Lu ( ον的意思是“重疊”)區,並 且不與作爲第一層的第二形狀導電膜926a-930a重疊的第 二η型雜質區將稱爲Lem ( off的意思是“偏移”)區( 圖 8 A ) 〇 然後,如圖8B所示,在要成爲在後面完成的p通道 TFT的主動層(包含通道形成區和要成爲其中添加高濃度 雜質的源/汲區的區域的半導體層)的半導體層902、905 和906中形成具有與上述導電性相反的導電性的雜質區 939 ( 939a ' 939b ) 、940 ( 940a、940b)和 941 ( 941a、 941b )。用第二形狀導電層926、929和930做掩模,添 加P型雜質元素,由此用自對準方式形成雜質區。此時, 用抗蝕劑掩模938a和938b覆蓋要成爲在後面完成的η通 道TFT的主動層的半導體層903和904的整個表面。藉由 離子摻雜,採用乙硼烷(B2H6),形成p型雜質區939、 940和941,p型雜質區939、940和941的p型雜質元素 的濃度設定爲2M02()-2xl021原子/cm3。 具體而言,精確地對P型雜質區930、940和941添 加η型雜質元素。然而,P型雜質區939、940和941中的 ρ型雜質元素的濃度是η型雜質元素濃度的1·5-3倍。因 此,對於Ρ型雜質區939、940和941用做ρ通道TFT的 源和汲區沒有問題。 [^尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -39- 1257688 A7 ___B7_ 五、發明説明(3} 隨後,如圖8C所示,在第二形狀導電層926-930和 閘極絕緣膜上形成第一中間層絕緣膜942。第一中間層絕 緣膜942可以由氮化矽膜、氧化矽膜、氮氧化矽膜或其組 合的層疊膜構成。在任何情況下,第一中間層絕緣膜942 由無機絕緣材料構成。第一中間層絕緣膜9 4 2的厚度設定 爲100-200nm。在用氧化矽膜作爲第一中間層絕緣膜942 的情況下,混合TEOS和〇2並在反應壓力爲40Pa、基底 溫度爲300-400°(:和高頻(13.561^1^)功率密度爲0.5-0.8W/cm2下進行放電,由此藉由電漿CVD形成第一中間 層絕緣膜942。在用氮氧化矽膜作爲第一中間層絕緣膜 942的情況下,由SiH4、N2〇和NH3製成的氮氧化矽膜或 由SiH#和N2〇製成的氮氧化矽膜可藉由電漿CVD形成。 這種情況下的膜形成條件如下:反應壓力爲20-200Pa,基 底溫度爲300-400°C,高頻(60MHz)功率密度爲0.1-l.OW/cm2。作爲第一中間層絕緣膜942,可採用由SiHU、 N2〇和H2製成的氧化、氮化和氫化的矽膜。氮化矽膜還 可以藉由電漿CVD由SiH4和NH3形成。 以各個濃度添加的η型或p型雜質元素被啓動。這個 方法是藉由採用退火爐的熱退火進行的。此外,可採用鍾 射退火或快速熱退火(RTA )。在氧濃度爲lppm或更少 (通常爲O.lppm或更低)的氮氣氛中在400-70(TC (通常 爲500-600°C)下進行熱退火。在本實施例中,在550eC下 進行熱處理4小時。此外,在採用具有低耐熱溫度的塑膠 基底作爲基底900的情況下,較佳採用鐳射退火。 本抵張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) " _ -40- (請先閲讀背面之注意事項再填寫本頁) 衣 訂 1257688 A7 ___B7 _ _ 五、發明説明(3¾ (請先閱讀背面之注意事項再填寫本頁) 在上述熱處理期間,在使半導體層結晶的方法中使用 的催化元素(鎳)移動(吸氣)到以高濃度添加屬於週期 表的15族並具有吸氣功能的元素(本實施模式中爲磷) 的第一 η型雜質區中,由此可以降低通道形成區中的催化 元素的濃度。 啓動方法之後,改變氣氛氣體,並在含有3-100%氫 的氣氛中在300-450°C下進行熱處理12小時,由此使半 導體層氫化。在這個方法期間,半導體層中的1016-1018/cm3的懸垂鍵以熱激發氫終結。作爲氫化的另一中方 式,可進行電漿氫化(採用由電漿激發的氫)。在任何情 況下,希望半導體層中的缺陷密度設定爲1016/cm3或更低 。爲此,可以添加約爲〇·〇1-〇.1原子%量的氫。 形成由有機絕緣材料製成的平均厚度爲1.0-2.Ομιη第 二中間層絕緣膜943。作爲有機樹脂材料,可採用聚醯亞 胺、丙烯酸樹脂、醯胺、聚醯亞胺-醯胺、BCB (苯並環 丁烯)等。例如,在採用熱聚合的聚醯亞胺的情況下,在 施加於基底之後,藉由在潔淨爐中,在300 °C下燒結形成 第二中間層絕緣膜943。在採用丙烯酸樹脂的情況下,採 用2-液體型。在這種情況下,主材料與固化劑混合,之 後利用旋塗器將混合物塗敷基底的整個表面。然後,在熱 板上,在80°C暫時加熱所得到的基底60秒,隨後在潔淨 爐中,在250eC燒結60分鐘。 如上所述,藉由形成有機絕緣材料的第二中間層絕緣 膜943,使表面滿意地平面化。此外,有機樹脂材料一般 冬與張尺度適用中國國家標準(CNS ) A4規格(21〇Χ297公釐) -41 - 1257688 A7 _____B7 五、發明説明(3¾ (請先閲讀背面之注意事項再填寫本頁) 具有低介電常數,因此可降低寄生電容。然而,有機樹脂 材料由於其吸溼特性而不適合用做保護膜。因此,有機絕 緣材料可與作爲第一中間層絕緣膜942形成的氧化矽膜、 氮氧化矽膜或氮化矽膜組合,與本實施例一樣。此外,在 本實施例中,第二中間層絕緣膜943由有機絕緣材料製成 °然而,還可以由無機絕緣材料形成膜,其表面藉由 CMP等整平,由此獲得的膜用做第二中間層絕緣膜943。 注意,由有機絕緣材料製成的第二中間層絕緣膜943 在某些情況下會産生濕氣和氣體。已知發光元件可能由於 濕氣和氣體(氧)而退化。由於由發光元件産生的熱,在 實際採用使用有機樹脂絕緣膜於中間層絕緣膜得到的裝置 時,因爲從有機樹脂絕緣膜産生濕氣和氣體,發光元件可 能會由於濕氣和氣體而退化。爲了避免這種現象,在由有 機絕緣材料形成的第二中間層絕緣膜943上形成絕緣膜 944。絕緣膜944由氧化矽膜、氮氧化矽膜、氮化矽膜等 製成。絕緣膜944可藉由濺射或電漿CVD形成。絕緣膜 944還可在形成接觸孔之後形成。 而後,形成有預定圖形的抗蝕劑掩模,並形成接觸孔 以便到達要成爲形成在每個半導體層中的源區或汲區的雜 質區。接觸孔是藉由乾蝕刻形成的。 然後,藉由濺射或真空氣相澱積形成導電金屬膜,並 用掩模構圖,然後蝕刻,由此形成接線945-952。雖然圖 中未示出,在本實施例中,每個接線945-952由Ti膜( 厚度爲50nm )和合金(A1和Ti )膜(厚度爲500nm )的 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ⑶ -42 - 1257688 A7 ___ B7 __ 五、發明説明(4¾ 層疊膜構成。 (請先閲讀背面之注意事項再填寫本頁) 在接線上形成厚度爲80- 1 20nm的透明導電膜,並鈾 刻以形成圖素電極(陽極)953(圖9A)。在本實施例中 ,作爲透明導電膜,採用其中氧化鋅(ZnO )與2-20%氧 化銦混合的氧化銦錫(ITO )膜或透明導電膜。 此外,陽極95 3與汲極接線950疊加以便與之接觸, 由此電連接到電流控制TFT的汲區。這裏,陽極95 3可 以在1 80-350°C下熱處理。 接下來,如圖9B所示,在陽極953上形成有機絕緣 膜 954。 此時,爲了防止其上含有TFT的陣列基底被損傷或 被空氣中的灰塵污染,在有機絕緣膜954上形成具有抗靜 電功能的超薄膜(以下稱爲“抗靜電膜” )955。抗靜電 膜955由可用水淸洗除去的已知材料製成(圖9C )。在 本例中,使用staticide (由ACL生産)形成抗靜電膜955 〇 然後,進行檢測以便決定由此生産的陣列基底上的 TFT的品質(TFT基底對於産品的適合性)。發光元件的 材料是昂貴的。因此,就製造成本方面,不希望在不能作 爲座品傳送的TFT基底上形成發光元件。爲了識別不能 正常被驅動或傳輸訊號的TFT基底,結合了檢測方法。 可採用在上述實施模式1或2中所述的檢測方法。 在TFT基底傳送到用於形成發光元件的處理室(潔 淨空間)之後,用水淸洗除去抗靜電膜955。然後,蝕刻 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) … -43- 1257688 A7 _B7___ 五、發明説明( (請先閲讀背面之注意事項再填寫本頁) 有機絕緣膜954以形成在對應圖素(發光元件)的位置有 開口的築堤956。在本例中,築堤956是由抗蝕劑形成的 。在本例中,築堤956的厚度設定爲約Mm,並且覆蓋接 線與陽極接觸的部分的築堤956的區域是錐形的(圖1〇Α )° 在本例中,雖然築堤956由抗鈾劑膜構成,但是在某 些情況下,也可採用聚醯亞胺、醯胺、丙烯酸樹脂、BCB (苯並環丁烯)或氧化矽膜。築堤95 6可由有機物質或無 機物質形成,只要是絕緣物質即可。在用光敏丙烯酸樹脂 形成築堤956的情況下,較佳蝕刻光敏丙烯酸樹脂,並在 1 80-350°C下熱處理。在用非光敏丙烯酸膜形成築堤956 的情況下,較佳的在1 80-350°C下熱處理,並蝕刻形成築 堤。 接著,對陽極表面進行擦洗處理。在本例中,用 Bellclean 961 (由〇dzu Sangyo生産)淸洗陽極953的表 面,由此整平陽極95 3的表面並除去附著於其上的灰塵。 作爲用於擦洗的淸洗劑,採用純水。Bellclean所圍繞的 軸的旋轉數量設定爲100-300rpm,並且推進値設定爲0.1-1.0mm (圖 1 0A ) 〇 然後,形成覆蓋築堤956和陽極953的絕緣膜957。 作爲絕緣膜957,藉由旋塗、氣相澱積或濺射形成厚度爲 l-5nm的由聚醯亞胺、醯胺、或聚醯亞胺-醯胺製成的有 機樹脂膜。如此形成的絕緣膜957可覆蓋陽極95 3表面上 的裂痕等,由此防止發光元件退化。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) _44· 1257688 A7 B7 五、發明説明(遶 (請先閱讀背面之注意事項再填寫本頁) 此後,藉由已知方法將陣列基底分爲多個TFT基底 。此時,較佳的,形成在要成爲産品的TFT基底區域外 部並用在檢測方法中的變壓器的二次線圏、整流電路和波 形整形電路電氣和物理分離。在本例中,變壓器的二次線 圈、整流電路和波形整形電路形成在要成爲産品的TFT 基底的區域的外部。然而,形成這些元件的位置可以由本 領域技術人員適當決定,不限於本實施例。 之後,藉由氣相澱積在能用做産品的TFT基底的絕 緣膜957上形成有機化合物層958和陰極959。在本例中 ,作爲發光元件的陰極,採用MgAg電極;然而,也可採 用其他已知材料。藉由堆疊不僅包含發光層而且包含電洞 注入層、電洞傳送層、電子傳送層和電子注入層的多個層 的組合,形成有機化合物層95 8。將在下面詳細說明在本 例中使用的有機化合物層95 8的構造。 在本例中,藉由氣相澱積,由銅 菁形成電洞注入層 ,由a -NPD形成電洞傳送層。 然後,形成發光層。在本例中,採用不同材料用於發 光層形成呈現不同光發射的有機化合物層。在本例中,形 成呈現發射紅、綠和藍光的有機化合物層。由於在任何情 況下採用氣相澱積用於膜形成,因此藉由採用根據圖素變 化的材料形成發光層,並且在形成膜時採用金屬掩模。 採用以DCM摻雜的A1Q3,形成發射紅光的發光層。 此外,可採用用Eu絡合物(1,10-菲咯啉)三(1,3-二苯基 丙烷-1,3·二酸)銪(III)(Eu(DBM)3(Phen)摻雜的(N,N、 条縛張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 么v f -45- 1257688 A7 ______B7 五、發明説明(d disalicylidene-l,6 己烷二氨化)鋅(n)(Zn(salhn))等。也可 採用其他已知材料。 (請先閲讀背面之注意事項再填寫本頁) 發綠光的發光層可藉由CBP和Ir(PPy)3的共氣相澱 積形成。在這種情況下,較佳採用BCP堆疊電洞阻擋層 。此外,可採用鋁啉醇化(alumiquinolato )絡合物( Alq3)或苯並 琳(benzoquinolinate)鈹絡合物(BeBq) 。而且’可採用用如香豆素6或 卩丫 B定酮(Quinacridone )的材料作爲摻雜劑的 啉醇化(quinolinolato)鋁絡合 物(Alq3 ),也可採用其他已知材料。 此外,作爲發藍光的發光層,可採用作爲聯苯乙烯衍 生物的DPVBi、作爲具有偶氮甲城化合物作爲配位體的 (N,N’-disalicylidene-l,6 己院二氨化(hexanediaminate)) 鋅(II)(Zn(salhn))和用菲(perylene)摻雜的 4,4’-雙(2,2-二苯- 乙烯基)·聯苯(DPVBi)。然而,也可以採用其他已知材料 〇 接著,形成電子傳送層。作爲電子傳送層,可採用如 1,3,4-噁二唑衍生物、1,2,4-三唑衍生物(TAZ)等。在本例 中,電子傳送層是藉由氣相澱積由1,2,4-三唑衍生物(TAZ) 形成的且厚度爲30-60nm。 如上所述,形成具有層疊結構的有機化合物層。在本 例中,有機化合物層958的厚度設定爲l〇-400nm (通常 爲60-150nm),陰極959的厚度設定爲80-200nm (通常 爲 100-150nm) 〇 在形成有機化合物層之後,藉由氣相激積形成發光元 名熟張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -46- 1257688 A7 B7 五、發明説明(4 (請先閲讀背面之注意事項再填寫本頁) 件。在本例中,作爲要成爲發光元件的陰極的導電膜,採 用MgAg。然而,也可以採用Al-Li合金膜(鋁和鋰的合 金膜)或藉由屬於週期表1或2族的元素和鋁的共氣相澱 積形成的膜作爲導電膜。 這樣,完成了具有如圖10B所示的構造的發光裝置 。其中陽極953、有機化合物層95 8和陰極959互相堆疊 在頂部的部分960被稱爲發光元件。 P通道TFT1000和η通道TFT 1001是驅動電路102 的TFT,它們構成CMOS。開關TFT 1002和電流控制TFT 1003是圖素部分103的TFT,驅動電路1〇2的TFT和圖素 部分103的TFT可形成在同一基底上。 在採用發光元件的發光裝置的情況下,驅動電路的電 源電壓約爲5V-6V (最大値約10V)足夠了,因此TFT不 可能因熱電子而退化。 在本例中,已經說明了形成在發光裝置的TFT基底 (TFT元件基底)上的檢測方法使用的變壓器的二次線圈 的例子。本實施例不限於發光裝置,可以形成用於將本發 明應用於由半導體元件構成的半導體裝置如液晶顯示裝置 的元件基底上的變壓器的二次線圈。 實施例2 在實施例2中,進行與實施例1相同的方法,以便形 成第二中間層絕緣膜943,並代替在實施例丨中形成絕緣 膜9 4 4,對第二中間層絕緣膜9 4 3進行電漿處理以修整第 条热張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) : " -47 - 1257688 A7 B7 五、發明説明(扃 二中間層絕緣膜943的表面。在下面參照圖11 -1 3說明這 種方法。 (請先閲讀背面之注意事項再填寫本頁) 例如,在選自由氫、氮、碳氫化合物、鹵化碳、氟化 氫和稀有氣體(Ar、He、Ne等)構成的組的一種或多種 氣體中對第二中間層絕緣膜943進行電漿處理’由此在第 二中間層絕緣膜9 4 3的表面上形成新的塗敷膜’並且改變 在該表面上的功能基的種類。這樣’可以修整第二中間層 絕緣膜943的表面。在第二中間層絕緣膜943的表面上’ 形成緻密膜943B,如圖11所示。在本說明書中,膜943B 稱爲固化膜943B。因此’可防止有機樹脂膜釋放氣體和 濕氣。 此外,在本例中,在修整第二中間層絕緣膜943的表 面之後形成陽極(ITO ),防止熱處理在具有不同熱膨脹 係數的材料互相直接接觸的條件下進行。因此,可防止 IT〇的裂痕等,這防止了發光元件退化。第二中間層絕緣 膜943可以在形成接觸孔之前或之後進行電漿處理。 藉由在選自由氫、氮、碳氫化合物、鹵化碳、氟化氫 和稀有氣體(Ar、He、Ne等)構成的組的一種或多種氣 體中對由有機絕緣材料製成的中間層絕緣膜943的表面進 行電漿處理,形成固化膜943B。因此,固化膜943B含有 氫、氮、碳氫化合物、鹵化碳、氟化氫或稀有氣體(Ar、 He、Ne等)的氣體元素。 此外,作爲另一個例子,如圖12所示,用與實施例 1相同的方式進行方法,形成第二中間層絕緣膜943,然 条拜張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -48- 1257688 A7 B7 五、發明説明(扁 後在第二中間層絕緣膜943上形成金剛石類碳(DCL )膜 943C作爲絕緣膜944。 (請先閲讀背面之注意事項再填寫本頁) DLC膜具有在約1 550cm"的不對稱峰値,和在約 1 300 cnT1的具有肩部的Raman光譜分佈。此外,當用顯 微硬度計測量時DLC膜呈現15-25Gpa的硬度並且在耐化 學性上優異。而且,DLC膜可以藉由CVD或濺射在室溫 到100°C的溫度範圍內形成。作爲膜形成方法,可採用濺 射、ECR電漿CVD、高頻電漿CVD、或離子束氣相澱積 ,厚度可以爲約5-50nm。 作爲又一例子,如圖13所示,接下來是:用與實施 例1相同的方式進行方法,以便形成第二中間層絕緣膜 943 ;藉由電漿處理以形成固化膜943B,修整第二中間層 絕緣膜943的表面;之後,在固化膜943B上形成DLC膜 943C。DLC膜943C可藉由濺射3、ECR電漿CVD、高頻 電漿CVD或離子束氣相澱積形成爲具有約5-50nm的厚度 實施例3 在實施例3中,用與實施例1相同的方式進行方法, 形成築堤956,並對築堤956的表面進行電漿處理,由此 修整築堤956的表面。這將參照圖14說明。 築堤956是由有機樹脂絕緣膜形成的,有産生濕氣和 氣體的問題。築堤95 6由於在實際使用發光裝置時産生的 熱而可能産生濕氣和氣體。 杳冷張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -49- 1257688 A7 B7 五、發明説明(4> 爲了克服這個問題,在熱處理之後,進行電漿處理以 便修整築堤9 5 6的表面,如圖14所示。在選自由氫、氮 、鹵化碳、氟化氫和稀有氣體構成的組的其中或多種氣體 中進行電漿處理。 由於上述原因,築堤956的表面製成緻密,並且形成 含有選自氫、氮、鹵化碳、氟化氫和稀有氣體的一種或多 種氣體元素的固化膜956b,防止從內部産生濕氣和氣體 (氧),由此防止發光元件退化。 本實施例可以與實施例1 -4的任一個組合。 實施例4 本發明適用於任何形狀之TFT。在本實施例中,其中 形成底閘型TFT的發光裝置的製造方法將參照圖15A-15C 和16A-16C說明。 在陣列基底50上由選自氧化矽膜、氮化矽膜和氮氧 化矽膜的材料形成底絕緣膜51。形成由選自Ta、Ti、W 、Mo、Ci:、和A1的元素製成或主要含有任一所述元素的 導電膜,並構圖成所希望的形狀,以獲得閘極52。然後 ,形成閘極絕緣膜53,該膜具有氧化矽膜、氮化矽膜或 氮氧化矽膜的單層結構或任何這些膜的多層結構。然後, 藉由已知方法形成厚度爲10-1 50nm的非晶矽膜,作爲非 晶半導體膜。閘極絕緣膜53和非晶矽膜可利用相同膜形 成方法形成,因此它們可連續形成。藉由連續形成這些膜 ,可以在不暴露於氣氛氣體的情況下形成,由此防止其表 条舞張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁)Then, the second etching method is performed without removing the resist mask. The second etching method is performed under the third and fourth etching conditions. CF4 and Cl2 are used as uranium engraving gas, and the flow rate of each gas is 30/30 (sccm), and plasma is generated by IPa pressure and transmitted RF (13.56 MHz) power, thereby utilizing the first uranium engraving The same method is used to perform the second etching method in the ICP etching apparatus. For the base side (sample stage), the 20W winter paper scale is applicable to the Chinese National Standard (CNS) A4 specification (210X297 mm) —-------0. — (Please read the back note and fill out this page)团-37- 1257688 A7 B7 V. INSTRUCTION DESCRIPTION (RF ( 13.56 MHz) power of the silicon, whereby a negative self-bias is applied thereto substantially. Under the third etching condition, a conductive film 92 1 -925 is formed, wherein W The film and the TaN film were etched to the same extent (Fig. 7C). Then, CF4, Ch and 〇2 were used as etching gases without removing the resist mask, by IPa pressure and transmitted RF (13.56). The plasma is generated at a power of MHz), whereby etching is performed under the fourth etching condition. A 20 W RF (13.56 MHz) power was delivered to the substrate side (sample stage), thereby essentially applying a negative self-bias to it. The W film is etched under the fourth etching condition, thereby forming the second shaped conductive film 926-930 (Fig. 7D). In the present embodiment, after all the etching methods for forming the gate are completed, the secondary coil can be formed by etching with a spiral mask. Therefore, the region where the gate is to be formed during the etching is covered with a mask. Further, the spiral mask was formed so that the secondary coil had an outer diameter of 1 mm and an inner diameter of 〇5 mm. However, the shape of the secondary coil is not limited to the circular spiral shape, and can be appropriately determined by those skilled in the art. Further, the number of secondary coils formed on each TFT substrate can be appropriately determined by those skilled in the art together with the driving voltage of the TFT substrate. Further, the method of forming the secondary coil is not limited to the embodiment, and can be determined by those skilled in the art. Then, a second doping method (adding an n-type impurity element to the semiconductor layer by the second shape first conductive film 926a-93 0a) is performed, thereby contacting the channel formation region of the first n-type impurity region 916-920 A second n-type impurity region 933-937 is formed on one side. The concentration of the impurity in the second n-type impurity region was set to 1 Μ 016-1 Μ 019 atoms/cm 3 . In the second doping method, the following paper scale is applied to the Chinese National Standard (CNS) A4 specification (210X29*7 mm) (please read the notes on the back and fill out this page). Order -38- 1257688 A7 ______ B7_ V. INSTRUCTIONS (^ (Please read the precautions on the back side and fill in the page again) Condition: In which the n-type impurity element is added to the semiconductor layer even by the tapered portion of the second-shaped conductive film 9 26a-930a as the first layer In the present specification, the second n-type impurity region overlapping with the second shape conductive film 926a-930a as the first layer is referred to as Lu ("v" means "overlap") region, and does not serve as the first layer. The second n-type impurity region in which the second shape conductive films 926a-930a overlap will be referred to as a Lem (off means "offset") region (Fig. 8A). Then, as shown in Fig. 8B, Formed in the semiconductor layers 902, 905, and 906 of the active layer of the subsequently completed p-channel TFT (the semiconductor layer including the channel formation region and the region to be the source/germanium region to which the high concentration impurity is added) is opposite to the above conductivity Conductive impurity region 939 ( 939a ' 939b), 940 (940a, 940b) and 941 (941a, 941b). The second shape conductive layers 926, 929 and 930 are used as a mask, and a P-type impurity element is added, thereby forming an impurity region in a self-aligned manner. At this time, the entire surfaces of the semiconductor layers 903 and 904 to be the active layers of the n-channel TFTs to be completed later are covered with resist masks 938a and 938b. By ion doping, diborane (B2H6) is used to form p. The impurity regions 939, 940 and 941, the concentration of the p-type impurity elements of the p-type impurity regions 939, 940 and 941 are set to 2M02 () - 2 x 10 2 atoms / cm 3 . Specifically, the P-type impurity regions 930 and 940 are precisely An n-type impurity element is added to 941. However, the concentration of the p-type impurity element in the P-type impurity regions 939, 940, and 941 is 1. 5 - 3 times the concentration of the n-type impurity element. Therefore, for the Ρ-type impurity region 939, 940 and 941 are used as the source and the 汲 region of the ρ-channel TFT. [^ The scale applies to the Chinese National Standard (CNS) A4 specification (210X297 mm) -39- 1257688 A7 ___B7_ V. Invention description (3) Subsequently, as shown in the figure 8C, forming a first interlayer insulation on the second shape conductive layer 926-930 and the gate insulating film The film 942. The first interlayer insulating film 942 may be composed of a laminated film of a tantalum nitride film, a hafnium oxide film, a hafnium oxynitride film, or a combination thereof. In any case, the first interlayer insulating film 942 is composed of an inorganic insulating material. The thickness of the first interlayer insulating film 924 is set to 100 to 200 nm. In the case where a ruthenium oxide film is used as the first interlayer insulating film 942, TEOS and 〇2 are mixed and the reaction density is 40 Pa, the substrate temperature is 300-400° (: and the high frequency (13.561^1^) power density is The discharge is performed at 0.5 to 0.8 W/cm 2 , whereby the first interlayer insulating film 942 is formed by plasma CVD. In the case where the hafnium oxide film is used as the first interlayer insulating film 942, SiH4, N2 and A ruthenium oxynitride film made of NH3 or a ruthenium oxynitride film made of SiH# and N2〇 can be formed by plasma CVD. The film formation conditions in this case are as follows: the reaction pressure is 20-200 Pa, and the substrate temperature is 300-400 ° C, high frequency (60 MHz) power density is 0.1-l. OW / cm 2. As the first interlayer insulating film 942, oxidation, nitridation and hydrogenation by SiHU, N2 〇 and H2 can be used. The tantalum film can also be formed by SiH4 and NH3 by plasma CVD. The n-type or p-type impurity elements added at various concentrations are activated by thermal annealing using an annealing furnace. , can use arc annealing or rapid thermal annealing (RTA) at an oxygen concentration of 1ppm or less (usually O.lppm or lower) The thermal annealing is performed at 400-70 (TC (usually 500-600 ° C) in a nitrogen atmosphere. In this embodiment, heat treatment is performed at 550 ° C for 4 hours. In addition, a plastic substrate having a low heat-resistant temperature is employed. In the case of the substrate 900, laser annealing is preferred. The Chinese standard (CNS) A4 specification (210X297 mm) is applied to this standard. " _ -40- (Please read the note on the back and fill out this page) Clothing order 1257688 A7 ___B7 _ _ V. Invention description (33⁄4 (please read the back note first and then fill in this page) During the above heat treatment, the catalytic element (nickel) used in the method of crystallizing the semiconductor layer is moved (inhalation) In the first n-type impurity region in which the element belonging to Group 15 of the periodic table and having the gettering function (phosphorus in the present embodiment mode) is added at a high concentration, the concentration of the catalytic element in the channel forming region can thereby be lowered. After the start-up method, the atmosphere gas is changed, and heat treatment is performed at 300-450 ° C for 12 hours in an atmosphere containing 3-100% hydrogen, thereby hydrogenating the semiconductor layer. During this method, 1016- in the semiconductor layer The 1018/cm3 suspension bond terminates with thermally excited hydrogen. As another way of hydrogenation, plasma hydrogenation (using hydrogen excited by the plasma) can be performed. In any case, it is desirable to set the defect density in the semiconductor layer to 1016. /cm3 or lower. For this purpose, hydrogen may be added in an amount of about 原子·〇1-〇.1 atomic %. The average thickness of the organic insulating material is 1.0-2. 第二μιη second interlayer insulating film 943 . As the organic resin material, polyimine, acrylic resin, decylamine, polyimide-melamine, BCB (benzocyclobutene) or the like can be used. For example, in the case of using a thermally polymerized polyimide, after the application to the substrate, the second interlayer insulating film 943 is formed by sintering at 300 ° C in a clean furnace. In the case of using an acrylic resin, a 2-liquid type is employed. In this case, the main material is mixed with the curing agent, and then the mixture is applied to the entire surface of the substrate by a spin coater. Then, the obtained substrate was temporarily heated at 80 ° C for 60 seconds on a hot plate, followed by sintering at 250 ° C for 60 minutes in a clean furnace. As described above, the surface is satisfactorily planarized by forming the second interlayer insulating film 943 of the organic insulating material. In addition, the organic resin material is generally applied to the Chinese National Standard (CNS) A4 specification (21〇Χ297 mm) in winter and Zhang scale. -41 - 1257688 A7 _____B7 V. Invention Description (33⁄4 (Please read the back note first and then fill out this page) It has a low dielectric constant and thus can reduce parasitic capacitance. However, the organic resin material is not suitable as a protective film due to its hygroscopic property. Therefore, the organic insulating material can be combined with the ruthenium oxide film formed as the first interlayer insulating film 942. The yttrium oxynitride film or the tantalum nitride film combination is the same as the present embodiment. Further, in the present embodiment, the second interlayer insulating film 943 is made of an organic insulating material. However, the film may also be formed of an inorganic insulating material. The surface thereof is leveled by CMP or the like, and the film thus obtained is used as the second interlayer insulating film 943. Note that the second interlayer insulating film 943 made of an organic insulating material generates moisture in some cases. And gas. It is known that the light-emitting element may be degraded by moisture and gas (oxygen). Due to the heat generated by the light-emitting element, the use of an organic resin insulating film in the intermediate layer is practically employed. In the case of the film obtained by the film, since the moisture and the gas are generated from the organic resin insulating film, the light emitting element may be degraded by moisture and gas. To avoid this phenomenon, the second interlayer insulating film formed of the organic insulating material An insulating film 944 is formed over 943. The insulating film 944 is made of a hafnium oxide film, a hafnium oxynitride film, a tantalum nitride film, etc. The insulating film 944 can be formed by sputtering or plasma CVD. The insulating film 944 can also form a contact. After the holes are formed, a resist mask having a predetermined pattern is formed, and contact holes are formed to reach an impurity region to be formed as a source region or a germanium region in each of the semiconductor layers. The contact holes are formed by dry etching. Then, a conductive metal film is formed by sputtering or vacuum vapor deposition, patterned with a mask, and then etched, thereby forming wirings 945-952. Although not shown in the drawings, in the present embodiment, each Wiring 945-952 is based on Ti film (thickness 50nm) and alloy (A1 and Ti) film (thickness 500nm). This paper scale is applicable to China National Standard (CNS) A4 specification (210X297 mm) (3) -42 - 1257688 A7 ___ B7 __ five DESCRIPTION OF THE INVENTION (43⁄4 laminated film is formed. (Please read the back of the note before filling this page) A transparent conductive film with a thickness of 80 - 1 20nm is formed on the wiring, and uranium is engraved to form a pixel electrode (anode) 953 (Fig. 9A) In the present embodiment, as the transparent conductive film, an indium tin oxide (ITO) film or a transparent conductive film in which zinc oxide (ZnO) and 2-20% of indium oxide are mixed is used. Further, the anode 95 3 and the drain electrode The wiring 950 is superposed to be in contact therewith, thereby being electrically connected to the crotch region of the current controlling TFT. Here, the anode 95 3 may be heat-treated at 180-350 °C. Next, as shown in Fig. 9B, an organic insulating film 954 is formed on the anode 953. At this time, in order to prevent the array substrate including the TFT thereon from being damaged or contaminated by dust in the air, an ultra-thin film (hereinafter referred to as "antistatic film") 955 having an antistatic function is formed on the organic insulating film 954. The antistatic film 955 is made of a known material which can be removed by water rinsing (Fig. 9C). In this example, an antistatic film 955 is formed using staticide (manufactured by ACL). Then, detection is performed to determine the quality of the TFT on the array substrate thus produced (the suitability of the TFT substrate for the product). The material of the light-emitting element is expensive. Therefore, in terms of manufacturing cost, it is undesirable to form a light-emitting element on a TFT substrate which cannot be transported as a part. In order to identify a TFT substrate that cannot be normally driven or transmitted, a detection method is incorporated. The detection method described in the above embodiment mode 1 or 2 can be employed. After the TFT substrate was transferred to a processing chamber (clean space) for forming a light-emitting element, the antistatic film 955 was removed by rinsing with water. Then, the paper size is etched to the Chinese National Standard (CNS) A4 specification (210X297 mm) ... -43- 1257688 A7 _B7___ V. Description of the invention ((Please read the note on the back and fill in the page) Organic Insulation Film 954 A bank 956 having an opening at a position corresponding to a pixel (light-emitting element) is formed. In this example, the bank 956 is formed of a resist. In this example, the thickness of the bank 956 is set to about Mm, and the wiring is covered. The area of the embankment 956 in the portion where the anode is in contact is tapered (Fig. 1A). In this example, although the embankment 956 is composed of an anti-uranium film, in some cases, polyimine may be used. a guanamine, an acrylic resin, a BCB (benzocyclobutene) or a ruthenium oxide film. The embankment 95 6 may be formed of an organic substance or an inorganic substance, as long as it is an insulating substance. In the case of forming a bank 956 with a photosensitive acrylic resin, Preferably, the photosensitive acrylic resin is etched and heat-treated at 180-350 ° C. In the case of forming the bank 956 with a non-photosensitive acrylic film, it is preferably heat-treated at 180-350 ° C and etched to form a bank. The surface of the anode was subjected to a scrubbing treatment. In this example, the surface of the anode 953 was washed with Bellclean 961 (manufactured by 〇dzu Sangyo), thereby leveling the surface of the anode 95 3 and removing dust attached thereto. The lotion is made of pure water. The number of rotations of the shaft surrounded by Bellclean is set to 100-300 rpm, and the push enthalpy is set to 0.1-1.0 mm (Fig. 10A). Then, an insulating film covering the embankment 956 and the anode 953 is formed. 957. As the insulating film 957, an organic resin film made of polyimine, guanamine, or polyimine-melamine having a thickness of 1 to 5 nm is formed by spin coating, vapor deposition, or sputtering. The insulating film 957 thus formed can cover cracks and the like on the surface of the anode 95 3 , thereby preventing degradation of the light-emitting element. The paper scale is applicable to the Chinese National Standard (CNS) A4 specification (210×297 mm) _44· 1257688 A7 B7 V. Description of the invention (Wraps (please read the back note first and then fill in this page) Thereafter, the array substrate is divided into a plurality of TFT substrates by a known method. At this time, preferably, it is formed outside the TFT substrate region to be a product. In detection The secondary winding, the rectifier circuit, and the waveform shaping circuit of the transformer in the method are electrically and physically separated. In this example, the secondary coil, the rectifier circuit, and the waveform shaping circuit of the transformer are formed outside the region of the TFT substrate to be the product. However, the position at which these elements are formed can be appropriately determined by those skilled in the art, and is not limited to the embodiment. Thereafter, the organic compound layer 958 and the cathode are formed by vapor deposition on the insulating film 957 of the TFT substrate which can be used as a product. 959. In this example, as the cathode of the light-emitting element, an MgAg electrode is used; however, other known materials may be used. The organic compound layer 958 is formed by stacking a combination of a plurality of layers including not only a light-emitting layer but also a hole injection layer, a hole transport layer, an electron transport layer, and an electron injection layer. The configuration of the organic compound layer 95 8 used in this example will be described in detail below. In this example, a hole injection layer was formed from copper cyanine by vapor deposition, and a hole transport layer was formed by a-NPD. Then, a light-emitting layer is formed. In this example, different materials are used for the luminescent layer to form an organic compound layer exhibiting different light emission. In this example, an organic compound layer exhibiting red, green, and blue light emission is formed. Since vapor deposition is used for film formation in any case, a light-emitting layer is formed by using a material which changes according to a pixel, and a metal mask is used in forming a film. A light-emitting layer that emits red light is formed using A1Q3 doped with DCM. In addition, it is possible to use Eu complex (1,10-phenanthroline) tris(1,3-diphenylpropane-1,3, diacid) ruthenium (III) (Eu(DBM) 3 (Phen) doped Miscellaneous (N, N, bound Zhang scale applicable Chinese National Standard (CNS) A4 specification (210X297 mm) What vf -45- 1257688 A7 ______B7 V. Description of invention (d disalicylidene-l, 6 hexane diaminization) Zinc (n) (Zn (salhn)), etc. Other known materials can also be used. (Please read the note on the back and fill out this page.) The green light emitting layer can be made by CBP and Ir(PPy)3. Co-vapor deposition is formed. In this case, a BCP stacked hole barrier layer is preferably used. Further, an aluminoquinolto complex (Alq3) or a benzoquinolinate ruthenium complex may be used. (BeBq). And 'a quinolinolato aluminum complex (Alq3) using a material such as coumarin 6 or Quinacridone as a dopant may be used, and other known materials may be used. Further, as the blue light-emitting layer, DPVBi as a distyrene derivative can be used as a ligand having an azocarbazone compound. (N,N'-disalicylidene-l,6 hexanediaminate) Zinc(II)(Zn(salhn)) and 4,4'-double (2,2- doped with phenanthrene) Diphenyl-vinyl)-biphenyl (DPVBi). However, other known materials may be used to form an electron transport layer. As the electron transport layer, a derivative such as 1,3,4-oxadiazole may be used. 1,2,4-triazole derivative (TAZ), etc. In this example, the electron transport layer is formed by vapor phase deposition of a 1,2,4-triazole derivative (TAZ) and has a thickness of 30 - 60 nm. As described above, an organic compound layer having a laminated structure is formed. In this example, the thickness of the organic compound layer 958 is set to 10 - 400 nm (typically 60 - 150 nm), and the thickness of the cathode 959 is set to 80 - 200 nm. (usually 100-150nm) 〇 After the formation of the organic compound layer, the luminescence name is formed by gas phase ablation. The Chinese National Standard (CNS) A4 specification (210X297 mm) -46- 1257688 A7 B7 DESCRIPTION OF THE INVENTION (4 (Please read the precautions on the back side and fill out this page). In this example, as a conductive film to be a cathode of a light-emitting element MgAg is used. However, it is also possible to use an Al-Li alloy film (an alloy film of aluminum and lithium) or a film formed by co-vapor deposition of an element belonging to Group 1 or 2 of the periodic table and aluminum as a conductive film. Thus, the light-emitting device having the configuration as shown in Fig. 10B is completed. A portion 960 in which the anode 953, the organic compound layer 95 8 and the cathode 959 are stacked on each other at the top is referred to as a light-emitting element. The P-channel TFT 1000 and the n-channel TFT 1001 are TFTs of the driving circuit 102, which constitute a CMOS. The switching TFT 1002 and the current controlling TFT 1003 are TFTs of the pixel portion 103, and the TFT of the driving circuit 201 and the TFT of the pixel portion 103 can be formed on the same substrate. In the case of a light-emitting device using a light-emitting element, the power supply voltage of the driving circuit is about 5 V - 6 V (maximum about 10 V), so that the TFT is not likely to be degraded by hot electrons. In this example, an example of a secondary coil of a transformer used in the detecting method formed on the TFT substrate (TFT element substrate) of the light-emitting device has been described. The present embodiment is not limited to the light-emitting device, and a secondary coil for applying the present invention to a transformer of a semiconductor device composed of a semiconductor element such as a liquid crystal display device may be formed. Embodiment 2 In Embodiment 2, the same method as in Embodiment 1 is performed to form a second interlayer insulating film 943, and instead of forming the insulating film 924 in the embodiment, the second interlayer insulating film 9 is formed. 4 3 Perform plasma treatment to trim the first hot-sheet standard Applicable to China National Standard (CNS) A4 specification (210X297 mm): " -47 - 1257688 A7 B7 V. Invention Description (扃二层层膜膜943 Surface This method is described below with reference to Figures 11 - 13. (Please read the note on the back and fill out this page) For example, selected from hydrogen, nitrogen, hydrocarbons, halocarbons, hydrogen fluoride and rare gases (Ar, The second interlayer insulating film 943 is subjected to a plasma treatment in one or more gases of the group of He, Ne, etc., thereby forming a new coating film on the surface of the second interlayer insulating film 943. The kind of the functional group on the surface is changed. Thus, the surface of the second interlayer insulating film 943 can be trimmed. On the surface of the second interlayer insulating film 943, a dense film 943B is formed, as shown in Fig. 11. In the specification, the membrane 943B is called The film 943B is thus 'prevented from releasing the gas and moisture from the organic resin film. Further, in this example, the anode (ITO) is formed after trimming the surface of the second interlayer insulating film 943 to prevent the heat treatment from having different coefficients of thermal expansion. The materials are subjected to direct contact with each other. Therefore, cracks or the like of the IT defects can be prevented, which prevents degradation of the light-emitting elements. The second interlayer insulating film 943 can be subjected to plasma treatment before or after the formation of the contact holes. The surface of the interlayer insulating film 943 made of an organic insulating material is electrically formed in one or more gases of a group consisting of free hydrogen, nitrogen, hydrocarbons, halocarbons, hydrogen fluoride, and rare gases (Ar, He, Ne, etc.) The slurry treatment forms a cured film 943B. Therefore, the cured film 943B contains a gas element of hydrogen, nitrogen, a hydrocarbon, a halogenated carbon, hydrogen fluoride or a rare gas (Ar, He, Ne, etc.). As shown in Fig. 12, the method is carried out in the same manner as in the embodiment 1, to form the second interlayer insulating film 943, and the stripping scale is applied to the Chinese national standard (CNS). A4 size (210X297 mm) -48- 1257688 A7 B7 V. Description of the invention (Diamond-like carbon (DCL) film 943C is formed as an insulating film 944 on the second interlayer insulating film 943. (Please read the back side first) Precautions Refill this page) The DLC film has an asymmetric peak at about 1 550 cm, and a Raman spectral distribution with a shoulder at about 1 300 cnT1. In addition, the DLC film exhibits when measured with a microhardness tester. -25 Gpa hardness and excellent in chemical resistance. Moreover, the DLC film can be formed by CVD or sputtering at a temperature ranging from room temperature to 100 °C. As the film formation method, sputtering, ECR plasma CVD, high frequency plasma CVD, or ion beam vapor deposition may be employed, and the thickness may be about 5 to 50 nm. As still another example, as shown in FIG. 13, the next step is to perform the method in the same manner as in Embodiment 1 to form the second interlayer insulating film 943; to form the cured film 943B by plasma treatment, and to trim the second The surface of the interlayer insulating film 943; thereafter, a DLC film 943C is formed on the cured film 943B. The DLC film 943C can be formed to have a thickness of about 5 to 50 nm by sputtering 3, ECR plasma CVD, high frequency plasma CVD or ion beam vapor deposition. Embodiment 3 In Embodiment 3, use with Example 1 The method is performed in the same manner to form the embankment 956, and the surface of the embankment 956 is subjected to plasma treatment, thereby trimming the surface of the embankment 956. This will be explained with reference to FIG. The embankment 956 is formed of an organic resin insulating film and has problems of generating moisture and gas. The embankment 95 6 may generate moisture and gas due to heat generated when the light-emitting device is actually used.杳Cold sheet size is applicable to China National Standard (CNS) A4 specification (210X297 mm) -49- 1257688 A7 B7 V. Invention description (4> In order to overcome this problem, after heat treatment, plasma treatment is carried out to repair the embankment 9 5 6 The surface is as shown in Fig. 14. The plasma treatment is carried out in one or more gases selected from the group consisting of hydrogen, nitrogen, halocarbon, hydrogen fluoride and a rare gas. For the above reasons, the surface of the embankment 956 is made dense, and The cured film 956b containing one or more gas elements selected from the group consisting of hydrogen, nitrogen, a halogenated carbon, hydrogen fluoride, and a rare gas is formed to prevent generation of moisture and gas (oxygen) from the inside, thereby preventing degradation of the light-emitting element. This embodiment can be Any combination of Embodiments 1-4. Embodiment 4 The present invention is applicable to a TFT of any shape. In the present embodiment, a method of manufacturing a light-emitting device in which a bottom gate type TFT is formed will be referred to Figs. 15A-15C and 16A-16C. The bottom insulating film 51 is formed on the array substrate 50 from a material selected from the group consisting of a hafnium oxide film, a hafnium nitride film, and a hafnium oxynitride film, formed of a selected from the group consisting of Ta, Ti, W, Mo, Ci:, and A1. The element is made of or mainly contains a conductive film of any of the elements, and is patterned into a desired shape to obtain a gate 52. Then, a gate insulating film 53 having a hafnium oxide film, a hafnium nitride film or A single layer structure of a ruthenium oxynitride film or a multilayer structure of any of these films. Then, an amorphous ruthenium film having a thickness of 10 to 50 nm is formed as an amorphous semiconductor film by a known method, a gate insulating film 53 and an amorphous film. The ruthenium film can be formed by the same film formation method, so that they can be formed continuously. By continuously forming these films, they can be formed without being exposed to the atmosphere gas, thereby preventing the scale of the watch from being applied to the Chinese national standard (CNS). A4 size (210X297 mm) (Please read the note on the back and fill out this page)

-50 - 1257688 A7 ____B7_ 五、發明説明(& 面被污染,並且減少要生産的TFT特性的改變和臨界値 電壓的漣波。 (請先閲讀背面之注意事項再填寫本頁) 然後’使非晶半導體膜結晶,得到結晶半導體膜54 。結晶方法可以藉由鐳射、熱處理或其組合進行。結晶方 法之後’形成厚度爲l〇〇-400nm並在添加雜質的後面方法 中保護結晶矽膜(通道形成區)的絕緣膜(未示出)。形 成該絕緣膜是爲了防止在雜質元素添加方法期間結晶矽膜 直接暴露於電漿和使雜質元素的濃度得到精確控制。 然後’採用抗鈾劑掩模,對要成爲後來TFT的主動 層的結晶矽膜添加η型雜質元素並形成TFT的源/汲區55 〇 隨後,啓動添加到結晶矽膜中的雜質元素。在進行結 晶方法的情況下,採用催化元素,可在與啓動方法相同的 方法中吸氣施加於結晶矽膜的催化元素。用於熱處理的氣 氛可以藉由用旋轉式泵或機械增壓泵抽空而處於減壓下。 然後,除去結晶矽膜上的絕緣膜,並將結晶矽膜構圖 成所希望的形狀。此後,形成絕緣膜56。絕緣膜56由 無機絕緣膜如氧化矽膜、氮化矽膜、氮氧化矽膜等、或選 自聚醯亞胺、丙烯酸樹脂、醯胺、聚醯亞胺-醯胺、環氧 樹脂和BCB (苯並環丁烯)的有機樹脂材料製成。 隨後,形成到達各個TFT的源/汲區的接觸孔,並且 由鋁或主要含有鋁的導電膜形成用於電連接每個TFT的 接線57。然後,形成覆蓋接線57的中間層絕緣膜58。中 間層絕緣膜58可由無機絕緣膜如氧化矽膜、氮化矽膜和 秦魅張尺度適用中國國家標準(CNS) A4規格(21〇Χ;297公釐) -51 - 1257688 A7 _ ___B7_ 五、發明説明(4¾ (請先閲讀背面之注意事項再填寫本頁) 氮氧化矽膜、或選自聚醯亞胺、丙烯酸樹脂、醯胺、聚醯 亞胺·醯胺、環氧樹脂和BCB (苯並環丁烯)的有機樹脂 材料形成。 然後,由導電膜形成要成爲發光元件的陽極的圖素電 極59。作爲導電膜,可採用選自鉻、鉬、鎢、鉅、和鈮 的金屬(圖1 5 A )。 此後,形成用於形成築堤(在本說明書中,在圖素電 極上具有開口並形成得覆蓋圖素電極的端部的絕緣膜被稱 爲築堤)的有機絕緣膜60 (圖15B ),並在有機絕緣膜 60上形成用於抗靜電功能的抗靜電膜61。形成抗靜電膜 6 1是爲了防止在後面的檢測方法期間灰塵附著於TFT基 底上。 然後,進行檢測方法,以便檢測形成在陣列基底上的 TFT的操作以決定TFT是否適合於産品。可採用實施模式 1或2中所述的檢測方法。 完成檢測方法之後,藉由水等淸洗除去抗靜電膜61 ,並蝕刻有機絕緣膜60以形成築堤62 (圖15C)。 在上述檢測方法中,被決定適合於産品的TFT基底 上形成有機化合物層63和陰極64。 有機化合物層63是藉由堆疊包含電洞注入層、電洞 傳送層、電子傳送層和電子注入層以及發光層的多個層的 組合形成的。有機化合物層63的厚度較佳約爲10-400nm (圖 1 6 A ) 〇 形成有機化合物層63之後形成陰極64。陰極64具 -秦紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ή -52- 1257688 A7 B7 五、發明説明(以 (請先閱讀背面之注意事項再填寫本頁) 有雙層結構,其中用MgAg或Al-Li合金(鋁和鋰的合金 )形成超薄(20nm或以下)陰極64a作爲第一層,在陰 極64a上形成厚度爲80-200nm的透明導電膜64b (圖16B )° 然後,形成保護膜65,以便覆蓋築堤62和陰極64。 保護膜65可以由DLC膜、氧化矽膜或氮化矽膜的任一種 形成,該膜形成得含有Ar (圖16C)。 如上所述,藉由採用形成在陣列基底上的多個TFT 基底可製造發光裝置。 實施例5 在實施例5中,將說明藉由採用催化元素並降低得到 的結晶半導體膜中的催化元素的濃度,使要成爲TFT的 主動層的半導體膜結晶的方法。 在圖24A中,基底1100較佳由硼矽酸鋇玻璃、硼矽 酸鋁玻璃或石英製成。在基底100的表面上形成厚度爲 10-200nm的無機絕緣膜,作爲底絕緣膜1101。底絕緣膜 1101的較佳例子是藉由電漿CVD形成的氮氧化矽膜。藉 由形成由SiH4、NH3和N2〇製成的第一氮氧化矽膜ll〇ia (厚度爲50nm ),和形成由SiH4和N2〇製成的第二氮氧 化矽膜1101b (厚度爲lOOnm),由此獲得底絕緣膜1101 。提供底絕緣膜1101 ( 1101a、1101b)是爲了防止包含在 陣列基底中的鹼金屬擴散到要形成在上層的半導體膜中。 在採用石英基底的情況下,可省去底絕緣膜1101。 秦鱗張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) V·* -53- 1257688 A7 B7 五、發明説明(5〗 (請先閲讀背面之注意事項再填寫本頁) 隨後,在底絕緣膜1101上形成氮化矽膜1102。形成 氮化矽膜1102是爲了防止在後面的半導體膜結晶方法中 使用的催化元素(通常爲鎳)被吸收到底絕緣膜1101上 ,還防止含在底絕緣膜1101中的氧具有副面影響。氮化 矽膜1102可藉由電漿CVD形成爲具有l-5nm的厚度。 然後,在氮化矽膜1102上形成非晶半導體膜1103。 主要含有矽的半導體材料用於非晶半導體膜1102。通常 ,非晶矽膜、非晶矽鍺膜等適用於非晶半導體膜11 03並 藉由電漿CVD、減壓CVD或濺射形成爲具有10-100nm的 厚度。爲了得到高品質的晶體,含在非晶半導體膜11 03 中的雜質如氧和氮的濃度可被減少到5xl018/cm3或以下。 這些雜質妨礙了非晶半導體的結晶,而且,在結晶之 後增加捕獲中心和複合中心的密度。因此,希望不僅採用 高純度材料氣體而且採用爲超高真空設計的並提供有在反 應室中的鏡面表面處理(場抛光處理)系統和無油真空排 氣系統的CVD裝置。在不暴露於氣氛的情況下,可連續 形成包含底絕緣膜1101到非晶半導體膜(非晶矽膜1103 )的膜。 此後,給非晶矽膜1103的表面添加具有促進結晶的 催化功能的金屬元素(圖24B )。具有促進半導體膜的結 晶的催化功能的金屬元素包含鐵(Fe )、鎳(Ni )、鈷( Co)、釕(Ru)、鍺(Rh)、鈀(Pd)、餓(〇s)、銥 (Ir)、鉑(Pt)、銅(Cu)、金(Au)等。可採用選自 這些例子的一種或多種金屬元素。通常採用鎳。用旋塗器 本#張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -54 - 1257688 A7 B7 五、發明説明(5^ 將含有l-100ppm重量鎳的乙酸鎳溶液施加於非晶矽膜 1103的表面,形成含催化劑層1104。在這種情況下,爲 了更容易將溶液施加於非晶矽膜1103的表面,對非晶矽 膜1103進行表面處理。更具體地說,由含臭氧水溶液形 成超薄氧化物膜,用含有氟酸和過氧化氫溶液的混合溶液 鈾刻該氧化物膜,形成潔淨表面。此後,再用含臭氧水溶 液處理得到的表面,形成超薄氧化物膜。由矽等製成的半 導體膜的表面原來是疏水的;因此,藉由形成這種氧化物 膜,可均勻地施加乙酸鎳溶液。 無庸贅言,形成含催化劑層Π04的方法不限於所述 方法。可藉由濺射、氣相澱積、電漿處理等形成含催化劑 層 11 04 〇 在非晶矽膜1103與含催化劑層1104接觸的條件下進 行用於結晶的熱處理。作爲熱處理的方法,採用利用電熱 爐的爐退火、或利用鹵素燈、金屬鹵化物燈、氙電弧燈、 碳電弧燈、高壓鈉燈、高壓汞燈等的RTA (快速熱退火) 〇 在進行RTA的情況下,用於加熱的燈光源點燃1-60 秒(較佳30-60秒),並重復這個週期1-10次(較佳2-6 次)。燈光源的發光強度可利用半導體膜被快速加熱到約 600- 1 〇〇(TC、較佳650-750°C的方式任意決定。即使在這 樣的高溫,半導體膜只是被快速加熱,且基底1100不會 由於應變而變形。這樣,非晶半導體膜被晶化,得到結晶 矽膜1 105,如圖24C所示。非晶半導體膜不能藉由這種 味縿張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 訂 -55 - 1257688 A7 __B7_ 五、發明説明(d 處理結晶,除非形成含催化劑層1104。 (請先閱讀背面之注意事項再填寫本頁) 在採用爐退火作爲另一種方法的情況下,在用於結晶 的熱處理之前,預先在500°C進行熱處理約1小時,以便 釋放含在非晶矽膜1103中的氫。然後,採用電熱爐在氮 氣氛中在550-600°C、較佳580°C下進行用於結晶的熱處 理,由此使非晶矽膜1103結晶。這樣,形成如圖24C所 示的結晶政膜110 5。 此外,爲了提高結晶比(在整個膜體積中晶體成分的 比),校正留在晶粒中的缺陷,用鐳射照射結晶矽膜 1105也是有效的。 在如此得到的結晶矽膜1105中,催化元素(這裏爲 鎳)保持超過lx 1019/cm3的平均濃度。留下來的催化元素 對TFT的特性具有有害影響。因此,要求降低半導體膜 中的催化元素的濃度。以下將說明在結晶方法之後減少半 導體膜中的催化元素的濃度的方法。 首先,如圖24D所示,在結晶矽膜1105表面上形成 薄層1106。在本說明書中,提供形成在結晶矽膜1105上 的薄層1106是爲了防止在後來除去吸氣部分時結晶矽膜 1105被蝕刻,並被稱爲阻擋層1106。 阻擋層1106形成爲具有約1-lOnm的厚度。利用簡單 方式,用臭氧水處理結晶矽膜1105,形成化學氧化物, 作爲阻擋層。或者,甚至在用其中過氧化氫溶液與硫酸、 鹽酸、硝酸等混合的水溶液處理結晶矽膜時,同樣形成化 學氧化物。作爲另一種方法,可藉由在氧氣氛中的電漿處 泰舞張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -56- 1257688 A7 B7 五、發明説明( (請先閲讀背面之注意事項再填寫本頁) 理或在含有氧的氣氛中用紫外光照射産生臭氧,由此氧化 結晶矽膜1105。或者,藉由在潔淨爐中在約200-350°C下 加熱結晶矽膜1105,形成薄氧化物膜,作爲阻擋層1106 。或者,可藉由電漿CVD、濺射或氣相澱積,澱積厚度 爲約l-5nm的氧化物膜,形成阻擋層。在這種情況下,可 採用在吸氣期間允許催化元素移動到吸氣部分,並在除去 吸氣部分時防止蝕刻劑滲入結晶矽膜1105 (即保護結晶 矽膜1105不接觸蝕刻劑)的膜。例如,可採用藉由用臭 氧水處理形成的化學氧化物膜、氧化矽膜(Si〇x )或多孔 膜。 然後,作爲吸氣部分1107,藉由濺射在阻擋層1106 上厚度爲25-250nm的含有濃度爲lxl〇2°/cm3或以上的稀 有氣體元素的第二半導體膜(通常爲非晶矽膜)。爲了提 高相對於結晶矽膜11 05的蝕刻選擇比,較佳的形成具有 低密度的後來要除去的吸氣部分1107。 稀有氣體元素本身在半導體膜中是不活潑的,因此不 會對結晶矽膜1105産生有害影響。作爲稀有氣體元素, 採用選自氦(He)、氖(Ne)、氬(Ar)、氪(Kr)、 氙(Xe)的一種或多種元素。本發明的特徵在於這些稀 有氣體元素用做形成吸氣部分的離子源,並且形成含有這 些元素的半導體膜以得到吸氣部分。 爲了準確實現吸氣,需要在後來進行熱處理。熱處理 是藉由爐退火或RTA進行的。在進行爐退火的情況下, 在氮氣氛中在450-600°C下進行熱處理0.5-12小時。在採 夸 1¾張尺度適用中國國家標準(CNS ) A4規格(210X297公慶) -57- 1257688 A7 B7 五、發明説明( (請先閲讀背面之注意事項再填寫本頁) 用RTA的情況下,用於加熱的燈光源點燃卜60秒(較佳 3 0-60秒),並重復這個週期1-10次(較佳2-6次)。可 利用半導體膜能被快速加熱到約60(M〇〇〇°C、較佳約700-7 50°C的方式任意決定燈光源的發光強度。 由於吸氣,藉由熱能使要被吸氣的區域(捕獲部分) 中的催化元素釋放並藉由擴散移動到吸氣部分。因此,吸 氣取決於處理溫度,並在溫度較高時,可在較短時間內進 行。根據本發明,在吸氣期間催化元素移動的距離約是半 導體膜的厚度,由此可以在相對短的時間內完成吸氣(圖 24E )。 甚至由於上述熱處理,含有濃度爲lxl019/cm3-lM021/cm3、較佳 lxl〇2°/cm3-lxl021/cm3、更較佳 5M02()/cm3的稀有氣體的半導體膜1107不結晶。其原因如 下:在即使在上述處理溫度範圍內也不會再釋放的情況下 ,稀有氣體元素保留在半導體膜1107中,由此阻止了半 導體膜1 107的結晶。 吸氣方法之後,選擇蝕刻吸氣部分1 1 07以除去該部 分。作爲蝕刻方法,可進行在不用電漿情況下用C1F3的 乾飽刻、或利用驗性溶液如含有 (hydrazine)和氫氧化四 乙銨((CH〇4N〇H)的水溶液的濕蝕刻。此時,阻擋層 1106用做蝕刻停止層。此外,後來可用氟酸除去阻擋層 1106° 這樣,如圖24F所示,可得到催化元素的濃度減少到 lM017/cm3或以下的結晶矽膜11〇8。如此得到的結晶矽膜 夺辦張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) … -58- 1257688 A7 __B7 五、發明説明(5έ (請先閲讀背面之注意事項再填寫本頁) 1108由於催化元素的功能而形成爲細棒狀或薄平條狀的 晶體,並且當從宏觀上看時,每個晶體在特定方向生長。 本實施例可與實施模式1和2、以及實施例1 -5組合 實施例6 在本例中,下面將參照圖1 7 Α和1 7 Β具體說明藉由 如圖10B所示組合實施例1-5的製造步驟製造的發光面板 作爲發光裝置被完成的方法。 圖17A是其中TFT基底被氣密密封的發光面板的頂 視圖,圖17B是沿著圖17A的線A-A’截取的截面圖。參 考標記80 1表示源極側驅動電路,並用虛線表示;參考標 記802表示圖素部分;參考標記803表示閘極側驅動電路 :參考標記804表示密封基底;和參考標記805表示密封 劑。被密封劑805包圍的內部是空間807。 用於傳輸輸入到源極側驅動電路801和閘極側驅動電 路803的訊號、視頻訊號或時鐘訊號的穿通接線(未示出 )從作爲外部輸入端子的軟性印刷電路(FPC ) 809接收 。這裏顯示FPC連接到發光面板的狀態。在本說明書中 ,藉由FPC直接安裝積體電路(ICs)的任何元件被稱爲 發光裝置。-50 - 1257688 A7 ____B7_ V. INSTRUCTIONS (& face is contaminated and reduces the change in TFT characteristics to be produced and the chopping of the critical 値 voltage. (Please read the note on the back and fill out this page) Then The amorphous semiconductor film is crystallized to obtain a crystalline semiconductor film 54. The crystallization method can be carried out by laser, heat treatment or a combination thereof. After the crystallization method, a thickness of 10 Å to 400 nm is formed and the crystallization film is protected in a subsequent method of adding impurities ( An insulating film (not shown) of the channel formation region. The insulating film is formed to prevent direct exposure of the crystallization film to the plasma during the impurity element addition method and to precisely control the concentration of the impurity element. The mask adds an n-type impurity element to the crystal ruthenium film to be the active layer of the later TFT and forms a source/germanium region 55 of the TFT. Subsequently, the impurity element added to the crystallization ruthenium film is started. In the case of performing the crystallization method Using a catalytic element, the catalytic element applied to the crystalline ruthenium film can be inhaled in the same manner as the startup method. The atmosphere used for the heat treatment can be The insulating film on the crystalline ruthenium film is removed by evacuation by a rotary pump or a mechanical booster pump. Then, the crystallization film is patterned into a desired shape. Thereafter, an insulating film 56 is formed. An inorganic insulating film such as a ruthenium oxide film, a tantalum nitride film, a ruthenium oxynitride film, or the like, or a polyphthalimide, an acrylic resin, a guanamine, a polyimide, a guanamine, an epoxy resin, and a BCB (benzo The organic resin material of cyclobutene) is formed. Subsequently, contact holes reaching the source/germanium regions of the respective TFTs are formed, and wirings 57 for electrically connecting each of the TFTs are formed of aluminum or a conductive film mainly containing aluminum. Then, The interlayer insulating film 58 covering the wiring 57 is formed. The interlayer insulating film 58 may be made of an inorganic insulating film such as a yttrium oxide film, a tantalum nitride film, and a Qinshen scale applicable to the Chinese National Standard (CNS) A4 specification (21 〇Χ; 297 gong) PCT) -51 - 1257688 A7 _ ___B7_ V. Description of invention (43⁄4 (please read the note on the back and fill out this page) yttrium oxynitride film, or selected from polyimine, acrylic resin, guanamine, poly Amine, decylamine, epoxy resin and BCB (benzo ring) The organic resin material of the olefin is formed. Then, the pixel electrode 59 to be the anode of the light-emitting element is formed of a conductive film. As the conductive film, a metal selected from the group consisting of chromium, molybdenum, tungsten, giant, and germanium can be used (Fig. A) Thereafter, an organic insulating film 60 for forming a bank (in the present specification, an insulating film having an opening on the pixel electrode and formed to cover the end of the pixel electrode is referred to as a bank) is formed (FIG. 15B). And an antistatic film 61 for antistatic function is formed on the organic insulating film 60. The antistatic film 61 is formed to prevent dust from adhering to the TFT substrate during the subsequent detecting method. Then, a detecting method is performed to detect The operation of the TFTs formed on the array substrate determines whether the TFT is suitable for the product. The detection method described in Embodiment Mode 1 or 2 can be employed. After the detection method is completed, the antistatic film 61 is removed by rinsing with water or the like, and the organic insulating film 60 is etched to form the embankment 62 (Fig. 15C). In the above detection method, the organic compound layer 63 and the cathode 64 are formed on the TFT substrate which is determined to be suitable for the product. The organic compound layer 63 is formed by stacking a combination of a plurality of layers including a hole injection layer, a hole transport layer, an electron transport layer, and an electron injection layer, and a light-emitting layer. The thickness of the organic compound layer 63 is preferably about 10 to 400 nm (Fig. 16 A). The cathode 64 is formed after the organic compound layer 63 is formed. Cathode 64-Qin paper scale applicable to China National Standard (CNS) A4 specification (210X297 mm) ή -52- 1257688 A7 B7 V. Invention description (Please read the back note first and then fill in this page) A structure in which an ultrathin (20 nm or less) cathode 64a is formed as a first layer with MgAg or an Al-Li alloy (an alloy of aluminum and lithium), and a transparent conductive film 64b having a thickness of 80 to 200 nm is formed on the cathode 64a (FIG. 16B) Then, a protective film 65 is formed so as to cover the embankment 62 and the cathode 64. The protective film 65 may be formed of any one of a DLC film, a hafnium oxide film, or a tantalum nitride film, and the film is formed to contain Ar (Fig. 16C). The light-emitting device can be manufactured by using a plurality of TFT substrates formed on an array substrate. Embodiment 5 In Embodiment 5, the concentration of a catalytic element in the obtained crystalline semiconductor film by using a catalytic element and reducing will be described. A method of crystallizing a semiconductor film to be an active layer of a TFT. In Fig. 24A, the substrate 1100 is preferably made of barium borosilicate glass, aluminum borosilicate glass or quartz. The thickness is formed on the surface of the substrate 100. 10-200nm inorganic An insulating film is used as the underlying insulating film 1101. A preferred example of the underlying insulating film 1101 is a hafnium oxynitride film formed by plasma CVD. By forming a first hafnium oxynitride film made of SiH4, NH3, and N2 lanthanum 〇 ia (thickness: 50 nm), and forming a second yttrium oxynitride film 1101b (thickness of 100 nm) made of SiH4 and N2 yt, thereby obtaining a bottom insulating film 1101. Providing a bottom insulating film 1101 (1101a, 1101b) is In order to prevent the alkali metal contained in the array substrate from diffusing into the semiconductor film to be formed in the upper layer. In the case of using a quartz substrate, the bottom insulating film 1101 can be omitted. The Qin scale scale is applicable to the Chinese National Standard (CNS) A4 specification. (210X297 mm) V·* -53- 1257688 A7 B7 V. Inventive Note (5) (Please read the note on the back side and fill in this page) Subsequently, a tantalum nitride film 1102 is formed on the bottom insulating film 1101. The tantalum nitride film 1102 is for preventing the catalytic element (usually nickel) used in the subsequent semiconductor film crystallization method from being absorbed on the underlying insulating film 1101, and also preventing the oxygen contained in the bottom insulating film 1101 from having a side surface effect. The ruthenium film 1102 can be made of plasma The CVD is formed to have a thickness of 1 to 5 nm. Then, an amorphous semiconductor film 1103 is formed on the tantalum nitride film 1102. A semiconductor material mainly containing germanium is used for the amorphous semiconductor film 1102. Usually, an amorphous germanium film, an amorphous germanium The ruthenium film or the like is applied to the amorphous semiconductor film 101 and formed to have a thickness of 10 to 100 nm by plasma CVD, reduced pressure CVD or sputtering. In order to obtain a high quality crystal, it is contained in the amorphous semiconductor film 11 03 The concentration of impurities such as oxygen and nitrogen can be reduced to 5xl018/cm3 or less. These impurities hinder the crystallization of the amorphous semiconductor and, in addition, increase the density of the trapping center and the recombination center after crystallization. Therefore, it is desirable to use not only a high-purity material gas but also a CVD apparatus designed for ultra-high vacuum and provided with a mirror surface treatment (field polishing treatment) system and an oil-free vacuum exhaust system in a reaction chamber. The film including the underlying insulating film 1101 to the amorphous semiconductor film (amorphous germanium film 1103) can be continuously formed without being exposed to the atmosphere. Thereafter, a metal element having a catalytic function of promoting crystallization is added to the surface of the amorphous ruthenium film 1103 (Fig. 24B). The metal element having a catalytic function of promoting crystallization of the semiconductor film includes iron (Fe), nickel (Ni), cobalt (Co), ruthenium (Ru), rhodium (Rh), palladium (Pd), hungry (〇s), ruthenium (Ir), platinum (Pt), copper (Cu), gold (Au), and the like. One or more metal elements selected from these examples may be employed. Nickel is usually used. Using the spin coater #张尺寸Applicable to China National Standard (CNS) A4 Specification (210X297 mm) -54 - 1257688 A7 B7 V. Invention Description (5^ Applying nickel acetate solution containing 1-100 ppm by weight of nickel to amorphous On the surface of the ruthenium film 1103, a catalyst-containing layer 1104 is formed. In this case, in order to more easily apply a solution to the surface of the amorphous ruthenium film 1103, the amorphous ruthenium film 1103 is surface-treated. More specifically, The ozone aqueous solution forms an ultrathin oxide film, and the oxide film is etched with a mixed solution containing a hydrofluoric acid and a hydrogen peroxide solution to form a clean surface. Thereafter, the obtained surface is treated with an aqueous solution containing ozone to form an ultrathin oxide film. The surface of the semiconductor film made of ruthenium or the like is originally hydrophobic; therefore, by forming such an oxide film, a nickel acetate solution can be uniformly applied. Needless to say, the method of forming the catalyst-containing layer Π04 is not limited to the method. The catalyst-containing layer 11 04 can be formed by sputtering, vapor deposition, plasma treatment, etc., and the heat treatment for crystallization is performed under the condition that the amorphous germanium film 1103 is in contact with the catalyst-containing layer 1104. As a method of heat treatment, furnace annealing using an electric furnace or RTA (rapid thermal annealing) using a halogen lamp, a metal halide lamp, a xenon arc lamp, a carbon arc lamp, a high pressure sodium lamp, a high pressure mercury lamp, or the like is performed for RTA. In the case of the lamp, the lamp source for heating is ignited for 1-60 seconds (preferably 30-60 seconds), and the cycle is repeated 1-10 times (preferably 2-6 times). The luminous intensity of the lamp source can utilize the semiconductor film. It is arbitrarily determined by rapid heating to about 600 - 1 Torr (TC, preferably 650-750 ° C. Even at such a high temperature, the semiconductor film is only rapidly heated, and the substrate 1100 is not deformed by strain. The amorphous semiconductor film is crystallized to obtain a crystalline germanium film 1 105 as shown in Fig. 24C. The amorphous semiconductor film cannot be applied to the Chinese National Standard (CNS) A4 specification (210×297 mm) by this miscellaneous scale. Read the precautions on the back and fill out this page.) Order -55 - 1257688 A7 __B7_ V. Description of the invention (d treatment of crystallization unless a catalyst layer 1104 is formed. (Please read the note on the back before refilling this page) Annealing as another In the case of the method, before the heat treatment for crystallization, heat treatment is performed at 500 ° C for about 1 hour in advance to release hydrogen contained in the amorphous ruthenium film 1103. Then, an electric furnace is used in a nitrogen atmosphere at 550- The heat treatment for crystallization is carried out at 600 ° C, preferably 580 ° C, whereby the amorphous ruthenium film 1103 is crystallized. Thus, a crystallized film 1105 as shown in Fig. 24C is formed. Further, in order to increase the crystallization ratio (in It is also effective to illuminate the crystalline ruthenium film 1105 by laser irradiation by correcting the defects remaining in the crystal grains in the ratio of the crystal components in the entire film volume. In the thus obtained crystalline ruthenium film 1105, the catalytic element (here, nickel) was maintained at an average concentration exceeding lx 1019 / cm3. The remaining catalytic elements have a detrimental effect on the characteristics of the TFT. Therefore, it is required to lower the concentration of the catalytic element in the semiconductor film. A method of reducing the concentration of the catalytic element in the semiconductor film after the crystallization method will be explained below. First, as shown in Fig. 24D, a thin layer 1106 is formed on the surface of the crystalline ruthenium film 1105. In the present specification, the thin layer 1106 formed on the crystalline tantalum film 1105 is provided to prevent the crystalline tantalum film 1105 from being etched when the gettering portion is later removed, and is referred to as a barrier layer 1106. The barrier layer 1106 is formed to have a thickness of about 1 to 1 nm. The crystalline ruthenium film 1105 is treated with ozone water in a simple manner to form a chemical oxide as a barrier layer. Alternatively, a chemical oxide is formed similarly even when the crystalline ruthenium film is treated with an aqueous solution in which a hydrogen peroxide solution is mixed with sulfuric acid, hydrochloric acid, nitric acid or the like. As another method, the Chinese National Standard (CNS) A4 specification (210X297 mm) can be applied by the plasma in the oxygen atmosphere. -56- 1257688 A7 B7 V. Invention Description (Please read the back first Precautions for refilling this page) Ozone is generated by irradiation with ultraviolet light in an atmosphere containing oxygen, thereby oxidizing the crystalline ruthenium film 1105. Alternatively, crystallization is carried out by heating at about 200-350 ° C in a clean oven. The film 1105 is formed as a thin oxide film as the barrier layer 1106. Alternatively, an oxide film having a thickness of about 1 to 5 nm may be deposited by plasma CVD, sputtering or vapor deposition to form a barrier layer. In this case, it is possible to prevent the catalyst element from moving to the gettering portion during inhalation, and to prevent the etchant from penetrating into the crystalline tantalum film 1105 (i.e., to protect the crystalline tantalum film 1105 from contacting the etchant) when the gettering portion is removed. A chemical oxide film, a ruthenium oxide film (Si〇x) or a porous film formed by treatment with ozone water may be used. Then, as the gettering portion 1107, the thickness on the barrier layer 1106 is 25-250 nm by sputtering. Containing a concentration of lxl 〇 2 ° / cm 3 The second semiconductor film (usually an amorphous germanium film) of the above rare gas element. In order to increase the etching selectivity with respect to the crystalline germanium film 105, it is preferable to form the getter portion 1107 which has a low density and which is to be removed later. The rare gas element itself is inactive in the semiconductor film and thus does not adversely affect the crystalline ruthenium film 1105. As a rare gas element, it is selected from the group consisting of ruthenium (He), neon (Ne), argon (Ar), and ruthenium ( One or more elements of Kr), Xe. The present invention is characterized in that these rare gas elements are used as an ion source forming a gettering portion, and a semiconductor film containing these elements is formed to obtain an inhalation portion. The gas needs to be heat-treated later. The heat treatment is carried out by furnace annealing or RTA. In the case of furnace annealing, heat treatment is carried out at 450-600 ° C for 0.5-12 hours in a nitrogen atmosphere. The scale applies to China National Standard (CNS) A4 specification (210X297 public celebration) -57- 1257688 A7 B7 V. Invention description ((Please read the note on the back and then fill in this page) With RTA Next, the lamp source for heating is ignited for 60 seconds (preferably 30-60 seconds), and the cycle is repeated 1-10 times (preferably 2-6 times). The semiconductor film can be rapidly heated to about 60. (M〇〇〇°C, preferably about 700-7 50° C. The illuminating intensity of the lamp source is arbitrarily determined. Due to the inhalation, the catalytic element in the region to be inhaled (capture portion) is released by heat. And moving to the gettering portion by diffusion. Therefore, the gettering depends on the processing temperature, and when the temperature is high, it can be carried out in a shorter time. According to the present invention, the distance at which the catalytic element moves during inhalation is about a semiconductor. The thickness of the film, whereby the inhalation can be completed in a relatively short time (Fig. 24E). Even with the above heat treatment, the semiconductor film 1107 containing a rare gas having a concentration of lxl019 / cm3 - lM021 / cm3, preferably lxl 〇 2 ° / cm3 - lxl021 / cm3, more preferably 5 M02 () / cm3 does not crystallize. The reason is as follows: in the case where it is not released even in the above-described processing temperature range, the rare gas element remains in the semiconductor film 1107, thereby preventing the crystallization of the semiconductor film 1 107. After the gettering method, the etched getter portion 1 1 07 is selected to remove the portion. As the etching method, wet etching using C1F3 without using a plasma or wet etching using an aqueous solution such as an aqueous solution containing hydrazine and tetraethylammonium hydroxide ((CH〇4N〇H)) may be performed. The barrier layer 1106 is used as an etch stop layer. Further, the barrier layer 1106 may be removed by hydrofluoric acid. Thus, as shown in Fig. 24F, a crystalline ruthenium film 11 〇 8 having a catalytic element concentration reduced to 1 M017/cm 3 or less can be obtained. The crystallization film obtained in this way is suitable for the Chinese National Standard (CNS) A4 specification (210X297 mm) ... -58- 1257688 A7 __B7 V. Invention description (5έ (Please read the back note first and then fill in this page) 1108 is formed into a thin rod-like or thin strip-like crystal due to the function of the catalytic element, and each crystal grows in a specific direction when viewed from a macroscopic perspective. This embodiment can be implemented with modes 1 and 2, and Example 1 - 5 Combination Example 6 In this example, a light-emitting panel manufactured by the manufacturing steps of the combination of Examples 1-5 as shown in Fig. 10B will be specifically described below as a light-emitting device with reference to Figs. 1 Α and 17 Β. The method of completion. 17A is a top view of a light-emitting panel in which the TFT substrate is hermetically sealed, and FIG. 17B is a cross-sectional view taken along line AA' of FIG. 17A. Reference numeral 80 1 denotes a source-side driving circuit and is indicated by a broken line; Reference numeral 802 denotes a pixel portion; reference numeral 803 denotes a gate side driving circuit: reference numeral 804 denotes a sealing substrate; and reference numeral 805 denotes a sealant. The inside surrounded by the sealing agent 805 is a space 807. For transmitting an input to the source The punch-through wiring (not shown) of the signal, video signal or clock signal of the side driver circuit 801 and the gate side driver circuit 803 is received from a flexible printed circuit (FPC) 809 as an external input terminal. Here, the FPC is connected to the light-emitting panel. In this specification, any component that directly mounts integrated circuits (ICs) by FPC is called a light-emitting device.

參照圖17B,下面將說明圖17A所示的發光面板的部 分結構。圖素部分802和驅動電路部分形成在基底810上 。圖素部分802由圖素構成,每個圖素包含電流控制TFT ,本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -59- 1257688 A7 B7 五、發明説明(5} 8 11和與電流控制TFT 8 11的汲極電連接的陽極8 1 2。驅 動電路部分由其中η通道TFT 813和p通道TFT 814互相 組合的CMOS電路構成。 在每個陽極8 1 2的的兩側形成築堤8 1 5。此後,在陽 極8 1 2上形成絕緣膜82 1、有機化合物層8 1 6和陰極8 1 7 ,形成發光元件8 1 8。 陰極8 1 7用做公用於所有圖素的接線,並藉由連接接 線808與FPC 809電連接。 由玻璃構成的密封基底804用密封劑805粘接到基底 810上。作爲密封劑805,較佳使用紫外線固化樹脂或熱 固樹脂。如果需要,爲了保持密封基底804和發光元件 8 1 8之間的間隔,可設置由樹脂膜構成的隔板。惰性氣體 如氮或稀有氣體塡充到由密封劑805包圍的空間807中。 希望密封劑805由水或氧的滲透性盡可能小的材料製成。 藉由將發光元件氣密地放入上述結構的空間807中, 發光元件可以完成與外部隔離。結果是,可以防止發光元 件由於從外部進入的水或氧而退化。因而,可製造具有高 可靠性的發光裝置。 本例的結構可藉由任意組合實施模式1、2及實施例 1-5的結構而實現。 實施例7Referring to Fig. 17B, a partial structure of the light-emitting panel shown in Fig. 17A will be described below. The pixel portion 802 and the driver circuit portion are formed on the substrate 810. The pixel portion 802 is composed of pixels, each of which contains a current control TFT, and the paper scale is applicable to the Chinese National Standard (CNS) A4 specification (210×297 mm) -59-1257688 A7 B7 5. Invention Description (5} 8 11 And an anode 8 1 2 electrically connected to the drain of the current controlling TFT 8 11. The driving circuit portion is constituted by a CMOS circuit in which the n-channel TFT 813 and the p-channel TFT 814 are combined with each other. On both sides of each anode 8 1 2 The embankment 8 15 is formed. Thereafter, an insulating film 82 1 , an organic compound layer 8 16 and a cathode 8 1 7 are formed on the anode 8 1 2 to form a light-emitting element 8 1 8 . The cathode 8 1 7 is used for all the pixels. The wiring is electrically connected to the FPC 809 by a connection wiring 808. The sealing substrate 804 made of glass is bonded to the substrate 810 with a sealant 805. As the sealing agent 805, an ultraviolet curing resin or a thermosetting resin is preferably used. It is necessary to provide a separator composed of a resin film in order to maintain a space between the sealing substrate 804 and the light-emitting element 818. An inert gas such as nitrogen or a rare gas is charged into the space 807 surrounded by the sealant 805. Agent 805 by water or oxygen The material is made of a material that is as small as possible. By placing the light-emitting element airtightly in the space 807 of the above structure, the light-emitting element can be isolated from the outside. As a result, it is possible to prevent the light-emitting element from entering water or oxygen from the outside. Further, it is possible to manufacture a light-emitting device having high reliability. The structure of this embodiment can be realized by implementing the configurations of modes 1, 2 and 1-5 in any combination.

圖18A更具體地顯示採用本發明製造的發光裝置的 圖素部分的頂面結構,圖18B顯示其電路圖。參考圖18A 3冬取張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁)Fig. 18A more specifically shows the top surface structure of the pixel portion of the light-emitting device manufactured by the present invention, and Fig. 18B shows the circuit diagram thereof. Refer to Figure 18A. 3 Winter Takeup Scale Applicable to China National Standard (CNS) A4 Specification (210X297 mm) (Please read the note on the back and fill out this page)

-60- 1257688 A7 B7 _ 五、發明説明(5¾ (請先閱讀背面之注意事項再填寫本頁) 和18B,開關TFT 704由圖10B中所示的開關(η通道) TFT 1002構成。因而,關於其結構,應該參考關於開關 (η通道)TFT 1002的說明。接線703是用於使開關TFT 704的閘極704a和704b互相電連接的閘極接線。 在本例中,採用其中形成兩個通道形成區的雙閘結構 。然而,也可以採用形成一個通道形成區的單閘結構或形 成三個通道形成區的三閘結構。 開關TFT704的源極與源極接線715連接,其汲極與 汲極接線705連接。汲極接線705電連接到電流控制TFT 706的閘極707。電流控制TFT 706由圖10B中的電流控 制(P通道)TFT 1003構成。因此,關於其結構,應該參 考關於開關(P通道)TFT 1 003的說明。本例中,採用單 閘結構。但是,也可以採用雙閘結構或三閘結構。 電流控制TFT 706的源極電連接到電流供應線716。 其汲極電連接到汲極接線717。汲極接線717電連接到又 虛線示出的陽極(圖素電極)718。 在這種情況下,在由參考標記719所示的區域中形成 保儲存存電容(電容)。電容7 19是藉由電連接到電流供 應線7 1 6的半導體膜720、與閘極絕緣膜相同的層形成的 絕緣膜(未示出)和閘極707形成的。由閘極707、形成 爲與第一中間層絕緣膜相同層的層(未示出)和電流供應 線7 1 6構成的電容可用做保存儲存電容。 本例的結構可藉由與實施模式1和2及實施例1 -6任 意組合而實現。 --本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -61 - 1257688 A7 _ B7 _ 五、發明説明(5^ 實施例8 顯示單元採用利用本發明製造的發光裝置的電子裝置 的例子如下:視頻相機;數位相機;護目鏡式顯示器(頭 部安裝顯示器):導航系統;聲音再生裝置(汽車音響、 聲音部件等);膝上型電腦;遊戲機;攜帶型資訊終端( 攜帶型電腦、行動電話、攜帶型遊戲機、電子書等);影 像再生裝置(具體爲:能處理記錄媒體如數位通用盤( DVD )中的資料並具有能顯示資料影像的顯示裝置的裝置 )。具有發光元件的發光裝置特別希望用於攜帶型資訊終 端,因爲其螢幕通常是被傾斜觀察的並需要具有寬的視角 。電子裝置的具體例子示於圖19A-19H中。 圖19A表示顯示裝置,它由殼2001、支持座2002、 顯示單元2003、揚聲器單元2004、視頻輸入單元2005等 -構成。本發明的發光裝置可用於顯示單元2003。具有發 光元件的發光裝置是自發光的,不需要背景光,因此可以 做成比液晶顯示裝置做的更薄的顯示單元。這種顯示裝置 包含用於顯示資訊的每個顯示裝置如用於個人電腦的、用 於接收TV廣播的和用於廣告的。 圖19B表示數位靜止相機,它由本體2101、顯示單 元2102、影像接收單元2103、操作鍵2104、外部連接埠 2105、快門2106等構成。藉由採用本發明形成的發光裝 置可用於顯示單元2102。 圖19C表示膝上型電腦,它由本體2201、殼2202、 冬②張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) •P等 、11 -62- 1257688 A7 _ B7_ 五、發明説明(& C#先閲讀背面之法意事項#填寫本寅) 顯示單元2203、鍵盤2204、外部連接埠2205、指標滑鼠 2206等構成。藉由利用本發明形成的發光裝置可用於顯 示單元2203。 圖19D表不攜帶型電腦,它由本體2301、顯示單元 2302、開關2303、操作鍵2304、紅外線埠2305等構成。 藉由利用本發明形成的發光裝置可用於顯示單元2 302。 圖19E表示備有記錄媒體(特定的DVD播放機)的 攜帶型影像再生裝置。該裝置由本體2401、殼2402、顯 示單元A2403、顯示單元B2404、記錄媒體(D VD )讀取 單元2405、操作鍵2406、揚聲器單元2407等構成。顯示 單元A2403主要顯示影像資訊,而顯示單元B 2404主要 顯示文本資訊。該攜帶型影像再生裝置是藉由採用本發明 的發光裝置作爲顯示單元A2403和B2404形成的。該備 有記錄媒體的影像再生裝置包含家用遊戲機。 圖19F表示護目鏡式顯示器(頭部式安裝顯示器), 它由本體2501、顯示單元2502、臂單元2503構成。藉由 利用本發明形成的發光裝置可用於顯示單元2 5 02。 圖19G表示視頻相機,它由本體2601、顯示單元 2602、殼2603、外部連接埠2604、遙控接收單元2605、 影像接收單元2606、電池2607、聲音輸入單元2608、操 作鍵2609等構成。藉由利用本發明形成的發光裝置可用 於顯示單元2602。 圖19H表示行動電話,它由本體2701、殼2702、顯 示單元2703、聲音輸入單元2704、聲音輸出單元2705、 冬拜張尺度適用中國國家標準(CNS ) A4規格(21〇X:297公釐) - 63 - 1257688 A7 _B7____ 五、發明説明(6i (請先閲讀背面之注意事項再填寫本頁) 操作鍵2706、外部連接埠2707、天線2708等構成。該行 動電話是藉由採用本發明的發光裝置作爲顯示單元2703 形成的。如果顯示單元2703在黑背景上顯示白色字元, 則可降低該行動電話的功耗。 如果將來提高了從有機材料發射的光的亮度,則具有 有機元件的發光裝置也可用在承載輸出影像資訊的光被透 鏡等放大以投射在螢幕上的正面或背面式投影儀中。 上面提出的電子裝置通常顯示藉由電子通信線如網際 網路和CATV (有線電視)分佈的資訊,特別是具有增加 頻率的活動資訊。由於有機材料具有快速回應速度,因此 具有發光元件的發光裝置適合於顯示活動資訊。 在發光裝置中,發光的部分消耗功率。因此,希望顯 示資訊以便盡可能小的部分發光。因而,如果發光裝置用 於主要顯示文本資訊的顯示單元如攜帶型資訊終端、特別 是行動電話和聲音再生裝置,希望分配發光部分顯示文本 資訊而不發光的部分用做背景。 如上所述,適用於本發明的發光裝置的應用範圍是很 寬的,每個領域的電子裝置都可以採用該裝置。本例中的 電子裝置可藉由採用執行實施模式1、2及實施例1-6所 示的方法製造的發光裝置而完成。 本發明包含採用檢測裝置檢測方法,和該檢測方法不 僅適合於具有發光元件的發光裝置(EL顯示器),而且 適合於使用利用半導體特性的半導體元件如液晶顯示裝置 (例如電晶體,特別是場效應電晶體;通常爲MOS電晶 丰f氏張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) i - 64 - 1257688 A7 —B7 五、發明説明(d 體和TFT)的所有電設備。 根據本發明的半導體裝置的製造方法中包含的檢測方 法,可以用非接觸方式將驅動電源和驅動訊號傳送給TFT 基底。因此,克服了習知接觸型檢測方法中係關於的如灰 塵附著於TFT基底並且由檢測裝置損傷TFT基底等問題 〇 此外,可以根據TFT的製造方法形成在包含在本發 明中的檢測方法中使用的在陣列基底上的二次線圈、整流 電路、和波形整形電路。因此,不需要增加製造TFT基 底的方法數量。 特別是,在製造EL顯示器的情況下,只需要在決定 TFT基底的品質之後製造發光元件。因此,不需要用昂貴 的材料在不適合於産品的TFT基底中形成發光元件,這 可以消除浪費和降低製造成本。 (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 味於張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -65--60- 1257688 A7 B7 _ V. Inventive Note (53⁄4 (please read the precautions on the back side to fill out this page) and 18B, the switching TFT 704 is composed of the switch (n-channel) TFT 1002 shown in Fig. 10B. Regarding the structure, reference should be made to the description about the switch (n-channel) TFT 1002. The wiring 703 is a gate wiring for electrically connecting the gates 704a and 704b of the switching TFT 704 to each other. In this example, two of them are formed therein. The double gate structure of the channel formation region. However, a single gate structure forming one channel formation region or a triple gate structure forming three channel formation regions may be employed. The source of the switching TFT 704 is connected to the source wiring 715, and the drain is The drain wiring 705 is connected. The drain wiring 705 is electrically connected to the gate 707 of the current controlling TFT 706. The current controlling TFT 706 is constituted by the current controlling (P channel) TFT 1003 in Fig. 10B. Therefore, regarding its structure, reference should be made to Description of the switch (P channel) TFT 1 003. In this example, a single gate structure is employed. However, a double gate structure or a triple gate structure may also be employed. The source of the current control TFT 706 is electrically connected to the current supply line 716. The drain is electrically connected to the drain connection 717. The drain connection 717 is electrically connected to the anode (pixel element) 718, shown in phantom. In this case, a storage is formed in the area indicated by reference numeral 719. Capacitor (capacitance) The capacitor 7 19 is formed by a semiconductor film 720 electrically connected to the current supply line 716, an insulating film (not shown) formed of the same layer as the gate insulating film, and a gate 707. A gate 707, a capacitor formed of a layer (not shown) which is the same layer as the first interlayer insulating film, and a current supply line 716 can be used to store the storage capacitor. The structure of this example can be achieved by implementing mode 1 and 2 and Embodiment 1 -6 can be achieved by any combination. - This paper scale applies Chinese National Standard (CNS) A4 specification (210X297 mm) -61 - 1257688 A7 _ B7 _ V. Invention description (5^ Example 8 display Examples of electronic devices using the light-emitting device manufactured by the present invention are as follows: video camera; digital camera; goggle-type display (head mounted display): navigation system; sound reproduction device (car audio, sound component, etc.); Computer; game machine; portable information terminal (portable computer, mobile phone, portable game machine, e-book, etc.); image reproduction device (specifically: capable of processing data in a recording medium such as a digital versatile disk (DVD) and A device having a display device capable of displaying a data image. A light-emitting device having a light-emitting element is particularly desirable for a portable information terminal because its screen is usually viewed obliquely and needs to have a wide viewing angle. Specific examples of the electronic device are shown in Figures 19A-19H. Fig. 19A shows a display device which is constituted by a case 2001, a holder 2002, a display unit 2003, a speaker unit 2004, a video input unit 2005, and the like. The light-emitting device of the present invention can be used for the display unit 2003. The light-emitting device having the light-emitting element is self-illuminating and does not require background light, so that it can be made thinner than the liquid crystal display device. Such a display device includes each display device for displaying information such as for a personal computer, for receiving a TV broadcast, and for advertising. Fig. 19B shows a digital still camera which is composed of a main body 2101, a display unit 2102, an image receiving unit 2103, operation keys 2104, an external port 2105, a shutter 2106, and the like. A light-emitting device formed by employing the present invention can be used for the display unit 2102. Fig. 19C shows a laptop computer which is applied to the Chinese National Standard (CNS) A4 specification (210X297 mm) by the body 2201, the shell 2202, and the winter scale. (Please read the back note first and then fill in the page) • P, etc. 11 - 62 - 1257688 A7 _ B7_ V. Description of the invention (&C# first read the back of the legal matter # fill this page) Display unit 2203, keyboard 2204, external connection 205 2205, indicator mouse 2206 and so on. A light-emitting device formed by using the present invention can be used for the display unit 2203. Fig. 19D shows a portable computer which is composed of a main body 2301, a display unit 2302, a switch 2303, an operation key 2304, an infrared ray 2305, and the like. A light-emitting device formed by using the present invention can be used for the display unit 2 302. Fig. 19E shows a portable video reproduction device provided with a recording medium (a specific DVD player). The apparatus is composed of a main body 2401, a casing 2402, a display unit A2403, a display unit B2404, a recording medium (D VD ) reading unit 2405, an operation key 2406, a speaker unit 2407, and the like. The display unit A2403 mainly displays image information, and the display unit B 2404 mainly displays text information. The portable image reproducing device is formed by using the light-emitting device of the present invention as the display units A2403 and B2404. The image reproducing apparatus provided with the recording medium includes a home game machine. Fig. 19F shows a goggle type display (head mounted display) which is composed of a main body 2501, a display unit 2502, and an arm unit 2503. A light-emitting device formed by using the present invention can be used for the display unit 205. Fig. 19G shows a video camera which is composed of a main body 2601, a display unit 2602, a casing 2603, an external connection port 2604, a remote control receiving unit 2605, an image receiving unit 2606, a battery 2607, a sound input unit 2608, an operation key 2609, and the like. A light-emitting device formed by using the present invention can be used for the display unit 2602. Fig. 19H shows a mobile phone which is applied by the main body 2701, the casing 2702, the display unit 2703, the sound input unit 2704, the sound output unit 2705, and the winter bar scale to the Chinese National Standard (CNS) A4 specification (21 X: 297 mm). - 63 - 1257688 A7 _B7____ V. Description of the invention (6i (please read the precautions on the back and fill out this page). Operation key 2706, external connection 707 2707, antenna 2708, etc. The mobile phone is illuminated by the use of the present invention. The device is formed as the display unit 2703. If the display unit 2703 displays a white character on a black background, the power consumption of the mobile phone can be reduced. If the brightness of light emitted from the organic material is increased in the future, the light having the organic element The device can also be used in a front or back projector that carries light that outputs image information and is magnified by a lens or the like to be projected on the screen. The electronic device proposed above is usually displayed by an electronic communication line such as the Internet and CATV (Cable TV). Distributed information, especially activity information with increased frequency. Due to the fast response speed of organic materials, it has luminescence The illuminating device of the component is adapted to display activity information. In the illuminating device, the illuminating portion consumes power. Therefore, it is desirable to display information so as to emit light as small as possible. Thus, if the illuminating device is used for a display unit that mainly displays text information, such as carrying The type of information terminal, particularly the mobile phone and the sound reproducing device, wants to distribute the portion in which the light-emitting portion displays the text information without emitting light as the background. As described above, the application range of the light-emitting device applicable to the present invention is wide, each The device can be used in electronic devices of the field. The electronic device in this example can be completed by using a light-emitting device manufactured by performing the methods shown in Embodiment Modes 1, 2 and Embodiments 1-6. The present invention includes detecting by using a detecting device The method, and the detection method are not only suitable for a light-emitting device (EL display) having a light-emitting element, but also suitable for using a semiconductor element utilizing semiconductor characteristics such as a liquid crystal display device (for example, a transistor, particularly a field effect transistor; usually MOS) Jingfeng F's Zhang scale is applicable to China National Standard (CNS) A4 specification ( 210-297 mm) i - 64 - 1257688 A7 - B7 V. All electrical equipment of the invention (d body and TFT). According to the detection method included in the manufacturing method of the semiconductor device of the present invention, the driving power source can be driven in a non-contact manner And the driving signal is transmitted to the TFT substrate. Therefore, the problem of the conventional contact type detecting method such as dust adhering to the TFT substrate and damaging the TFT substrate by the detecting device is overcome, and further, it can be formed in accordance with the manufacturing method of the TFT. The secondary coil, the rectifying circuit, and the waveform shaping circuit on the array substrate used in the detecting method in the present invention. Therefore, it is not necessary to increase the number of methods of manufacturing the TFT substrate. In particular, in the case of manufacturing an EL display, it is only necessary to manufacture a light-emitting element after determining the quality of the TFT substrate. Therefore, it is not necessary to form a light-emitting element in a TFT substrate which is not suitable for a product with an expensive material, which can eliminate waste and reduce manufacturing cost. (Please read the notes on the back and fill out this page.) Printed by the Ministry of Economic Affairs, Intellectual Property Bureau, Staff and Consumers Co., Ltd. Printed on the scale of China's national standard (CNS) A4 specification (210X297 mm) -65-

Claims (1)

1257688 A8 B8 C8 ______D8 六、申請專利範圍 1 1·一種半導體裝置之製造方法,包含: 在基底上提供包含多個半導體元件的電路; (請先閲讀背面之注意事項再填寫本頁) 在基底上提供至少一個二次線圈,其中至少一個二次 線圈與該電路電連接; 藉由施加磁場以在至少一個二次線圏中感應電壓,以 使電流流過該電路的至少一部分; 測量產生在電路中至少一部分的電場,以測試該電路 之操作。 2·如申請專利範圍第i項之半導體裝置之製造方法, 其中該電路包含薄膜電晶體。 3·如申請專利範圍第1項之半導體裝置之製造方法, 其中電場是利用泡克耳斯材料(Pockels ceu )測量的。 4. 如申請專利範圍第1項之半導體裝置之製造方法, 進一步包含將半導體裝置安裝到電子裝置中,該電子裝置 選自由視頻相機、數位相機、護目鏡式顯示器、導航系統 、聲音再生裝置、膝上電腦、遊戲機構、攜帶型資訊終端 、影像再生裝置構成的組。 經濟部智慧財產局員工消費合作社印製 5. 如申請專利範圍第丨項之半導體裝置之製造方法, 進一步包含使電路與至少一個二次線圈電分離。 6. —種半導體裝置之製造方法,包含·: 在基底上提供包含多個半導體元件的電路; 在基底上提供至少一個二次線圈,其中至少一個二次 線圈與該電路電連接; 藉由施加磁場,以在至少一個二次線圈中感應電壓, 張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -66 - 1257688 A8 B8 C8 D8 六、申請專利範圍 2 經濟部智慧財產局員工消費合作社印製 藉此電流流過該電路的至少一部分; 測量在電路的至少一部分産生的電磁波,以測試電路 操作。 7·如申請專利範圍第6項之半導體裝置之製造方法, 其中該電路包含薄膜電晶體。 8.如申請專利範圍第.6項之半導體裝置之製造方法, 其中電場是利用天線測量的。 9·如申請專利範圍第6項之半導體裝置之製造方法, 進一步包含將半導體裝置安裝到電子裝置中,該電子裝置 選自由視頻相機、數位相機、護目鏡式顯示器、導航系統 、聲音再生裝置、膝上電腦、遊戲機構、攜帶型資訊終端 、影像再生裝置構成的組。 10. 如申請專利範圍第6項之半導體裝置之製造方法 ,進一步包含使電路與至少一個二次線圈電分離。 11. 一種半導體裝置之製造方法,包含: 在基底上提供包含多個半導體元件的電路; 在基底上提供至少一個二次線圈,其中至少一個二次 線圈與該電路電連接; 在電路和至少一個二次線圈之間提供整流電路; 藉由施加磁場,以在至少一個二次線圈中感應電壓, 藉此電流從至少一個二次線圈經過整流電路流到電路; 測量在電路的至少一部分産生的電場,以測試電路操 作。 12·如申請專利範圍第11項之半導體裝置之製造方法 張尺度適用中國國家標率(CNS ) Α4規格(210Χ297公釐) ---------- (請先閲讀背面之注意事項再填寫本頁} p Γ Ψ -67- 1257688 A8 B8 C8 ----____D8 ____ 六、申請專利範圍 3 ’其中該電路包含薄膜電晶體。 1 3 ·如申請專利範圍第丨丨項之半導體裝置之製造方法 其中電場是利用PockeIs cell測量的。 (請先閲讀背面之注意事項再填寫本頁) 14·如申請專利範圍第丨丨項之半導體裝置之製造方法 ’進一步包含將半導體裝置安裝到電子裝置中,該電子裝 置選自由視頻相機、數位相機、護目鏡式顯示器、導航系 統、聲音再生裝置、膝上電腦、遊戲機構、攜帶型資訊終 端、影像再生裝置構成的組。 15 ·如申請專利範圍第11項之半導體裝置之製造方法 ’其中該整流電路形成電源電壓。 16.如申請專利範圍第π項之半導體裝置之製造方法 ’其中整流電路包含二極體、電容和電阻。 17·如申請專利範圍第π項之半導體裝置之製造方法 ’進一步包含使電路與至少一個二次線圈電分離。 18. —種半導體裝置之製造方法,包含: 在基底上提供包含多個半導體元件的電路; 經濟部智慧財產局員工消費合作社印製 在基底上提供至少一個二次線圈,其中至少一個二次 線圏與該電路電連接; 在電路和至少一個二次線圈之間提供整流電路; 藉由施加磁場,以在至少一個二次線圈中感應電壓, 藉此電流從至少一個二次線圈經過整流電路流到電路; 測量在電路的至少一部分産生的電磁波,以測試電路 操作。 . 19. 如申請專利範圍第18項之半導體裝置之製造方法 -68- 3你張尺度適用中國國家梂準(CNS ) A4規格(2l〇X斯公羡) 1257688 A8 B8 C8 D8 六、申請專利範圍 4 ,其中該電路包含薄膜電晶體。 20·如申請專利範圍第1 8項之半導體裝置之製造方法 ,其中電場是利用天線測量的。 (請先閲讀背面之注意事項再填寫本頁) 21. 如申請專利範圍第18項之半導體裝置之製造方法 ,進一步包含將半導體裝置安裝到電子裝置中,該電子裝 置選自由視頻相機、數位相機、護目鏡式顯示器、導航系 統、聲音再生裝置、膝上電腦、遊戲機構、攜帶型資訊終 端、影像再生裝置構成的組。 22. 如申請專利範圍第18項之半導體裝置之製造方法 ,其中整流電路形成電源電壓。 23 _如申請專利範圍第1 8項之半導體裝置之製造方法 ,其中整流電路包含二極體、電容和電阻。 24.如申請專利範圍第1 8項之半導體裝置之製造方法 ,進一步包含使電路與至少一個二次線圈電分離。 25·—種半導體裝置之製造方法,包含: 在基底上提供包含多個半導體元件的電路; 在基底上提供至少一個二次線圏,其中至少一個二次 線圈與該電路電連接; 經濟部智慧財產局員工消費合作社印製 在電路和至少一個二次線圈之間提供波形整形電路; 藉由施加磁場,以在至少一個二次線圈中感應電壓, 藉此電流從至少一個二次線圈經過波形整形電路流到該電 路; 測量在電路的至少一部分産生的電場,以測試電路操 作。 3象張尺度適用中國國家梂準(CNS ) A4規格(210X297公釐) -69- A8 B8 C8 D8 1257688 六、申請專利範圍 5 26·如申請專利範圍第25項之半導體裝置之製造方法 ,其中該電路包含薄.膜電晶體。 27·如申請專利範圍第25項之半導體裝置之製造方法 ,其中電場是利用Pockels celi測量的。 28·如申請專利範圍第25項之半導體裝置之製造方法 ,進一步包含將半導體裝置安裝到電子裝置中,該電子裝 置選自由視頻相機、數位相機、護目鏡式顯示器、導航系 統、聲音再生裝置、膝上電腦、遊戲機構、攜帶型資訊終 端、影像再生裝置構成的組。 29.如申請專利範圍第25項之半導體裝置之製造方法 ,其中波形整形電路形成一驅動訊號,該驅動訊號選自由 時鐘訊號、啓始脈衝訊號和視頻訊號構成之組。 30·如申請專利範圍第25項之半導體裝置之製造方法 ,其中波形整形電路包含電容和電阻。 31.如申請專利範圍第25項之半導體裝置之製造方法 ,進一步包含使電路與至少一個二次線圈電分離。 32·—種半導體裝置之製造方法,包含: 在基底上提供包含多個半導體元件的電路; 在基底上提供至少一個二次線圈,其中至少一個二次 線圈與該電路電連接; 在電路和至少一個二次線圈之間提供波形整形電路; 藉由施加磁場,以在至少一個二次線圈中感應電壓, 藉此電流從至少一個二次線圈經過波形整形電路流到該電 路; 3多典張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合作社印製 -70- 1257688 A8 B8 C8 ___ D8 六、申請專利範圍 6 測量在電路的至少一部分産生的電磁波,以測試電路 操作。 (請先閲讀背面之注意事項再填寫本頁} 33. 如申請專利範圍第32項之半導體裝置之製造方法 ’其中該電路分別包含薄膜電晶體。 34. 如申請專利範圍第32項之半導體裝置之製造方法 ’其中電場是利用天線測量的。 3 5.如申請專利範圍第32項之半導體裝置之製造方法 ’進一步包含將半導體裝置安裝到電子裝置中,該電子裝 置選自由視頻相機、數位相機、護目鏡式顯示器、導航系 統、聲音再生裝置、膝上電腦、遊戲機構、攜帶型資訊終 端、影像再生裝置構成的組。 3 6·如申請專利範圍第32項之半導體裝置之製造方法 ’其中波形整形電路形成一驅動訊號,該驅動訊號選自由 時鐘訊號 '啓始脈衝訊號和視頻訊號構成的組。 37·如申請專利範圍第32項之半導體裝置之製造方法 ’其中波形整形電路包含電容和電阻。 經濟部智慧財產局員工消費合作社印製 38.如申請專利範圍第32項之半導體裝置之製造方法 ’進一步包含使電路與至少一個二次線圏電分離。 39·—種顯示裝置之製造方法,包含: 在基底上提供驅動電路和圖素電路,’其中驅動電路和 圖素電路每個包含多個半導體元件; 在基底上提供至少一個二次線圈,其中至少一個二次 線圈與驅動電路和圖素電路電連接; · 藉由施加磁場,以在至少一個二次線圈中感應電壓, 3参#張尺度適用中國國家揲準(CNS ) A4規格(210X297公釐) -71 - 1257688 A8 B8 C8 D8 六、申請專利範圍 7 藉此電流流過驅動電路和圖素電路的至少一個; 測量在驅動電路或圖素電路的至少一部分産生的繩;_ ,以測試驅動電路和圖素電路的至少一個的操作。 40.如申請專利範圍第39項之顯示裝置之製造方法, 其中驅動電路和圖素電路每個分別包含至少一個薄膜電晶 mm 體。 41·如申請專利範圍第39項之顯示裝置之製造方法, 其中電場是利用Pockels cell測量的。 42·如申請專利範圍第39項之顯示裝置之製造方法, 進一步包含將半導體裝置安裝到電子裝置中,該電子裝置 選自由視頻相機、數位相機、護目鏡式顯示器、導航系統 、聲音再生裝置、膝上電腦、遊戲機構、攜帶型資訊終端 、影像再生裝置構成的組。 43.如申請專利範圍第39項之顯示裝置之製造方法, 進一步包含使至少一個二次線圈與驅動電路和圖素電路電 分離。 44· 一種顯示裝置之製造方法,包含: 在基底上提供驅動電路和圖素電路,其中驅動電路和 圖素電路每個包含多個半導體元件; 在基底上提供至少一個二次線圏,其中至少一個二次 線圈與驅動電路和圖素電路電連接; 藉由施加磁場’以在至少一個二次線圏中感應電壓, 藉此電流流過驅動電路和圖素電路的至少一個;· 測量在驅動電路或圖素電路的至少一部分産生的電磁 3難張尺度適用中國國家梂準(CNS ) A4規格(210X297公釐) --------_裝-- (請先閲讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合作社印製 -72- 1257688 A8 B8 C8 D8 六、申請專利範圍 8 波,以測試驅動電路和圖素電路的至少〜個的操作。 (請先閲讀背面之注意事項再填寫本頁) 45 ·如申請專利範圍第44項之顯示裝置之製造方法, 其中驅動電路和圖素電路每個分別包含至少一個薄膜電晶 體0 πϋ 46.如申請專利範圍第44項之顯示裝置之製造方法, 其中電場是利用天線測量的。 47 ·如申請專利範圍第44項之顯示裝置之製造方法, 進一步包含將半導體裝置安裝到電子裝置中,該電子裝置 選自由視頻相機、數位相機、護目鏡式顯示器、導航系統 、聲音再生裝置、膝上電腦、遊戲機構、攜帶型資訊終端 、影像再生裝置構成的組。 4 8 ·如申請專利範圍第44項之顯示裝置之製造方法, 進一步包含使至少一個二次線圏與驅動電路和圖素電路電 分離。 49. 一種顯不裝置之製造方法,包含: 在基底上提供驅動電路和圖素電路,其中驅動電路和 圖素電路每個包含多個半導體元件; 經濟部智慧財產局員工消費合作社印製 在基底上提供至少一個二次線圈,其中至少一個二次 線圈與驅動電路和圖素電路電連接; 在驅動電路和二次線圏之間或在圖素電路和二次線圈 之間提供整流電路和波形整形電路的至少一個; 藉由施加磁場,以在至少一個二次線圈中感應電壓, 藉此電流從至少一個二次線圈經過整流電路和波形整形電 路的至少一個流到驅動電路和圖素電路的至少一個; 本紙張尺度適用中國國家標準(CNS) Α4規格(210X297公釐) : ' 1257688 A8 B8 C8 D8 、申請專利範圍 9 測量在驅動電路或圖素電路的至少一部分産生的電_ ’以測試驅動電路和.圖素電路的至少一個的操作。 (請先閲讀背面之注意事項再填寫本頁} 50. 如申請專利範圍第49項之顯示裝置之製造方法, 其中驅動電路和圖素電路每個分別包含至少一個薄膜電曰曰曰 體。 51. 如申請專利範圍第49項之顯示裝置之製造方法, 其中電場是利用PockeIs cell測量的。 52. 如申請專利範圍第49項之顯示裝置之製造方法, 進一步包含將半導體裝置安裝到電子裝置中,該電子裝置 選自由視頻相機、數位相機、護目鏡式顯示器、導航系統 、聲音再生裝置、膝上電腦、遊戲機構、攜帶型資訊終端 、影像再生裝置構成的組。 53·如申請專利範圍第49項之顯示裝置之製造方法, 其中整流電路包含二極體、電容和電阻。 54、 如申請專利範圍第49項之顯示裝置之製造方法 ,其中波形整形電路包含電容和電阻。 55、 如申請專利範圍第49項之顯示裝置之製造方法 ,其中整流電路形成電源電壓。 經濟部智慧財產局員工消費合作社印製 56、 如申請專利範圍第49項之顯示裝置之製造方法 ,其中波形整形電路形成一驅動訊號,該驅動訊號選自由 時鐘訊號、啓始脈衝訊號和視頻訊號構成的組。 57、 如申請專利範圍第49項之顯示裝置之製造方法 ,進一步包含使至少一個二次線圈與驅動電路和圖素電路 電分離。 -74- 表氣張尺度逍用中國國家標準(CNS ) A4规格(210X297公釐) 1257688 A8 B8 C8 D8 六、申請專利範圍 58、 一種顯示裝置之製造方法,包含: (請先閲讀背面之注意事項再填寫本頁) 在基底上提供驅動電路和圖素電路,其中驅動電路和 圖素電路每個包含多個半導體元件; 在基底上提供至少一個二次線圈,其中至少一個二次 線圈與驅動電路和圖素電路電連接; 在驅動電路和二次線圈之間或在圖素電路和二次線圈 之間提供整流電路和波形整形電路的至少一個; 藉由施加磁場,以在至少一個二次線圏中感應電壓, 藉此電流從至少一個二次線圈經過整流電路和波形整形電 路的至少一個流到驅動電路和圖素電路的至少一個; 測量在驅動電路或圖素電路的至少一部分産生的電磁 波,以測試驅動電路和圖素電路的至少一個的操作。 59、 如申請專利範圍第58項之顯示裝置之製造方法 ,其中驅動電路和圖素電路每個分別包含至少一個薄膜電 晶體。 6〇、如申請專利範圍第58項之顯示裝置之製造方法 ,其中電場是利用天線測量的。 經濟部智慧財產局員工消費合作社印製 61、如申請專利範圍第58項之顯示裝置之製造方法 ,進一步包含將半導體裝置安裝到電子裝置中,該電子裝 置選自由視頻相機、數位相機、護目鏡式顯示器、導航系 統、聲音再生裝置、膝上電腦、遊戲機構、攜帶型資訊終 端、影像再生裝置構成的組。 6 2、如申請專利範圍第5 8項之顯示裝置之製造方法 ,其中整流電路包含二極體、電容和電阻。 -75- 24紙張尺度適用中國國家標準(CNS ) A4規格(210x297公羡)1257688 A8 B8 C8 ______D8 VI. Patent Application 1 1. A method of manufacturing a semiconductor device, comprising: providing a circuit including a plurality of semiconductor elements on a substrate; (Please read the back note and then fill in the page) on the substrate Providing at least one secondary coil, wherein at least one secondary coil is electrically connected to the circuit; applying a magnetic field to induce a voltage in the at least one secondary winding to cause current to flow through at least a portion of the circuit; the measuring is generated in the circuit At least a portion of the electric field to test the operation of the circuit. 2. The method of fabricating a semiconductor device according to claim i, wherein the circuit comprises a thin film transistor. 3. The method of fabricating a semiconductor device according to claim 1, wherein the electric field is measured using a Pockels ceu material. 4. The method of manufacturing a semiconductor device according to claim 1, further comprising mounting the semiconductor device in an electronic device selected from the group consisting of a video camera, a digital camera, a goggle type display, a navigation system, a sound reproduction device, A group consisting of a laptop computer, a game organization, a portable information terminal, and a video reproduction device. Printed by the Intellectual Property Office of the Ministry of Economic Affairs, Employees' Consumer Cooperatives 5. The method of manufacturing a semiconductor device according to the scope of the patent application, further comprising electrically isolating the circuit from the at least one secondary coil. 6. A method of fabricating a semiconductor device, comprising: providing a circuit including a plurality of semiconductor elements on a substrate; providing at least one secondary coil on the substrate, wherein at least one secondary coil is electrically connected to the circuit; Magnetic field to induce voltage in at least one secondary coil, the scale is applicable to China National Standard (CNS) A4 specification (210X297 mm) -66 - 1257688 A8 B8 C8 D8 VI. Patent application scope 2 Ministry of Economic Affairs Intellectual Property Office staff consumption The cooperative prints current through at least a portion of the circuit; measures electromagnetic waves generated in at least a portion of the circuit to test circuit operation. 7. The method of fabricating a semiconductor device according to claim 6, wherein the circuit comprises a thin film transistor. 8. The method of fabricating a semiconductor device according to claim 6, wherein the electric field is measured using an antenna. 9. The method of manufacturing a semiconductor device according to claim 6, further comprising mounting the semiconductor device in an electronic device selected from the group consisting of a video camera, a digital camera, a goggle type display, a navigation system, a sound reproduction device, A group consisting of a laptop computer, a game organization, a portable information terminal, and a video reproduction device. 10. The method of fabricating a semiconductor device according to claim 6, further comprising electrically isolating the circuit from the at least one secondary coil. 11. A method of fabricating a semiconductor device, comprising: providing a circuit including a plurality of semiconductor elements on a substrate; providing at least one secondary coil on the substrate, wherein at least one secondary coil is electrically connected to the circuit; at the circuit and at least one Providing a rectifying circuit between the secondary coils; applying a magnetic field to induce a voltage in the at least one secondary coil, whereby the current flows from the at least one secondary coil through the rectifying circuit to the circuit; measuring an electric field generated in at least a portion of the circuit To test the circuit operation. 12. The manufacturing method of the semiconductor device as claimed in item 11 of the patent application is applicable to the Chinese National Standard Rate (CNS) Α4 specification (210Χ297 mm) ---------- (Please read the notes on the back first) Fill in this page} p Γ Ψ -67- 1257688 A8 B8 C8 ----____D8 ____ VI. Patent application scope 3 'This circuit contains a thin film transistor. 1 3 · Semiconductor device as claimed in the scope of application The manufacturing method is that the electric field is measured by using a PockeIs cell. (Please read the note on the back side and then fill out this page.) 14· The manufacturing method of the semiconductor device as claimed in the second paragraph of the patent application' further includes mounting the semiconductor device to the electronic device. In the device, the electronic device is selected from the group consisting of a video camera, a digital camera, a goggle type display, a navigation system, a sound reproduction device, a laptop computer, a game organization, a portable information terminal, and an image reproduction device. The manufacturing method of the semiconductor device of the eleventh aspect, wherein the rectifier circuit forms a power supply voltage. 16. As claimed in the πth item A method of manufacturing a conductor device, wherein the rectifier circuit comprises a diode, a capacitor, and a resistor. 17. The method of manufacturing a semiconductor device according to the scope of claim π further includes electrically separating the circuit from the at least one secondary coil. A method of manufacturing a semiconductor device, comprising: providing a circuit including a plurality of semiconductor elements on a substrate; the Ministry of Economic Affairs, the Intellectual Property Office employee consumption cooperative, printing on the substrate to provide at least one secondary coil, wherein at least one secondary coil a circuit electrically connecting; providing a rectifying circuit between the circuit and the at least one secondary coil; applying a magnetic field to induce a voltage in the at least one secondary coil, whereby the current flows from the at least one secondary coil through the rectifying circuit to the circuit; Measuring the electromagnetic wave generated in at least a part of the circuit to test the circuit operation. 19. The manufacturing method of the semiconductor device according to claim 18 of the patent scope - 68 - 3 applies to the Chinese National Standard (CNS) A4 specification (2l 〇X斯公羡) 1257688 A8 B8 C8 D8 VI. Application for patent scope 4, where The circuit comprises a thin film transistor. 20. The method of manufacturing a semiconductor device according to claim 18, wherein the electric field is measured by an antenna. (Please read the note on the back side and then fill in the page) 21. The method of manufacturing a semiconductor device according to Item 18, further comprising installing the semiconductor device in the electronic device, the electronic device being selected from the group consisting of a video camera, a digital camera, a goggle type display, a navigation system, a sound reproduction device, a laptop computer, and a game mechanism A group consisting of a portable information terminal and a video reproduction device. 22. The method of fabricating a semiconductor device according to claim 18, wherein the rectifier circuit forms a power supply voltage. A method of manufacturing a semiconductor device according to claim 18, wherein the rectifier circuit comprises a diode, a capacitor, and a resistor. 24. The method of fabricating a semiconductor device according to claim 18, further comprising electrically isolating the circuit from the at least one secondary coil. A method of manufacturing a semiconductor device, comprising: providing a circuit including a plurality of semiconductor elements on a substrate; providing at least one secondary wire on the substrate, wherein at least one secondary coil is electrically connected to the circuit; The property bureau employee consumption cooperative prints a waveform shaping circuit between the circuit and the at least one secondary coil; by applying a magnetic field to induce a voltage in at least one secondary coil, whereby the current is waveform shaped from the at least one secondary coil A circuit flows to the circuit; an electric field generated in at least a portion of the circuit is measured to test circuit operation. 3 Image scale applies to China National Standard (CNS) A4 specification (210X297 mm) -69- A8 B8 C8 D8 1257688 VI. Patent application scope 5 26 · The manufacturing method of the semiconductor device according to claim 25, wherein The circuit comprises a thin film transistor. 27. The method of fabricating a semiconductor device according to claim 25, wherein the electric field is measured using Pockels celi. 28. The method of fabricating a semiconductor device according to claim 25, further comprising mounting the semiconductor device in an electronic device selected from the group consisting of a video camera, a digital camera, a goggle type display, a navigation system, a sound reproduction device, A group consisting of a laptop computer, a game organization, a portable information terminal, and a video reproduction device. 29. The method of fabricating a semiconductor device according to claim 25, wherein the waveform shaping circuit forms a driving signal selected from the group consisting of a clock signal, a start pulse signal, and a video signal. 30. The method of fabricating a semiconductor device according to claim 25, wherein the waveform shaping circuit comprises a capacitor and a resistor. 31. The method of fabricating a semiconductor device of claim 25, further comprising electrically isolating the circuit from the at least one secondary coil. 32. A method of fabricating a semiconductor device, comprising: providing a circuit including a plurality of semiconductor elements on a substrate; providing at least one secondary coil on the substrate, wherein at least one secondary coil is electrically connected to the circuit; Providing a waveform shaping circuit between the secondary coils; applying a magnetic field to induce a voltage in the at least one secondary coil, whereby the current flows from the at least one secondary coil through the waveform shaping circuit to the circuit; Applicable to China National Standard (CNS) A4 specification (210X297 mm) (Please read the note on the back and fill out this page) Order the Ministry of Economic Affairs Intellectual Property Bureau Staff Consumer Cooperative Print-70- 1257688 A8 B8 C8 ___ D8 VI. Application Patent Range 6 measures electromagnetic waves generated in at least a portion of a circuit to test circuit operation. (Please read the note on the back side and then fill out this page.) 33. The method of manufacturing a semiconductor device according to claim 32, wherein the circuit comprises a thin film transistor. 34. The semiconductor device according to claim 32 The manufacturing method of the invention, wherein the electric field is measured by an antenna. 3 5. The method of manufacturing a semiconductor device according to claim 32, further comprising mounting the semiconductor device into the electronic device, the electronic device being selected from the group consisting of a video camera and a digital camera. , a goggle-type display, a navigation system, a sound reproduction device, a laptop computer, a game organization, a portable information terminal, and a video reproduction device. 3 6. A method of manufacturing a semiconductor device as claimed in claim 32 The waveform shaping circuit forms a driving signal, and the driving signal is selected from the group consisting of a clock signal 'starting pulse signal and a video signal. 37. The manufacturing method of the semiconductor device according to claim 32, wherein the waveform shaping circuit comprises a capacitor and Resistance. Ministry of Economic Affairs, Intellectual Property Bureau, Staff Consumer Cooperatives, Printing 38. The method of manufacturing a semiconductor device according to claim 32, further comprising: electrically separating the circuit from the at least one secondary line. 39. A method of manufacturing a display device, comprising: providing a driving circuit and a pixel circuit on a substrate , wherein the driving circuit and the pixel circuit each comprise a plurality of semiconductor elements; at least one secondary coil is provided on the substrate, wherein at least one secondary coil is electrically connected to the driving circuit and the pixel circuit; · by applying a magnetic field, Inductive voltage is induced in at least one secondary coil, and the reference is applicable to China National Standard (CNS) A4 specification (210X297 mm) -71 - 1257688 A8 B8 C8 D8 VI. Patent application scope 7 At least one of a circuit and a pixel circuit; measuring a rope generated in at least a portion of the driver circuit or the pixel circuit; _ to test operation of at least one of the driver circuit and the pixel circuit. 40. A manufacturing method of a display device, wherein the driving circuit and the pixel circuit each comprise at least one thin film electro-crystal mm body, respectively. The method of manufacturing a display device according to claim 39, wherein the electric field is measured by a Pockels cell. 42. The method of manufacturing the display device of claim 39, further comprising installing the semiconductor device into the electronic device, The electronic device is selected from the group consisting of a video camera, a digital camera, a goggle type display, a navigation system, a sound reproduction device, a laptop computer, a game organization, a portable information terminal, and an image reproduction device. The manufacturing method of the display device further includes electrically separating the at least one secondary coil from the driving circuit and the pixel circuit. 44. A method of manufacturing a display device, comprising: providing a driving circuit and a pixel circuit on a substrate, wherein the driving circuit And the pixel circuits each comprise a plurality of semiconductor elements; at least one secondary line 提供 is provided on the substrate, wherein at least one secondary coil is electrically connected to the driving circuit and the pixel circuit; by applying a magnetic field to at least one time A voltage is induced in the coil, whereby current flows through the driving circuit and the pixel circuit At least one; · Measure the electromagnetic 3 hard-to-tension scale generated in at least part of the drive circuit or the pixel circuit. Applicable to China National Standard (CNS) A4 specification (210X297 mm) --------_装-- ( Please read the notes on the back and then fill out this page. Ordering the Ministry of Economic Affairs, Intellectual Property Bureau, Staff Consumer Cooperatives, Printing - 72- 1257688 A8 B8 C8 D8 VI. Applying for a patent range of 8 waves to test the drive circuit and the pixel circuit at least ~ Operation. The method of manufacturing the display device of claim 44, wherein the driving circuit and the pixel circuit each comprise at least one thin film transistor 0 π ϋ 46. A method of manufacturing a display device of claim 44, wherein the electric field is measured using an antenna. 47. The method of manufacturing a display device of claim 44, further comprising mounting the semiconductor device in an electronic device selected from the group consisting of a video camera, a digital camera, a goggle type display, a navigation system, a sound reproduction device, A group consisting of a laptop computer, a game organization, a portable information terminal, and a video reproduction device. The manufacturing method of the display device of claim 44, further comprising electrically separating the at least one secondary winding from the driving circuit and the pixel circuit. 49. A method of manufacturing a display device, comprising: providing a driving circuit and a pixel circuit on a substrate, wherein the driving circuit and the pixel circuit each comprise a plurality of semiconductor components; the Ministry of Economic Affairs Intellectual Property Office employee consumption cooperative printed on the substrate Providing at least one secondary coil, wherein at least one secondary coil is electrically connected to the driving circuit and the pixel circuit; providing a rectifier circuit and a waveform between the driving circuit and the secondary winding or between the pixel circuit and the secondary coil At least one of shaping circuits; by applying a magnetic field to induce a voltage in at least one secondary coil, whereby current flows from at least one secondary coil through at least one of the rectifier circuit and the waveform shaping circuit to the driving circuit and the pixel circuit At least one; This paper scale applies to the Chinese National Standard (CNS) Α4 specification (210X297 mm): ' 1257688 A8 B8 C8 D8, patent scope 9 measures electricity generated in at least part of the drive circuit or pixel circuit _ 'test The operation of at least one of the drive circuit and the pixel circuit. 50. The method of manufacturing the display device of claim 49, wherein the driving circuit and the pixel circuit each comprise at least one thin film electrical body, respectively. The manufacturing method of the display device of claim 49, wherein the electric field is measured by using a PockeIs cell. 52. The method of manufacturing the display device of claim 49, further comprising installing the semiconductor device into the electronic device The electronic device is selected from the group consisting of a video camera, a digital camera, a goggle type display, a navigation system, a sound reproduction device, a laptop computer, a game organization, a portable information terminal, and an image reproduction device. A method of manufacturing a display device according to the item 49, wherein the rectifier circuit comprises a diode, a capacitor, and a resistor. 54. A method of manufacturing a display device according to claim 49, wherein the waveform shaping circuit comprises a capacitor and a resistor. The manufacturing method of the display device of claim 49, wherein the rectifier circuit forms a power source 56. The method of manufacturing a display device according to claim 49, wherein the waveform shaping circuit forms a driving signal selected from the group consisting of a clock signal, a start pulse signal, and the like. 57. A method of manufacturing a display device according to claim 49, further comprising electrically separating at least one secondary coil from the driving circuit and the pixel circuit. -74- National Standard (CNS) A4 Specification (210X297 mm) 1257688 A8 B8 C8 D8 VI. Patent Application Area 58. A manufacturing method for a display device, including: (Please read the note on the back and then fill in this page) Provide on the substrate a driving circuit and a pixel circuit, wherein the driving circuit and the pixel circuit each comprise a plurality of semiconductor elements; at least one secondary coil is provided on the substrate, wherein at least one secondary coil is electrically connected to the driving circuit and the pixel circuit; a rectifier circuit is provided between the circuit and the secondary coil or between the pixel circuit and the secondary coil At least one of shaping circuits for inducing a voltage in at least one secondary winding by applying a magnetic field, whereby current flows from at least one secondary coil through at least one of the rectifier circuit and the waveform shaping circuit to the driving circuit and the pixel At least one of the circuits; measuring electromagnetic waves generated in at least a portion of the driving circuit or the pixel circuit to test operation of at least one of the driving circuit and the pixel circuit. 59. A method of manufacturing a display device according to claim 58 of the patent application, Wherein the driving circuit and the pixel circuit each comprise at least one thin film transistor. The manufacturing method of the display device of claim 58 wherein the electric field is measured by an antenna. 61. The manufacturing method of the display device of claim 58, further comprising installing the semiconductor device into the electronic device, the electronic device being selected from the group consisting of a video camera, a digital camera, and a goggles A group consisting of a display, a navigation system, a sound reproduction device, a laptop computer, a game organization, a portable information terminal, and a video reproduction device. 6. The method of manufacturing a display device according to claim 5, wherein the rectifier circuit comprises a diode, a capacitor and a resistor. -75- 24 paper scale applicable to China National Standard (CNS) A4 specification (210x297 cm) '申請專利範圍 μ !257688 63、 如申請專利範圍第58項之顯示裝置之製造方法. ’其中波形整形電路包含電容和電阻。 64、 如申請專利範圍第58項之顯示裝置之製造方法 ’其中整流電路形成電源電壓。 65、 如申請專利範圍第58項之顯示裝置之製造方法 ’其中波形整形電路形成一驅動訊號,該驅動訊號選自由 時鐘訊號、啓始脈衝訊號和視頻訊號構成的組。 66、 如申請專利範圍第58項之顯示裝置之製造方法 ’進一步包含使至少一個二次線圈與驅動電路和圖素電路 電分離。 (請先閲讀背面之注意事項再填寫本頁j'Application patent scope μ !257688 63. A method of manufacturing a display device as claimed in claim 58. The waveform shaping circuit includes a capacitor and a resistor. 64. A method of manufacturing a display device as claimed in claim 58 wherein the rectifier circuit forms a power supply voltage. 65. The method of manufacturing a display device according to claim 58 wherein the waveform shaping circuit forms a driving signal selected from the group consisting of a clock signal, a start pulse signal and a video signal. 66. The method of fabricating a display device of claim 58 further comprising electrically isolating at least one secondary coil from the drive circuit and the pixel circuit. (Please read the notes on the back and fill out this page again. 經濟部智慧財產局員工消費合作社印製 【難張尺度適用中國國家揉準(CNS ) Α4規格(210Χ297公釐) ^, -76Ministry of Economic Affairs, Intellectual Property Bureau, Staff Consumer Cooperatives Printed [Difficult-to-Zhang Scale Applicable to China National Standard (CNS) Α4 Specifications (210Χ297 mm) ^, -76
TW91104861A 2002-03-14 2002-03-14 Method of manufacturing a semiconductor device TWI257688B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW91104861A TWI257688B (en) 2002-03-14 2002-03-14 Method of manufacturing a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW91104861A TWI257688B (en) 2002-03-14 2002-03-14 Method of manufacturing a semiconductor device

Publications (1)

Publication Number Publication Date
TWI257688B true TWI257688B (en) 2006-07-01

Family

ID=37764235

Family Applications (1)

Application Number Title Priority Date Filing Date
TW91104861A TWI257688B (en) 2002-03-14 2002-03-14 Method of manufacturing a semiconductor device

Country Status (1)

Country Link
TW (1) TWI257688B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113210301A (en) * 2021-06-02 2021-08-06 四川经纬达科技集团有限公司 Power transformer production equipment and production process

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113210301A (en) * 2021-06-02 2021-08-06 四川经纬达科技集团有限公司 Power transformer production equipment and production process
CN113210301B (en) * 2021-06-02 2022-07-01 四川经纬达科技集团有限公司 Power transformer production equipment and production process

Similar Documents

Publication Publication Date Title
TWI286367B (en) A semiconductor device
US9954196B2 (en) Light emitting device and method of manufacturing the same
US7423293B2 (en) Light emitting device
US20110151603A1 (en) Light emitting apparatus and method of manufacturing the same
US20180358236A1 (en) Field effect transistor, method for manufacturing same, display element, display device, and system
JP4223218B2 (en) Light emitting device
JP4592989B2 (en) Method for manufacturing light emitting device
TWI257688B (en) Method of manufacturing a semiconductor device
JP2002280186A (en) Light emitting device and method for producing it
JP2005135929A (en) Formation method of light emitting device
JP4044360B2 (en) Semiconductor device and manufacturing method thereof

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees