TWI240361B - Method for fabricating a trench capacitor - Google Patents

Method for fabricating a trench capacitor Download PDF

Info

Publication number
TWI240361B
TWI240361B TW92125666A TW92125666A TWI240361B TW I240361 B TWI240361 B TW I240361B TW 92125666 A TW92125666 A TW 92125666A TW 92125666 A TW92125666 A TW 92125666A TW I240361 B TWI240361 B TW I240361B
Authority
TW
Taiwan
Prior art keywords
layer
trench
deep trench
conductive layer
scope
Prior art date
Application number
TW92125666A
Other languages
Chinese (zh)
Other versions
TW200512863A (en
Inventor
Ping Hsu
Kuo-Chien Wu
Shih-Fan Kuan
Original Assignee
Nanya Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nanya Technology Corp filed Critical Nanya Technology Corp
Priority to TW92125666A priority Critical patent/TWI240361B/en
Publication of TW200512863A publication Critical patent/TW200512863A/en
Application granted granted Critical
Publication of TWI240361B publication Critical patent/TWI240361B/en

Links

Abstract

A method for making a deep trench capacitor is disclosed. A substrate with a deep trench formed therein is provided. The trench is doped to form a buried plate electrode serving as a first electrode of the deep trench capacitor at a lower portion of the trench. A node dielectric is formed on interior surface of the trench. Subsequently, the trench is filled with a first conductive layer and then recessed to a first depth. A collar oxide layer is then formed on vertical sidewall of the trench on the first conductive layer. The trench is filled with a second conductive layer and again recessed to a second depth. A pair of symmetric spacers is then formed on the vertical sidewall of the trench. A third conductive layer is deposited on the second conductive layer and on the symmetric spacers, and fills the trench. The trench is recessed to a third depth.

Description

1240361 _案號92125666_年月日__ 五、發明說明(1) ^ 發明所屬之技術領域 . 本發明係關於一種半導體製程,尤指一種動態隨機存取 記憶體(dynamic random access memory, DRAM)溝渠電 容(trench capacitor)的製作方法。 先前技術 隨著各種電子產品朝小型化發展之趨勢,DRAM元件的設 計也必須符合高積集度、高密度之要求,而溝渠電容 DRAM元件結構即為業界所廣泛採用之高密度DRAM架構之 + 一,其係在半導體基材中蝕刻出深溝渠並於其内製成溝 渠電容,因而可有效縮小記憶單元之尺寸,妥善利用晶 片空間。 如熟習該項技藝者所知,習知溝渠電容動態隨機存取記 憶體的製作方法可大致被歸納成下列幾個主要階段: _ 1 ·深溝渠蝕刻; 2 ·埋入電盤(b u r i e d p 1 a t e )製作以及電容介電層製作; 3 ·深溝渠第一多晶矽層沈積以及凹陷蝕刻(r ec e s s etch); 4 ·頸氧化層製作; ^ 5 .深溝渠第二多晶矽層沈積以及凹陷蝕刻; 6 ·頸氧化層濕蝕刻; 7.深溝渠第三多晶矽層沈積以及凹陷蝕刻;以及1240361 _ Case number 92125666_ 年月 日 __ V. Description of the invention (1) ^ The technical field to which the invention belongs. The invention relates to a semiconductor process, especially a dynamic random access memory (DRAM) Method for manufacturing trench capacitor. With the development of previous technologies toward the miniaturization of various electronic products, the design of DRAM components must also meet the requirements of high accumulation and high density, and the trench capacitor DRAM device structure is the high density DRAM architecture widely used in the industry First, it etches a deep trench in a semiconductor substrate and makes a trench capacitor in it, so it can effectively reduce the size of the memory cell and properly utilize the chip space. As known to those skilled in the art, the method of manufacturing the trench capacitor dynamic random access memory can be roughly summarized into the following main stages: _ 1 · deep trench etching; 2 · buried p 1 ate Fabrication and capacitor dielectric layer fabrication; 3 · Deep trench first polycrystalline silicon layer deposition and recess etch (rec ess etch); 4 · Neck oxide layer fabrication; ^ 5. Deep trench second polycrystalline silicon layer deposition and recess Etching; 6. wet etching of the neck oxide layer; 7. deep polysilicon layer 3 deep trench deposition and recess etching; and

第8頁 1240361 _案號 92125666_年月日__ 五、發明說明(2) 8. STI製程。 請參閱圖一及圖二,圖一及圖二顯示習知製作溝渠電容 之步驟(進行STI製程之前)。如圖一所示,提供一基底 1 0,其上沈積有襯氧化矽層2 6以及襯氮化矽層2 8。完成 深溝渠1 1姓刻、埋入式N+擴散電極(b u r i e d p 1 a t e ) 1 3以 及電容介電層1 4製作、深溝渠第一多晶矽層沈積以及凹 陷蝕刻、頸氧化層1 5以及深溝渠第二多晶矽層沈積以及 凹陷蝕刻之後,接著,如圖二所示,利用濕蝕刻將未被 第二多晶矽層po 1 y 2覆蓋之頸氧化層1 5去除,然後進行第 三多晶矽層沈積以及凹陷蝕刻。摻質經由第三多晶矽層 P〇 1 y3擴散至溝渠電容周圍之基底1 0中形成環狀摻雜外擴 散區1 6 〇 請參閱圖三,圖三顯示半導體晶片在完成圖二中步驟後 的溝渠電容上視圖。如圖三所示,為使晶片單位面積能 夠容納最多的記憶體單元,複數個溝渠電容結構1 1緊密 排列在一起,而在各個溝渠電容結構1 1周圍的基底10 中,則為環狀摻雜外擴散區1 6。 請參閱圖四至圖六,圖四顯示於晶片上形成定義主動區 域之光阻層後,在理想狀態下,無對不準情形時,進行 主動區域定義暨溝渠絕緣區域定義之上視圖;圖五為圖 四中沿著切線N - N ’之剖面結構示意圖;圖六為圖四完成 STI製程後沿著切線N-Ν’之剖面結構示意圖。如圖四以及Page 8 1240361 _ Case No. 92125666 _ Month and Day __ V. Description of the invention (2) 8. STI process. Please refer to Figure 1 and Figure 2. Figure 1 and Figure 2 show the conventional steps for making trench capacitors (before the STI process). As shown in FIG. 1, a substrate 10 is provided, on which a silicon oxide-lined layer 26 and a silicon nitride-lined layer 28 are deposited. The deep trench 11 is carved, the buried N + diffusion electrode (buriedp 1 ate) 1 3 and the capacitor dielectric layer 14 are produced, the first polycrystalline silicon layer of the deep trench is deposited and the recess is etched, the neck oxide layer 15 and deep After the second polycrystalline silicon layer of the trench is deposited and the recess is etched, as shown in FIG. 2, the neck oxide layer 15 not covered by the second polycrystalline silicon layer po 1 y 2 is removed by wet etching, and then a third step is performed. Polycrystalline silicon layer deposition and recess etching. The dopant diffuses through the third polycrystalline silicon layer P01 y3 to the substrate 10 surrounding the trench capacitor to form a ring-shaped doped outer diffusion region 16. Please refer to FIG. 3. FIG. 3 shows a semiconductor wafer after completing the steps in FIG. 2. Rear trench capacitor top view. As shown in FIG. 3, in order to allow the unit area of the chip to accommodate the most memory cells, a plurality of trench capacitor structures 11 are closely arranged, and in the substrate 10 around each trench capacitor structure 11, a ring doped Miscellaneous external diffusion area 1 6. Please refer to Figure 4 to Figure 6. Figure 4 shows the top view of the active area definition and the trench insulation area definition under ideal conditions when there is no misalignment after the photoresist layer defining the active area is formed on the wafer; FIG. 4 is a schematic view of the cross-sectional structure along the tangent line N-N ′ in FIG. 4; FIG. 6 is a schematic view of the cross-sectional structure along the tangent line N-N ′ after the STI process is completed in FIG. 4. Figure four and

第9頁 1240361 _案號92125666_年月曰 修正_ 五、發明說明(3) 圖五所示,理想狀態下,定義主動區域的光阻(A A光阻) 與形成於基底1 0中的深溝渠電容結構1 1以及深溝渠電容 結構周圍之環狀外擴散區1 6並無重疊情事發生。見圖 五,A A光阻係定義於一介電層(一般為B S G層)上。淺溝絕 緣製程乃該行業者所熟知,一般接著進行非等向性乾蝕 刻製程,例如活性離子餘刻(r e a c t i v e ion e tch i ng, RIE),將未被AA光阻覆蓋之區域蝕刻掉,形成STI溝渠, 接著填入矽氧層,一般為高密度電漿化學氣相沈積 (high-den si t y plasma chemical vapor deposition, H D P C V D )矽氧層,然後進行化學機械研磨製程,利用襯氮 化矽層2 8為研磨停止層,將晶片表面平坦化。最後去除 剩餘的襯氮化矽層2 8以及襯氧化矽層2 6,即得到如圖六 之結構,深溝渠電容結構周圍之環狀外擴散區1 6已在ST I 製程過程中被挖除,因此理想狀態下,深溝渠電容結構 周圍之環狀外擴散區1 6不會影響主動區域。 請參閱圖七與圖八,圖七為晶片上形成定義主動區域之 光阻層後,有對不準情形時,進行主動區域定義暨溝渠 絕緣區域定義之上視圖;圖八為圖七中沿著切線N _ N ’之 剖面結構示意圖(完成STI製程後)。在實際製程中,AA光 阻與深溝渠電容結構1 1之間往往發生對不準,如圖七所 示’ A A光阻在圖中y方向上平移’使得A A光阻與深溝渠電 彳· 容結構周圍之環狀外擴散區1 6重疊。如圖八所示,深溝 渠電容結構周圍之環狀外擴散區1 6影響到主動區域。Page 9 1240361 _Case No. 92125666_ Year and Month Amendment_ V. Description of the Invention (3) As shown in Figure 5, ideally, the photoresist (AA photoresist) that defines the active area and the depth formed in the substrate 10 There was no overlap between the trench capacitor structure 11 and the annular outer diffusion region 16 around the deep trench capacitor structure. As shown in Figure 5, A A photoresist is defined on a dielectric layer (generally B S G layer). Shallow trench insulation processes are well known to those in the industry. Generally, anisotropic dry etching processes are followed, such as reactive ion etching (reactive ion e tch i ng, RIE), to etch away areas not covered by AA photoresist. The STI trench is formed, and then a silicon-oxygen layer is filled, generally a high-density plasma chemical vapor deposition (HDPCVD) silicon-oxygen layer, and then a chemical mechanical polishing process is performed, using silicon nitride liner The layer 28 is a polishing stop layer and planarizes the surface of the wafer. Finally, the remaining silicon nitride-lined layer 28 and silicon oxide-lined layer 26 are removed to obtain the structure shown in Figure 6. The annular outer diffusion region 16 around the deep trench capacitor structure has been excavated during the ST I process. Therefore, in an ideal state, the annular outer diffusion region 16 around the deep trench capacitor structure will not affect the active region. Please refer to Figure 7 and Figure 8. Figure 7 is a top view of the active area definition and trench insulation area definition when the photoresist layer defining the active area is formed on the wafer. Schematic diagram of the cross-section structure along the tangent line N_N '(after completing the STI process). In the actual manufacturing process, misalignment often occurs between the AA photoresistor and the deep trench capacitor structure 11 as shown in Figure 7. 'AA photoresist is translated in the y direction in the figure', so that the AA photoresistor and the deep trench are electrically connected. The ring-shaped outer diffusion regions 16 surrounding the capacitor structure overlap. As shown in Figure 8, the ring-shaped outer diffusion region 16 around the deep trench capacitor structure affects the active area.

第10頁 1240361 _案號92125666_年月日_修正 五、發明說明(4) 發明内容 據此,本發明之主要目的在提供一種可避免深溝渠電容 結構周圍環狀外擴散區影響到主動區域的深溝渠電容製 程。 根據本發明之較佳實施例,係揭露一種溝渠電容的製作 方法,包含有下列步驟: 提供一基底,其中形成有一深溝渠; 摻雜該深溝渠,於該深溝渠下部之該基底中形成一埋入 擴散電極; 於該深溝渠内壁上形成一電容介電層; 於該深溝渠内沈積一第一導電層; 凹陷蝕刻該第一多晶矽層至該深溝渠内第一深度; 於該深溝渠側壁上形成一頸氧化層; 於該深溝渠内該第一導電層以及該頸氧化層上沈積一第 二導電層; 凹陷蝕刻該第二導電層至該深溝渠内第二深度; 於該深溝渠之側壁上形成一對稱側壁子; 於該深溝渠内該第二導電層以及該對稱側壁子上沈積一 第三導電層;及 凹陷蝕刻該第三導電層至該深溝渠内第三深度。 (\Page 10 1240361 _ Case No. 92125666_ Year Month Date _ Amendment V. Description of the Invention (4) Summary of the Invention According to this, the main purpose of the present invention is to provide a ring-shaped outer diffusion region around a deep trench capacitor structure to avoid affecting the active area. Deep trench capacitor process. According to a preferred embodiment of the present invention, a method for manufacturing a trench capacitor is disclosed, which includes the following steps: providing a substrate in which a deep trench is formed; doping the deep trench, forming a substrate in the bottom of the deep trench; Burying a diffusion electrode; forming a capacitive dielectric layer on the inner wall of the deep trench; depositing a first conductive layer in the deep trench; recessing the first polycrystalline silicon layer to a first depth in the deep trench; A neck oxide layer is formed on the side wall of the deep trench; a second conductive layer is deposited on the first conductive layer and the neck oxide layer in the deep trench; the second conductive layer is recessed and etched to a second depth in the deep trench; A symmetrical sidewall is formed on a side wall of the deep trench; a third conductive layer is deposited on the second conductive layer in the deep trench and a third conductive layer is deposited on the symmetrical sidewall; and the third conductive layer is recessed to etch the third conductive layer to a third depth. (\

I 為了使 術内容 技然 及。 徵圖 特附 之與 明明 發說 本細 解詳 了之 步明 一發 近本 3良 能有 員下 委以 查閱 審參 貴請I In order to make the content of the art more technical. Attached to the drawing and attached to the Mingming, the detailed explanation of the detailed steps, issued in the near 3 books can be entrusted to consult the reviewers

第11頁 1240361 案號 92125666 Θ_修正 五、發明說明(5) 並非用來對本發明 而所附圖式僅供參考與辅助說明用 加以限制者。 實施方式Page 11 1240361 Case No. 92125666 Θ_ Amendment 5. The description of the invention (5) is not intended to limit the present invention and the drawings are for reference and auxiliary explanation only. Implementation

請參閱圖九至圖十四,圖九至圖十四顯示本發明較佳實 施例溝渠電谷製作方法之剖面示意圖。首先,如圖九所 示,轉供半導體基底1 〇,例如矽基底,其上有襯氮化矽 層2 8以及襯氧化矽層2 6。接著依序進行深溝渠餘刻、埋 入式Ν+擴散電極(buried Plate)13以及電容介電層14製 作、深溝渠第一多晶矽層(ρ ο 1 y 1 )沈積以及凹陷蝕刻、頸 氧化層15製作,以及珠溝渠第二多晶石夕層(p〇ly2)沈積以 及凹陷蝕刻。其中,形成該埋入擴散電極的方法可利用 摻雜砷石夕玻埤(arsenic silicate glass, ASG)。電容介 電層14可以為氧化矽-氮化矽(0Xide-nitride, ON)介電 層或者乳化石夕-氮化石夕-氧化石夕(oxide-nitride-oxide,Please refer to FIGS. 9 to 14. FIGS. 9 to 14 are schematic cross-sectional views showing a method for manufacturing a trench valley according to a preferred embodiment of the present invention. First, as shown in FIG. 9, a semiconductor substrate 10, such as a silicon substrate, is provided with a silicon nitride-lined layer 28 and a silicon oxide-lined layer 26 thereon. Next, the deep trench is etched, the buried N + diffusion electrode 13 (buried plate) 13 and the capacitor dielectric layer 14 are fabricated, the first polycrystalline silicon layer (ρ ο 1 y 1) of the deep trench is deposited, and the etching is performed. The oxide layer 15 is fabricated, and the second polycrystalline silicon layer (p0ly2) is deposited and etched in the bead trench. Among them, the method of forming the buried diffusion electrode may use doped arsenic silicate glass (ASG). The capacitor dielectric layer 14 may be a silicon oxide-silicon nitride (0xide-nitride, ON) dielectric layer or an oxide-nitride-oxide,

)介電層’但不限於此。在完成深溝渠第二多晶矽層 $積以及凹陷餘刻之後,第二多晶矽層p〇丨y 2與頸氧化層 1 5於深溝渠電容上部構成一凹陷口。接著,於凹陷口内 J上、第二多晶矽層poly2與頸氧化層丨5上,以及襯氮化 f層2 8表面上,利用化學氣相沈積法沈積一厚度約為丨〇 〇 2〇〇埃,較佳為15〇埃左右的CVD矽氧層31。隨後,於 D石夕氣層31上沈積一非晶石夕(am〇rph〇us silicon)層 j ’其厚度約為5 0埃左右。在圖九的下方顯示該深溝渠 電容之上視圖。) Dielectric layer 'but is not limited thereto. After the second polycrystalline silicon layer of the deep trench is finished and the recess is left, the second polycrystalline silicon layer p0y2 and the neck oxide layer 15 form a recessed hole on the upper part of the deep trench capacitor. Next, on the surface of J in the depression, on the second polycrystalline silicon layer poly2 and the neck oxide layer 5 and on the surface of the lining nitride f layer 28, a thickness of about 0.001% is deposited by chemical vapor deposition. The CVD silicon oxide layer 31 is about 0 angstroms, preferably about 15 angstroms. Subsequently, an ammorphus silicon layer j 'is deposited on the Dshixi gas layer 31 to a thickness of about 50 angstroms. The top view of this deep trench capacitor is shown below Figure 9.

第12頁 1240361 _案號92125666_年月日_iti_ 五、發明說明(6) ' 如圖十所示,接著進行一斜角度(tilt angle)離子佈植 製程,在圖中y方向上,將摻質,如BF2 ,植入於凹陷口 内壁上的非晶矽層3 2内。需注意的是,該斜角度(t i 1 t a n g 1 e )離子佈植製程僅在圖中y方向進行對稱離子佈植, 而X方向則不進行斜角度離子佈植,使非晶矽層3 2在圖中 X方向並未植入摻質。 如圖十一所示,接著進行一非等向性乾蝕刻製程,蝕刻 位於襯氮化矽層2 8上以及第二多晶矽層ρ ο 1 y 2上的非晶矽 層32以及CVD矽氧層3 1 ,使剩餘的非晶矽層32以及CVD矽 氧層3 1留於凹陷口的側壁上。 如圖十二所示,接著進行一濕蝕刻製程,利用稀釋的氨 水溶液選擇性地將先前未被植入BF2的非晶矽層3 2去除, 亦即在圖中X方向上的非晶矽層3 2,使得剩餘的非晶矽層 3 2,亦即在圖中y方向上的非晶矽層3 2,形成非晶矽對稱 側壁子33,用以保護CVD矽氧層31。隨後,再以稀釋的氫 氟酸溶液,去除未被非晶矽對稱側壁子33遮蓋之CVD矽氧 層3 1 ,暴露出基底1 0。此時,非晶矽對稱側壁子3 3、暴 露之基底10以及第二多晶矽層構成溝渠電容上方之一凹 陷口 4 2。 爛 如圖十三所示,接著,於凹陷口 4 2内填滿一第三多晶矽 層po 1 y 3。如圖十四所示,接著回蝕刻第三多晶矽層Page 12 1240361 _Case No. 92125666_ 年月 日 _iti_ V. Description of the Invention (6) 'As shown in Figure 10, then proceed with a tilt angle ion implantation process. In the direction of y in the figure, Dopants, such as BF2, are implanted in the amorphous silicon layer 32 on the inner wall of the recess. It should be noted that the oblique angle (ti 1 tang 1 e) ion implantation process only performs symmetrical ion implantation in the y direction in the figure, and the X direction does not perform oblique angle ion implantation, so that the amorphous silicon layer 3 2 No dopants are implanted in the X direction in the figure. As shown in FIG. 11, an anisotropic dry etching process is then performed to etch the amorphous silicon layer 32 and the CVD silicon layer on the silicon nitride-lined layer 28 and the second polycrystalline silicon layer ρ ο 1 y 2. The oxygen layer 31 makes the remaining amorphous silicon layer 32 and the CVD silicon oxide layer 31 remain on the sidewall of the recess. As shown in FIG. 12, a wet etching process is then performed to selectively remove the amorphous silicon layer 32 previously not implanted with BF2 by using a dilute ammonia solution, that is, the amorphous silicon in the X direction in the figure. Layer 32, so that the remaining amorphous silicon layer 32, that is, the amorphous silicon layer 32 in the y direction in the figure, forms an amorphous silicon symmetrical sidewall 33 for protecting the CVD silicon oxide layer 31. Subsequently, the diluted HF solution is used to remove the CVD silicon oxide layer 3 1 which is not covered by the amorphous silicon symmetrical sidewalls 33 to expose the substrate 10. At this time, the amorphous silicon symmetrical sidewall 3 3, the exposed substrate 10 and the second polycrystalline silicon layer form a notch 4 2 above the trench capacitor. As shown in FIG. 13, a third polycrystalline silicon layer po 1 y 3 is filled in the recess 4 2. As shown in FIG. 14, the third polycrystalline silicon layer is etched back

第13頁 1240361 _案號92125666_年月日_«_ 五、發明說明(7) ρ ο 1 y 3以及非晶矽對稱側壁子3 3,至基底1 0表面下之預定 深度。 請參閱圖十五,圖十五顯示半導體晶片在完成圖十三中 步驟後的溝渠電容上視圖。如圖十五所示,為使晶片單 位面積能夠容納最多的記憶體單元,複數個溝渠電容結 構1 1緊密排列在一起。由於本發明利用非晶矽對稱側壁 子33以及CVD矽氧層31擋住在圖中y方向上摻質經由第三 多晶矽層ρ ο 1 y 3向基底1 0擴散之路徑,因此,所形成的深 溝渠電容結構周圍之外擴散區1 6並非環狀,而僅在圖中X 方向上,摻質可經由未被CVD矽氧層31所覆蓋之區域擴散 ¥ 至基底1 0。 以上所述僅為本發明之較佳實施例,凡依本發明申請專 利範圍所做之均等變化與修飾,皆應屬本發明專利之涵 蓋範圍。Page 13 1240361 _Case No. 92125666_Year Month and Day _ «_ V. Description of the Invention (7) ρ ο 1 y 3 and the amorphous silicon symmetrical sidewall 3 3 to a predetermined depth below the surface of the substrate 10. Please refer to FIG. 15, which shows a top view of the trench capacitor of the semiconductor wafer after completing the steps in FIG. 13. As shown in Fig. 15, in order to enable the chip unit area to accommodate the most memory cells, a plurality of trench capacitor structures 11 are arranged closely together. Since the present invention utilizes the amorphous silicon symmetrical sidewall 33 and the CVD silicon oxide layer 31 to block the path of dopant diffusion in the y direction in the figure through the third polycrystalline silicon layer ρ ο 1 y 3 to the substrate 10, the formed The outer diffusion region 16 around the deep trench capacitor structure is not ring-shaped, but only in the X direction in the figure, the dopant can diffuse through the area not covered by the CVD silicon oxide layer 31 to the substrate 10. The above description is only a preferred embodiment of the present invention, and all equivalent changes and modifications made in accordance with the scope of the patent application for the present invention shall fall within the scope of the patent of the present invention.

第14頁 1240361 _案號 92125666_年月日_修正 圖式簡單說明 圖式之簡單說明 程 製 I T S 行 進 x(v 驟 步 之 容 渠 溝 作 製 知 習 示 顯二 圖 ο 及} 一前 圖之 佈 容 電 渠 溝 的 後 驟 步 中二 圖 成 完 在 片 晶 體 導 半。 示圖 顯視 三上 圖局 理渠 在溝 ,暨 後義 層定 阻域 光區 之動 域主 區行 、i 主, 義時 定形。 成情圖 形準視 上不上 片對之 晶無義 於,定 示下域 顯態區 四狀緣 圖想絕 切1 著ST 沿成 中完 四四 圖圖 為為 五六 圖圖 線 圖 意 示 構 結 面 剖 之 線 切 著 沿 後 程 製 意 示 構 結 面 剖 之 準視 不上 對之 有義 ,定 後域 層區 阻緣 光絕 之渠 域溝 區暨 動義 主定 義域 定區 成勤 r/ 形主 上行 片進 晶 , 為時 。七形 圖圖情 線 切 著 沿 中 七 圖 為 •ο八 圖圖 成 完 圖 意 示 構 結 面 剖 之 法 方 作 製 容 渠 溝 例 施 實 佳 較 明 發 本 示 顯 四 十 〇 }圖 後至 程九 製圖 渠 溝 的 後 驟 步 中 .三 十 圖 成 完· :在 片 .•晶 體 。導。 圖半圖 意示視 示顯上 面五局 剖十佈 之圖容 明 說 lgb 符 之 式 圖Page 14 1240361 _Case No. 92125666_Year Month and Day_Revised Schematic Description Schematic Description of Process ITS Travel x (v Steps of Rongqugou Manufacturing Knowledge Display 2 pictures and} In the second step of Burong Electric Ditch, the second picture is completed in the crystal guide half. The picture shows the third upper picture of the ditch in the trench, and the main zone of the moving zone of the optical zone of the fixed resistance zone in the rear layer. Master, righteousness is fixed. The love figure is quasi-visual, and the crystal pair is not meaningful. It is shown that the four-shaped edge diagram of the dominant region in the lower region is utterly cut. 1 The ST is completed. The four-four diagram is five. The figure of the six diagrams indicates that the line of the structure plane is cut along the back-end system. The quasi-direction of the structure plane is not right. The main domain of the righteousness is defined by the Qin r / shaped main ascending film into the crystal, as the last. The figure of the seven-shaped figure is cut along the middle of the seventh figure as • ο The figure of eight is the method of completing the construction plane. The example of making Rongqugou Shi Shijia shows forty more than Mingfa } In the later steps from Cheng Jiu to Cheng Jiu ’s drawing of the ditch, the thirty pictures are completed. • On the film. • Crystal. Guide. The half picture shows the five figures in the above figure. Formula

^1 第15頁 1240361 案號 92125666 年 月 曰 修正 圖式簡單說明 10 半導體基底 11 深溝渠 13 埋入式N+擴散電極 14 電容介電層 15 頸氧化層 16 摻雜外擴散區 26 概氧化$夕層 28 襯氮化矽層 31 CVD矽氧層 32 非晶$夕層 33 對稱側壁子 42 凹陷口^ 1 Page 15 1240361 Case No. 92125666 Brief description of the revised version 10 Semiconductor substrate 11 Deep trench 13 Embedded N + diffusion electrode 14 Capacitive dielectric layer 15 Neck oxide layer 16 Doped outer diffusion region 26 Layer 28 silicon nitride layer 31 CVD silicon oxide layer 32 amorphous layer 33 symmetrical side wall 42 recess

第16頁Page 16

Claims (1)

1240361 _案號92125666_年月曰 修正_ 六、申請專利範圍 ^ 1. 一種溝渠電容的製作方法,包含有: 提供一基底,其中形成有一深溝渠; 摻雜該深溝渠,於該深溝渠下部之該基底中形成一埋入 擴散電極; 於該深溝渠内壁上形成一電容介電層; 於該深溝渠内沈積一第一導電層; 凹陷蝕刻該第一多晶矽層至該深溝渠内第一深度; 於該深溝渠側壁上形成一頸氧化層; 於該深溝渠内該第一導電層以及該頸氧化層上沈積一第 二導電層; 凹陷蝕刻該第二導電層至該深溝渠内第二深度; + 於該深溝渠之側壁上形成一對稱側壁子; ,於該深溝渠内該第二導電層以及該對稱側壁子上沈積一 第三導電層; 凹陷蝕刻該第三導電層至該深溝渠内第三深度;及 將摻質經由該第三導電層擴散至未被該對稱側壁子覆蓋 之該基底中,形成一外擴散摻雜區,藉此電連接一開關 電晶體之源極。 2. 如申請專利範圍第1項所述之溝渠電容的製作方法,其 中於該深溝渠之側壁上形成對稱側壁子的方法包含有下 ^ 列步驟: ® 於該深溝渠内壁以及該第二導電層上沈積一薄介電層; 於該介電層上沈積一薄非晶石夕層;1240361 _Case No. 92125666_ Amendment of the month of the year _ 6. Scope of patent application ^ 1. A method for manufacturing a trench capacitor includes: providing a substrate in which a deep trench is formed; doping the deep trench, below the deep trench A buried diffusion electrode is formed in the substrate; a capacitive dielectric layer is formed on the inner wall of the deep trench; a first conductive layer is deposited in the deep trench; the first polycrystalline silicon layer is recessed and etched into the deep trench A first depth; a neck oxide layer is formed on the side wall of the deep trench; a second conductive layer is deposited on the first conductive layer and the neck oxide layer in the deep trench; the second conductive layer is recessed to the deep trench Inner second depth; + forming a symmetrical sidewall on the deep trench; depositing a third conductive layer on the second conductive layer and the symmetrical sidewall in the deep trench; recessing the third conductive layer To a third depth in the deep trench; and diffusing dopants through the third conductive layer into the substrate not covered by the symmetrical sidewalls to form an outer diffusion doped region, thereby electrically connecting an opening Electrode power of crystals. 2. The method for manufacturing a trench capacitor as described in item 1 of the scope of patent application, wherein the method of forming a symmetrical sidewall on the sidewall of the deep trench includes the following steps: ® On the inner wall of the deep trench and the second conductive layer Depositing a thin dielectric layer on the layer; depositing a thin amorphous stone layer on the dielectric layer; 第17頁 1240361 _案號 92125666_年月日__ 六、申請專利範圍 進行一斜角度離子佈植,對稱地在深溝渠側壁上之部分 該薄非晶矽層内植入摻質; 非等向性回蝕刻該薄非晶矽層以及該薄介電層,暴露出 該第二導電層; 選擇性地去除未被離子佈植之該薄非晶矽層;以及 去除未被剩餘之該薄非晶石夕層所覆蓋之該薄介電層,暴 露出該基底,並形成該對稱側壁子。 3.如申請專利範圍第2項所述之溝渠電容的製作方法,其 中該斜角度離子佈植係植入BF2 。 41 4如申請專利範圍第2項所述之溝渠電容的製作方法,其 中選擇性地去除未被離子佈植之該薄非晶矽層的方法係 利用稀釋氨水溶液濕蝕刻。 5. 如申請專利範圍第2項所述之溝渠電容的製作方法,其 中該薄介電層係為一 C V D石夕氧層。 6. 如申請專利範圍第2項所述之溝渠電容的製作方法,其 中該薄介電層的厚度介於1 0 0至2 0 0埃。. 7. 如申請專利範圍第2項所述之溝渠電容的製作方法,其 ’ 中該薄非晶矽層的厚度約為5 0埃。Page 17 1240361 _Case No. 92125666_year month__ Sixth, the scope of the patent application is an oblique angle ion implantation, and a dopant is implanted in the thin amorphous silicon layer symmetrically on a part of the side wall of the deep trench; Etch back the thin amorphous silicon layer and the thin dielectric layer to expose the second conductive layer; selectively remove the thin amorphous silicon layer not implanted with ions; and remove the thin remaining silicon layer The thin dielectric layer covered by the amorphous stone layer exposes the substrate and forms the symmetrical sidewalls. 3. The method for manufacturing a trench capacitor according to item 2 of the scope of the patent application, wherein the oblique-angle ion implantation system is implanted into BF2. 414 The method for manufacturing a trench capacitor according to item 2 of the scope of the patent application, wherein the method for selectively removing the thin amorphous silicon layer not implanted with ions is wet etching using a dilute ammonia solution. 5. The method for manufacturing a trench capacitor as described in item 2 of the scope of the patent application, wherein the thin dielectric layer is a C V D stone oxide layer. 6. The method for manufacturing a trench capacitor according to item 2 of the scope of patent application, wherein the thickness of the thin dielectric layer is between 100 and 200 angstroms. 7. The method for manufacturing a trench capacitor as described in item 2 of the scope of the patent application, wherein the thickness of the thin amorphous silicon layer is about 50 angstroms. 第18頁 1240361 案號 92125666 年月日 修正 六、申請專利範圍 8.如申請專利範圍第1項所述之溝渠電容的製作方法,其 中該第一導電層係為多晶矽所構成。 9 .如申請專利範圍第1項所述之溝渠電容的製作方法,其 中該第二導電層係為多晶矽所構成。 1 0 .如中請專利範圍第1項所述之溝渠電容的製作方法, 其中該第三導電層係為多晶矽所構成。Page 18 1240361 Case No. 92125666 Amendment VI. Patent Application Scope 8. The method for manufacturing a trench capacitor as described in item 1 of the patent application scope, wherein the first conductive layer is made of polycrystalline silicon. 9. The method for manufacturing a trench capacitor according to item 1 of the scope of patent application, wherein the second conductive layer is made of polycrystalline silicon. 10. The method for manufacturing a trench capacitor as described in item 1 of the patent scope, wherein the third conductive layer is made of polycrystalline silicon. 第19頁Page 19
TW92125666A 2003-09-17 2003-09-17 Method for fabricating a trench capacitor TWI240361B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW92125666A TWI240361B (en) 2003-09-17 2003-09-17 Method for fabricating a trench capacitor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW92125666A TWI240361B (en) 2003-09-17 2003-09-17 Method for fabricating a trench capacitor

Publications (2)

Publication Number Publication Date
TW200512863A TW200512863A (en) 2005-04-01
TWI240361B true TWI240361B (en) 2005-09-21

Family

ID=37007733

Family Applications (1)

Application Number Title Priority Date Filing Date
TW92125666A TWI240361B (en) 2003-09-17 2003-09-17 Method for fabricating a trench capacitor

Country Status (1)

Country Link
TW (1) TWI240361B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7344954B2 (en) 2006-01-03 2008-03-18 United Microelectonics Corp. Method of manufacturing a capacitor deep trench and of etching a deep trench opening

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7344954B2 (en) 2006-01-03 2008-03-18 United Microelectonics Corp. Method of manufacturing a capacitor deep trench and of etching a deep trench opening
US8377829B2 (en) 2006-01-03 2013-02-19 United Microelectronics Corp. Method of manufacturing a capacitor deep trench and of etching a deep trench opening

Also Published As

Publication number Publication date
TW200512863A (en) 2005-04-01

Similar Documents

Publication Publication Date Title
US7271056B2 (en) Method of fabricating a trench capacitor DRAM device
US7332392B2 (en) Trench-capacitor DRAM device and manufacture method thereof
JPH10178162A (en) Soi embedded plate trench capacitor
US6319787B1 (en) Method for forming a high surface area trench capacitor
US7435643B2 (en) Fabrication method of a dynamic random access memory
US6960503B2 (en) Method for fabricating a trench capacitor
CN111477550A (en) Power semiconductor device and manufacturing method thereof
US6638815B1 (en) Formation of self-aligned vertical connector
KR0171072B1 (en) Semiconductor memory cell & its fabrication method
US6979613B1 (en) Method for fabricating a trench capacitor of DRAM
TWI240361B (en) Method for fabricating a trench capacitor
US6964898B1 (en) Method for fabricating deep trench capacitor
US6815307B1 (en) Method for fabricating a deep trench capacitor
US7078291B2 (en) Method for fabricating a deep trench capacitor
US7638391B2 (en) Semiconductor memory device and fabrication method thereof
US6762099B1 (en) Method for fabricating buried strap out-diffusions of vertical transistor
US7094658B2 (en) 3-stage method for forming deep trench structure and deep trench capacitor
US6924204B2 (en) Split gate flash memory cell and manufacturing method thereof
JPH01128559A (en) Semiconductor device and manufacture thereof
US7078289B2 (en) Method for fabricating a deep trench capacitor of DRAM device
JPH0729971A (en) Manufacture of semiconductor device
WO2023060796A1 (en) Semiconductor structure and manufacturing method therefor
KR0179556B1 (en) Method for manufacturing semiconductor capacitor
US6376300B1 (en) Process of manufacturing trench capacitor having a hill structure
JP2529781B2 (en) Stack-trench structure DRAM cell having vertical transistor and method of manufacturing the same

Legal Events

Date Code Title Description
MK4A Expiration of patent term of an invention patent