TW575919B - Plasma treating apparatus and plasma treating method - Google Patents

Plasma treating apparatus and plasma treating method Download PDF

Info

Publication number
TW575919B
TW575919B TW91119434A TW91119434A TW575919B TW 575919 B TW575919 B TW 575919B TW 91119434 A TW91119434 A TW 91119434A TW 91119434 A TW91119434 A TW 91119434A TW 575919 B TW575919 B TW 575919B
Authority
TW
Taiwan
Prior art keywords
processing
reaction chamber
plasma
retaining member
processing reaction
Prior art date
Application number
TW91119434A
Other languages
Chinese (zh)
Inventor
Manabu Edamura
Seiichiro Kanno
Ryoji Nishio
Ken Yoshioka
Saburo Kanai
Original Assignee
Hitachi High Tech Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Tech Corp filed Critical Hitachi High Tech Corp
Priority to TW91119434A priority Critical patent/TW575919B/en
Application granted granted Critical
Publication of TW575919B publication Critical patent/TW575919B/en

Links

Description

575919 A7 B7 五、發明説明(1 ) 【發明領域】 本發明是關於電漿處理裝置及電漿處理方法,特別是 關於適合蝕刻反應生成物的蒸氣壓小的非揮發性鈾刻材料 的電漿處理裝置及電漿處理方法。 【發明背景】 【習知技藝之說明】 L S I所代表的半導體裝置(D evice)的高積集化逐 漸進展,其設計法則持續被微細化到次亞微米(Undersub micron ) 的水平 。伴 隨於此 ,內 部配線 的圖案 寬度也 被縮小。半導體裝置的內部配線材料常用高摻雜的多晶矽 或A 1系金屬,但是以這種內部配線材料伴隨著配線寬度 的縮小配線電阻會增大,訊號傳撥的延遲或各種遷移( Migration )耐性的劣化成爲問題。解決這種問題的方法, 採用Cu金屬、A 1 — Cu合金或A 1 - S i - Cu合金 等的低電阻的配線用金屬材料。 而且,近年來電介質(Dielectric)材料應用鈦酸鉛 C P b T i 0 3 ] 、PZT〔Pb ( Z r ,丁 i)〇3〕 、PLZT〔(Pb,La) (Zr,Ti)〇3〕等的 強電介質薄膜的次世代L S I的提案被提出。具有利用這 些材料的強介電性的記憶胞(Memory cell)的D R A Μ (動態隨機存取記憶體,Dynamic Random Access Memory )或非揮發性記憶體F R A M (鐵電隨機存取記憶體, Ferr〇electric ram )等已經在試作水準或一部分在量產水 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) I---------參—— (請先閲讀背面之注意事項再填寫本頁)575919 A7 B7 V. Description of the invention (1) [Field of invention] The present invention relates to a plasma processing device and a plasma processing method, and more particularly to a plasma suitable for a non-volatile uranium etched material having a small vapor pressure suitable for etching reaction products. Processing device and plasma processing method. [Background of the Invention] [Explanation of the know-how] The high accumulation of semiconductor devices (Device) represented by L S I is gradually progressing, and its design rules continue to be refined to the level of the sub-micron (Under micron). With this, the pattern width of the internal wiring is also reduced. The internal wiring materials of semiconductor devices often use highly doped polycrystalline silicon or A 1 series metals. However, with this internal wiring material, the wiring resistance increases with the reduction of the wiring width, the delay of signal transmission or various migration (Migration) resistance. Deterioration becomes a problem. To solve this problem, a low-resistance wiring metal material such as Cu metal, A 1 — Cu alloy, or A 1-S i-Cu alloy is used. Furthermore, in recent years, lead materials titanate CP b T i 0 3], PZT [Pb (Z r, but i) 〇3], and PLZT [(Pb, La) (Zr, Ti) 〇3] are used as dielectric materials. A proposal for a next-generation LSI of such a ferroelectric thin film is proposed. DRA M (Dynamic Random Access Memory) or non-volatile memory FRAM (Ferroelectric Random Access Memory, Ferr) with a ferroelectric memory cell using these materials electric ram), etc. are already in trial production level or part of the production water. The paper size is applicable to the Chinese National Standard (CNS) A4 specification (210 × 297 mm) I --------- ref. (Please read the first (Please fill in this page again)

、1T 線 經濟部智慧財產局員工消費合作社印製 -4- 經濟部智慧財產局員工消費合作社印焚 575919 A7 ___B7_ 五、發明説明(2) 準實現。對於這些強電介質裝置的實用化,除了特性優良 的強電介質薄膜的形成方法的開發外,對強電介質薄膜的 電極圖案形成技術的開發也特別重要。習知以來強電介質 薄膜上的電極材料由特性的穩定性觀點常使用P t金屬。 再者,在應用M R A Μ (磁性隨機存取記憶體, Magnetic Random Access Memory)所代表的材料的磁性的 新非揮發性記憶體L S I使用F e或N i - F e等的鐵系 材料。除此之外,R u、I r等各種新材料薄膜爲了實現 次世代的L S I裝置陸續被導入。 在形成前述C u系金屬或P t、F e系等的材料的圖 案,形成微細的電極或配線的情形下,主要採用使用氯氣 等的鹵素系氣體的電漿蝕刻。電漿蝕刻是對被處理物使用 具有能量而入射的離子以及反應性的中性原子團( Radical),蝕刻被處理物的技術。 電漿蝕刻在L S I製造技術的進展中主要是作爲形成 S i 、S i〇2或A 1系配線膜的圖案的技術,擔任重要 的角色。在蝕刻時使前述S i 、S i〇2、A 1等的材料 與氯、氟、臭氧等的氣體反應。在該反應時所生成的反應 生成物可藉由排氣手段除去,而連續進行蝕刻。 但是,今後可預料重新導入的新材料的C u、P t、 F e等的材料與鹵素氣體的反應性低,且反應生成物的鹵 化物的蒸氣壓小。即這種材料(非揮發材料)其特徵爲其 蝕刻率(Etching rate )小,且其反應生成物的附著性極 高。 本紙張尺度適用中國國家榡準(CNS ) A4規格(21〇X297公釐] " 一 裝------訂------線 (請先閲讀背面之注意事項再填寫本頁) 575919 A 7 B7 五、發明説明(3) (請先閲讀背面之注意事項再填寫本頁) 圖2是顯示顯示器等所使用的透明導電膜I T 0 (銦 鍚氧化物)所使用的金屬元素的銦以及錫的鹵化物的蒸氣 壓的圖。在圖中例如錫的情形其氯化物(S n C 1 4 )在 常溫下具有1 〇 Ton·以上的蒸氣壓。因此,在其蝕刻時 使用氯氣,藉由將錫變成錫氯化物,可以氣體而排出。因 此,錫可當作揮發性材料被賦予位置。 另一方面,銦的情形對於以銦氯化物獲得1 0 Ton· 的蒸氣壓,需要加熱到6 5 0 K即4 0 0 t附近。即很難 以銦當作氣體而排出。因此,I T ◦當作非揮發性材料被 賦予位置。 經濟部智慧財產局員工消費合作社印奴 而且,氧化鋁(A 1 2〇3 )等雖然構成的元素的 A 1的氯化物的蒸氣壓高,但因鋁自身即爲穩定,故即使 進行使用氯氣的蝕刻也無法期待與氯的反應性。而且,生 成物也不充分被分解,未必可當作氯化鋁被排出。因此, 像氧化鋁的材料也可當作非揮發性材料被賦予位置。而且 ’例如如 FED Review Voll.l No. 26 2001 所示,F e 系的 材料使用C 0/NH3等的氣體,反應生成物已知有製作 蒸氣壓比氯化物還高的羰基化合物F e ( C〇)X的鈾刻 技述。即可藉由改變使用的氣體進行排氣。但是,即使使 用這種氣體系,氣體與被蝕刻材的反應性如利用氯的鋁蝕 刻般不高。 在本說明書中反應生成物的蒸氣壓對触刻通常的S i 或S i〇2時的代表的反應生成物的s i c 1. 4,可將三 位數以上的低材料當作非揮發性材料而定義。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -6 - 575919 經濟部智慧財產局員工消費合作社印製 A7 __B7五、發明説明(4 ) 爲了鈾刻這些非揮發性材料,已知以在高偏壓的離子 的入射與爲了促進反應生成物的昇華而保持被處理物於高 溫有效。例如C u薄膜的情形,使用c C 1 4以及N 2的 混合氣體,加熱到3 5 0 °C以上且可蝕刻在第3 6次日本 應用物理學關係聯合演講會(1 9 8 9年春期年會)演講 預稿集P 5 7 0,演講號碼1 p - L _ 1被報告。此處藉 由高能的離子濺鑛(Sputtei·)地蝕刻被鈾刻材料的C u, 並且,藉由保持該被鈾刻材於高溫,可防止生成物的C u 的氯化物再度返回附著到被蝕刻材料表面,據此,實現像 C u的非揮發性材料的蝕刻。 【發明槪要】 如以上所述,藉由使用高溫、高偏壓的製程條件,以 實現這些非揮發性材料的電漿鈾刻所產生的圖案形成( Patterning )已在實驗、試作水準被確認,使用這些材料 的新L S I裝置持續被試作。但是,在量產水準實現這種 非揮發性材料的電漿蝕刻並不容易。即在電漿蝕刻處理這 些非揮發性材料時所產生的反應生成物的蒸氣壓如前述極 小。因此,前述反應生成物不被排氣手段排出,而是大部 分附著於反應室等的壁面。 前述反應生成物的附著即使在實驗、試作水準中無問 題,但在L S I的量產線中卻成爲問題。即在進行這些材 料的蝕刻處理的情形下,僅處理晶圓數片到數十片,在反 應室的壁面厚厚地附著由反應生成物所造成的沉積膜。對 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐) 一 ' I 裝 訂 線 (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 575919 A7 ___B7_____ 五、發明説明(5 ) 於這種情形,電漿狀態變化或微粒(Particle)產生’很 難繼續蝕刻處理。因此,爲了實現可適用量產線的非揮發 性材料的蝕刻裝置,如何處理此沉積膜成爲問題。 本發明乃鑒於這種問題點所進行的創作,提供穩定非 揮發性材料可蝕刻處理的電漿處理裝置及電漿處理方法。 本發明爲了解決上述課題採用如以下的手段。 一種電漿處理裝置,具備: 處理反應室; 將處理氣體導入到該處理反應室內的處理氣體導入配 管; 配置於處理反應室內,載置保持試樣的載置電極; 對該試樣供給偏壓電位的偏壓電位生成用高頻電源; 以及 對該處理氣體供給高頻功率,以電漿化該處理氣體的 感應線圏,其特徵爲: 該處理反應室面對處理反應室內面的一部分在該部分 具備用以供給偏壓電位的導電體構件,且在該處理反應室 內面的其他部分具備形成反應生成物的附著面的可拆卸安 裝的截留構件。 【圖式之簡單說明】 圖1是說明與本發明實施形態有關的電漿處理裝置的 圖。 圖2是顯示鹵化物的蒸氣壓的圖。 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐) I 訂 务 (諸先閱讀背面之注意事項存填寫本頁) -8- 575919 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明( 6) 1 I 圖 3 是 說 明 絕 緣 p 製 構 件 的 形 狀 的 圖 0 1 1 I 圖 4 是 說 明 絕 緣 體 製 構 件 的 形狀 的 其 他 例 子 的 圖 0 1 1 圖 5 是 說 明 導 電 體 構 件 的 配 置 例 的 圖 〇 ^ 1 I 請 1 1 圖 6 是 說 明 絕 緣 體 製 構 件 以 及 感 應 線 圏 的 配 置 圖 〇 先 閲 1 I 圖 7 是 讀 1 說 明 絕 緣 體 製 構 件 以 及 感 應 線 圈 的 配 置 的 其 他 背 1 I 例 子 的 圖 〇 之 注 意 1 1 I 圖 8 是 說 明 感 應 線 圈 的 其 他 例 子 的 圖 0 事 項 1 I 再 1 1 圖 9 是 說 明 導 電 m Πϋ 構 件 的 詳 細 的 圖 〇 寫 本 1 裝 圖 1 0 是 說 明 絕 緣 體 製 構 件 的 蝕 刻 /沉 積 率 的 圖 〇 頁 1 I 圖 1 1 是 說 明 截 留 構 件 的 構 成 的 圖 〇 1 1 I 圖 1 2 是 說 明 截 留 構 件 的 其 他 構 成 的 圖 〇 1 1 I 圖 1 3 是 說 明 截 留 構 件 的 再 其 他 構 成 的 圖 〇 1 訂 圖 1 4 是 說 明 截 留 構 件 的 再 其 他 構 成 的 圖 〇 1 1 圖 1 5 是 說 明 截 留 構 件 的 再 其 他 構 成 的 圖 〇 1 I 圖 1 6 是 說 明 以 導 電 體 構 件 覆 蓋 的 面 以 及 以 截 留 構 件 層 1 I 覆 蓋 的 面 的 圖 〇 1 線 圖 1 7 是 說 明 調 整 截 留 構 件 中 的 反 應 生 成 物 的 附 著 量 1 1 的 方 法 的 圖 〇 1 1 圖 1 8 是 說 明 調 整 截 留 構 件 中 的 反 應 生 成 物 的 附 著 量 1 I 的 其 他 方 法 的 圖 〇 1 I 圖 1 9 是 說 明 調 整 截 留 構 件 中 的 反 m 厶匕1、 生 成 物 的 附 著 量 1 1 的 再 其 他 方 法 的 圖 〇 1 1 1 [ 符 號 說 明 ] 1 1 1 1 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -9- 575919 A7 B7 五、發明説明(7 ) 1 : 處理反應室 經濟部智慧財產局員工消費合作社印製 2 • 真 空 排 氣 手 段 3 • 半 導 體 晶 圓 4 ; 傳 送 系 統 5 : 載 置 電 極 8 1 5 ; 整 合 器 9 1 6 : 高 頻 電 源 1 0 : 晶 座 1 2 ·· 感 應 線 圈 1 4 2 6 : 電 力 分 歧 電 路 1 7 : 絕 緣 體 製 構 件 1 8 ·· 導 電 體 構 件 1 8 a : 導 電 髀 構 件 的 一 部分 1 8 b : 殘 部 2 0 : 處 理 氣 體 的 吹 出 □ 2 1 ; 氣 體 導 入 配 管 2 2 ; 截 留 構 件 2 2 a • 外 筒 2 2 b : 內 筒 2 2 c : 底 板 2 3 ·· 加 熱 手 段 2 4 : 進 給 槽 2 5 ·· 溫 度 調 節 器 2 7 : 晶 座 用 偏 壓 施 加 部 (請先閲讀背面之注意事項再填寫本頁) •裝·Line 1T Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs -4- Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 575919 A7 ___B7_ V. Description of the invention (2) Quasi-realization. For the practical use of these ferroelectric devices, in addition to the development of methods for forming ferroelectric thin films with excellent characteristics, the development of electrode pattern formation technology for ferroelectric thin films is also particularly important. Since conventionally, electrode materials on ferroelectric thin films have often used Pt metal from the viewpoint of stability of characteristics. In addition, the new non-volatile memory L S I using magnetic materials such as MR (Magnetic Random Access Memory) materials uses iron-based materials such as Fe or Ni-Fe. In addition, various new material films such as Ru and Ir have been introduced in order to realize the next generation of L S I devices. In the case where a pattern of the Cu-based metal, Pt, Fe-based material, or the like is formed and a fine electrode or wiring is formed, plasma etching using a halogen-based gas such as chlorine gas is mainly used. Plasma etching is a technique for etching a to-be-processed object using ions having energy and incident neutral radicals (Radical). Plasma etching plays an important role in the development of L S I manufacturing technology mainly as a technique for forming a pattern of a Si, Si 02, or A 1 series wiring film. The materials such as Si, Si02, A1 and the like are reacted with a gas such as chlorine, fluorine, or ozone during etching. The reaction products generated during this reaction can be removed by exhaust means, and etching can be continuously performed. However, it is expected that materials such as Cu, Pt, Fe, and the like which will be re-introduced in the future, have low reactivity with halogen gas, and the vapor pressure of the halide of the reaction product is small. That is, this material (non-volatile material) is characterized by a small etching rate and extremely high adhesion of the reaction product. This paper size is applicable to China National Standard (CNS) A4 specification (21 × 297 mm) " One Pack ------ Order ------ Line (Please read the precautions on the back before filling this page ) 575919 A 7 B7 V. Description of the invention (3) (Please read the precautions on the back before filling this page) Figure 2 shows the metal elements used in the transparent conductive film IT 0 (indium osmium oxide) used for display and other displays A graph of the vapor pressures of the indium and tin halides. In the case of tin, for example, the chloride (S n C 1 4) has a vapor pressure of 10 Ton · or more at normal temperature. Therefore, it is used for etching. Chlorine gas can be exhausted by turning tin into tin chloride. Therefore, tin can be given a position as a volatile material. On the other hand, in the case of indium, for obtaining a vapor pressure of 10 Ton · with indium chloride, It needs to be heated to around 650 K, which is about 400 t. That is, it is difficult to discharge indium as a gas. Therefore, IT is given a position as a non-volatile material. Aluminium oxide (A 1 2 0 3) and the like constitute elements of A 1 chlorine The vapor pressure of the product is high, but since aluminum itself is stable, the reactivity with chlorine cannot be expected even if etching using chlorine gas is performed. Moreover, the product is not sufficiently decomposed and may not necessarily be discharged as aluminum chloride. Therefore, a material like alumina can be given a position as a non-volatile material. Also, for example, as shown in FED Review Voll.l No. 26 2001, a F e -based material uses a gas such as C 0 / NH 3 to react. The product is known to have a uranium engraving technique for producing a carbonyl compound F e (C0) X having a vapor pressure higher than that of chloride. The gas can be exhausted by changing the gas used. However, even with this gas system, The reactivity of the gas with the material to be etched is not as high as that of aluminum etching with chlorine. In this specification, the vapor pressure of the reaction product is sic 1 which is a typical reaction product when the ordinary S i or S 102 is etched. 4. Low-level materials with more than three digits can be defined as non-volatile materials. This paper size applies the Chinese National Standard (CNS) A4 specification (210X297 mm) -6-575919 Employee Consumer Cooperatives, Intellectual Property Bureau, Ministry of Economic Affairs Printing A7 __B7 five Description of the Invention (4) In order to etch these non-volatile materials for uranium, it is known that it is effective to keep the object to be treated at a high temperature for the incidence of high bias ions and to promote the sublimation of the reaction product. For example, in the case of a Cu film, it is used c C 1 4 and N 2 mixed gas, heated to more than 350 ° C and can be etched at the 36th Japan Joint Conference on Applied Physics (Spring Annual Meeting of 1989). 5 7 0, lecture number 1 p-L _ 1 is reported. Here, Cu of the material etched by uranium is etched by high-energy ion sputtering (Sputtei ·), and by keeping the material etched by uranium at high temperature, the chloride of the product Cu can be prevented from returning to adhere to On the surface of the material to be etched, a non-volatile material like Cu is etched accordingly. [Summary of the invention] As mentioned above, by using high temperature and high bias process conditions, the patterning (plasma) generated by plasma uranium engraving of these non-volatile materials has been confirmed at experimental and trial levels. New LSI devices using these materials are being continuously tested. However, it is not easy to achieve plasma etching of such non-volatile materials at a mass production level. That is, the vapor pressure of the reaction products generated during plasma etching of these non-volatile materials is extremely small as described above. Therefore, most of the reaction products are not exhausted by the exhaust means, but most of them adhere to the wall surface of the reaction chamber or the like. Although the adhesion of the aforementioned reaction products was not a problem in the experimental and trial production levels, it became a problem in the mass production line of L S I. That is, in the case of performing an etching process of these materials, only a few wafers to dozens of wafers are processed, and a deposition film caused by a reaction product is thickly adhered to the wall surface of the reaction chamber. Applicable to this paper standard. National Standard (CNS) A4 (210X297 mm) I 'binding line (Please read the precautions on the back before filling this page) Printed by the Consumer Cooperative of Intellectual Property Bureau of the Ministry of Economic Affairs 575919 A7 ___B7_____ 5. Description of the invention (5) In this case, it is difficult to continue the etching process due to changes in the state of the plasma or generation of particles. Therefore, in order to realize an etching apparatus for a non-volatile material applicable to a mass production line, how to deal with this deposited film becomes a problem. The present invention has been made in view of such a problem, and provides a plasma processing apparatus and a plasma processing method for stable non-volatile material etching treatment. In order to solve the above problems, the present invention employs the following means. A plasma processing apparatus includes: a processing reaction chamber; a processing gas introduction pipe for introducing a processing gas into the processing reaction chamber; a processing electrode arranged in the processing reaction chamber and holding a sample; and supplying a bias voltage to the sample A high-frequency power supply for generating potential bias potential; and an induction line that supplies high-frequency power to the processing gas to plasmatify the processing gas, wherein the processing reaction chamber faces the inside of the processing reaction chamber. One part is provided with a conductor member for supplying a bias potential in this part, and the other part inside the processing reaction chamber is provided with a detachably attachable retaining member that forms an adhesion surface of a reaction product. [Brief description of the drawings] Fig. 1 is a diagram illustrating a plasma processing apparatus according to an embodiment of the present invention. FIG. 2 is a graph showing the vapor pressure of a halide. The standard of this paper is applicable. National Standard (CNS) A4 specification (210X297 mm) I Order (please read the notes on the back and fill in this page) -8- 575919 A7 B7 Printed by the Employees' Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs Fifth, the description of the invention (6) 1 I FIG. 3 is a diagram illustrating the shape of the insulating p-member. 0 1 1 I FIG. 4 is a diagram illustrating another example of the shape of the insulating system member. 0 1 1 FIG. 5 is a diagram illustrating the conductive member. Figure 1 of the layout example. ^ 1 I Please 1 1 Figure 6 is a layout diagram illustrating the components of the insulation system and the induction wire. Read 1 I Figure 7 is a diagram showing the layout of the components of the insulation system and the induction coil. Note of the figure 0 of the example 1 1 I Figure 8 is a diagram illustrating another example of an induction coil 0 Matter 1 I Re 1 1 Figure 9 is a detailed explanation of the conductive m Πϋ member Figure 1 Copy 1 Figure 1 10 is a diagram illustrating the etching / deposition rate of the insulating system member. Page 1 I Figure 1 1 is a diagram illustrating the structure of the trapping member. 0 1 I Figure 1 2 is another diagram illustrating the structure of the trapping member. Figure 1 1 Figure 1 3 is a diagram illustrating a further structure of the retaining member. Figure 1 is a diagram illustrating a further structure of the retaining member. Figure 1 is a diagram illustrating a further structure of the retaining member. Figures 01 to 16 illustrate the surface covered with the conductive member and the surface covered with the retaining member layer 1I. The line 1 shows the adjustment of the adhesion amount of the reaction product 1 1 in the retaining member. Method diagram 〇1 1 Diagram 18 is a diagram illustrating another method for adjusting the adhesion amount 1 I of the reaction product in the entrapment member 〇1 I diagram 1 9 is a diagram illustrating another method for adjusting the anti-m 厶 1 in the trapping member 1, and the amount of adherence of the product 1 1 〇 1 1 1 [Symbol] 1 1 1 1 This paper size applies the Chinese National Standard (CNS) A4 specifications (210X297 mm) -9- 575919 A7 B7 V. Description of the invention (7) 1: Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs of the Processing Chamber 2 • Vacuum exhaust means 3 • Semiconductor wafer 4; Transfer system 5: Placement electrode 8 1 5; Integrator 9 1 6: High-frequency power source 10: Crystal holder 1 2 ·· Induction coil 1 4 2 6: Power branch circuit 1 7: Insulation system member 1 8 ·· Conductor member 1 8 a: Part of the conductive concrete member 1 8 b: Residual part 20: Blow-out of process gas □ 2 1; Gas introduction pipe 2 2; Retaining member 2 2 a • Outer tube 2 2 b: Inner tube 2 2 c: BottomPlate 2 3 ·· Heating section 2 4: Feeding slot 2 5 ·· Temperature regulator 2 7: Bias pressure application part for crystal base (Please read the precautions on the back before filling this page) • Installation ·

、1T -線 本紙張尺度適用中.國國家標準(CNS ) Α4規格(210Χ297公釐) -10- 經濟部智慧財產局員工消費合作社印製 575919 A7 B7 五、發明説明(8 ) 4 1: 縫隙 42: 絕緣蓋 43: 電容器 4 4: 電漿 2 2 1: 晶圓的傳入傳出孔 222' 排氣口 223: 凸片 224: 凹凸 2 2 5: 圓筒狀零件 【較佳實施例之詳細說明】 以下參照添附圖面來說明本發明的實施形態。圖1是 說明與本發明實施形態有關的電漿處理裝置的圖。在圖中 處理反應室1例如爲以氧化鋁膜處理法(Alumite )處理 表面的鋁製或不銹鋼製的真空容器,該容器是電氣接地。 處理反應室1具備真空排氣手段2以及用以將被處理物的 半導體晶圓3傳入傳出的傳送系統4。在處理反應室1內 設置用以載置半導體晶圓3的載置電極5。傳送系統4將 晶圓傳入處理反應室內而載置於載置電極5上。載置電極 5藉由未圖示的靜電吸盤(Chuck)靜電吸附保持晶圓5 〇 在載置電極5經由整合器8連接數百KH Z到數十 Μ Η z的頻率的高頻電源9。藉由調整此電源的輸出,可 控制在電漿處理中入射到半導體晶圓3的離子的能量。而 本紙張尺度適用中.國國家標準(CNS ) Α4規格(210 X 297公釐) 裝 I 訂 線 (請先閲讀背面之注意事項再填寫本頁) -11 - 575919 經濟部智慧財產局員工消費合作社印製 A7 __B7_五、發明説明(9 ) 且,在載置電極5內具備未圖示的冷煤通路,藉由使冷煤 流過該通路,以保持在處理中被電漿加熱的晶圓的溫度於 大致一定。在載置電極5的晶圓載置面以外的電極上部表 面配設絕緣材製的晶座1 0,以由電漿或反應性氣體保護 載置電極。 在與處理反應室1的晶圓3面對的位置配置電漿源。 在圖中電漿源顯示使用感應結合電漿源的例子。如圖所示 ’在由石英或氧化鋁陶瓷等的絕緣材形成的圓頂型的絕緣 體製構件1 7的大氣側,用以面對晶圓3配置感應線圈 12° 而且,在感應線圈1 2與絕緣體製構件1 7之間設置 導電體構件1 8。導電體構件1 8如後述由配設狹縫( Slit)成放射狀的金屬板構成,以整個覆蓋圓頂型的絕緣 體製構件1 7。狹縫在線圈1 2的存在位置且用以橫穿線 圈而形成。據此,不阻礙由流過感應線圈的電流所產生的 感應電流流過電漿。由線圏1 2的中心引出電力供給線, 在此電力供給線經由電力分歧電路1 4以及整合電路1 5 連接數百KHz到數十MHz的高頻電源1 6。在處理室 上部的圓頂型的絕緣體製構件1 7的約略中心設有處理氣 體的吹出口 2 0。處理氣體經由導入配管2 1以及吹出口 2 0被導入到反應室內。而且,處理反應室1全體藉由未 圖示的溫度調整機構調節溫度較佳。 如前述在高頻電源1 6產生的電力被供給到感應線圈 1 2以及導電體構件1 8。感應線圈1 2與電漿電磁結合 I I 批衣 訂 線 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -12· 575919 A7 B7 五、發明説明(10) 生成高密度的高頻感應結合電漿。而且,導電體構件1 8 藉由產生的高頻電場將由前述感應線圏1 2生成的電漿中 的離子引入到處理反應室1的表面。因此,藉由控制供給 到導電體構件1 8的電力改變在導電體構件1 8產生的高 頻電壓,可控制入射到圓頂型的絕緣體製構件1 7的離子 的能量。 此外,如圖1所示的例子利用圓頂型的絕緣體製構件 1 7。但是,絕緣體製構件1 7的形狀如圖3所示可令成 平板型。而且,如圖4所示可令成圓筒型。此情形感應線 圏1 2設置於圓頂型的絕緣體製構件1 7的側面。 其次,說明導電體構件1 8的配置。如圖1所示的例 子設置導電體構件1 8於圓頂型的絕緣體製構件1 7的大 氣側。但是,導電體構件1 8如圖5所示設置於絕緣體製 構件1 7的真空側也可以。但是,此情形爲了由腐鈾性氣 體或電漿保護配置在真空側(處理室內側)的導電體構件 1 8,配設絕緣蓋4 2較佳。 而且,如圖5所示設置導電體構件1 8於絕緣體製構 件1 7的真空側的情形如圖6所示,可將感應線圏1 2埋 入到真空側或絕緣體製構件1 7內而配置。 而且,如圖7所示將導電體構件1 8的一部分1 8 a 配置於絕緣體製構件1 7的大氣側,可配置殘部1 8 b於 真空側。即使對導電體構件1 8施加相同電壓,因配置於 離開電漿的大氣側的導電體構件的一部分1 8 a所產生的 電場的效果比殘部1 8 b的電場的效果小,故使用適切的 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ---------莽 (請先閱讀背面之注意事項再填寫本頁)、 1T-In-line paper standard is applicable. National National Standard (CNS) Α4 specification (210 × 297 mm) -10- Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 575919 A7 B7 V. Description of invention (8) 4 1: Gap 42: Insulation cover 43: Capacitor 4 4: Plasma 2 2 1: Wafer inlet and outlet 222 'Exhaust port 223: Protrusion 224: Concave and convex 2 2 5: Cylindrical part [of the preferred embodiment DETAILED DESCRIPTION Hereinafter, embodiments of the present invention will be described with reference to the accompanying drawings. Fig. 1 is a diagram illustrating a plasma processing apparatus according to an embodiment of the present invention. In the figure, the processing reaction chamber 1 is, for example, an aluminum or stainless steel vacuum container whose surface is processed by an alumite film processing method (Alumite), and the container is electrically grounded. The processing reaction chamber 1 includes a vacuum evacuation means 2 and a transfer system 4 for transferring the semiconductor wafer 3 to be processed into and out of the semiconductor wafer 3. A processing electrode 5 is provided in the processing reaction chamber 1 for mounting the semiconductor wafer 3. The transfer system 4 transfers the wafer into the processing reaction chamber and places it on the mounting electrode 5. The mounting electrode 5 electrostatically holds the wafer 5 by an electrostatic chuck (not shown). The mounting electrode 5 is connected to a high-frequency power source 9 having a frequency of several hundred KH Z to several tens of MHz through the integrator 8. By adjusting the output of this power source, the energy of ions incident on the semiconductor wafer 3 during plasma processing can be controlled. And this paper size is applicable. National Standard (CNS) Α4 size (210 X 297 mm) I line (please read the precautions on the back before filling this page) -11-575919 Employees of Intellectual Property Bureau of the Ministry of Economic Affairs Cooperative printed A7 __B7_ V. Description of the invention (9) In addition, a cold coal passage (not shown) is provided in the placement electrode 5, and the cold coal is allowed to flow through the passage to keep the heated by plasma in the process. The temperature of the wafer is approximately constant. A wafer base 10 made of an insulating material is arranged on the upper surface of the electrode other than the wafer mounting surface on which the electrode 5 is mounted to protect the mounting electrode with a plasma or a reactive gas. A plasma source is disposed at a position facing the wafer 3 of the processing reaction chamber 1. The plasma source in the figure shows an example of using an inductive plasma source. As shown in the figure, an induction coil 12 is disposed on the atmospheric side of a dome-shaped insulating system member 17 formed of an insulating material such as quartz or alumina ceramics so as to face the wafer 3 at an angle of 12 °. A conductive member 18 is provided between the insulating member 17 and the insulating member 17. The conductive member 18 is composed of a metal plate with slits arranged radially as described later, and covers a dome-shaped insulating system member 17 as a whole. The slit is formed at the position where the coil 12 exists and is used to cross the coil. This does not prevent the induction current generated by the current flowing through the induction coil from flowing through the plasma. A power supply line is drawn from the center of the line 2 12, and the power supply line is connected to a high-frequency power supply 16 of several hundreds KHz to several tens of MHz via a power branch circuit 14 and an integrated circuit 15. A blow-out port 20 for processing gas is provided at approximately the center of the dome-shaped insulating system member 17 on the upper part of the processing chamber. The processing gas is introduced into the reaction chamber through the introduction pipe 21 and the blow-out port 20. The temperature of the entire processing reaction chamber 1 is preferably adjusted by a temperature adjustment mechanism (not shown). The power generated by the high-frequency power supply 16 as described above is supplied to the induction coil 12 and the conductive member 18. Induction coil 1 2 and plasma electromagnetic combination II Batch Thread (please read the precautions on the back before filling this page) This paper size is applicable to China National Standard (CNS) A4 (210X297 mm) -12 · 575919 A7 B7 5. Description of the invention (10) Generate high-density high-frequency induction combined with plasma. Further, the conductor member 18 introduces ions in the plasma generated by the induction wire 圏 12 to the surface of the processing reaction chamber 1 by the generated high-frequency electric field. Therefore, by controlling the power supplied to the conductor member 18 to change the high-frequency voltage generated in the conductor member 18, the energy of ions incident on the dome-shaped insulating member 17 can be controlled. In addition, the example shown in Fig. 1 uses a dome-type insulating system member 17. However, the shape of the insulating member 17 can be made into a flat shape as shown in FIG. Moreover, as shown in FIG. 4, it can be made into a cylindrical shape. In this case, the induction line 圏 12 is provided on the side of the dome-shaped insulating system member 17. Next, the arrangement of the conductor members 18 will be described. In the example shown in Fig. 1, a conductive member 18 is provided on the atmospheric side of the dome-shaped insulating member 17. However, the conductor member 18 may be provided on the vacuum side of the insulating member 17 as shown in FIG. 5. However, in this case, in order to protect the conductive member 18 disposed on the vacuum side (inside the processing chamber) by a rotten gas or plasma, it is preferable to provide an insulating cover 4 2. In addition, as shown in FIG. 5, when the conductor member 18 is provided on the vacuum side of the insulating member 17, as shown in FIG. 6, the induction wire 圏 12 can be buried in the vacuum side or the insulating member 17. Configuration. Further, as shown in FIG. 7, a part 18a of the conductor member 18 is arranged on the atmospheric side of the insulating member 17, and a stub portion 18b may be arranged on the vacuum side. Even if the same voltage is applied to the conductor member 18, the effect of the electric field generated by a part of the conductor member 18a disposed on the atmospheric side away from the plasma is smaller than the effect of the electric field of the residual portion 18b. This paper size is applicable to China National Standard (CNS) A4 specification (210X297 mm) --------- Reckless (Please read the precautions on the back before filling this page)

T 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印製 575919 A7 B7 五、發明説明(11) 値的電容器4 3連接導電體構件1 8的一部分1 8 a與殘 部1 8 b等,作成在一部分1 8 a側比前述導電蓋的殘部 1 8 b還出現更高電壓的電路構成較佳。 而且,如圖8所示構成感應線圈1 2爲扁平的形狀, 令此扁平的形狀的線圏接近絕緣體製構件1 7而配置。據 此,可令扁平形狀的感應線圏1 2爲被供給在感應線圈 12以及前述高頻電源16產生的電力之導電體構件18 而利用。因此,此情形無須圖1所示的導電體構件以及電 力分歧電路1 4。但是,此情形雖然裝置的構成很簡易, 但是無法獨立控制電漿的密度與入射的離子。 圖9爲說明前述的導電體構件1 8的詳細的圖。如圖 所示導電體構件1 8由配設狹縫4 1成放射狀的金屬板構 成,以覆蓋圓頂型的絕緣體製構件1 7。而且,在導電體 構件1 8的頂面配置有感應線圏1 2。 其次,說明使用導電體構件1 8潔淨地保持絕緣體製 構件1 7的表面的方法。 圖1 0是以絕緣體製構件1 7的溫度爲參數顯示以預 定條件利用氯氣的電漿蝕刻箔的情形中的絕緣體製構件 1 7的蝕刻/沉積率的圖。如圖所示,在令絕緣體製構件 1 7的溫度爲1 〇 〇 °C的情形下,施加於導電體構件1 8 的電壓低時,藉由箔的蝕刻而生成的反應生成物附著於絕 緣體製構件1 7的表面,惟若提高施加的電壓的話,以 5 Ο Ο V p p (距高頻的尖峰的尖峰的電壓値)程度爲臨 界(T h r e s h ο 1 d ),絕緣體製構件1 7的表面轉變爲蝕刻。 I I 裝 訂 ~"線 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -14- 經濟部智慧財產局員工消費合作社印製 575919 A7 B7 五、發明説明(12) 雖然也依存於絕緣材的材質或厚度,但是在前述預定條件 藉由對導電體構件1 8供給5 Ο Ο V p P以上的電壓,可 在箔的蝕刻時潔淨地保持絕緣體製構件1 7的表面。 而且,若提高絕緣體製構件1 7的溫度到3 5 0 °C的 話,即使在施加於導電體構件1 8的電壓低時也能防止反 應生成物的沉積。但是,若考慮絕緣體製構件1 7與真空 反應室1之間的真空密封部的可靠度等的話,由高溫化所 造成的沉積物的附著防止有限度。因此,施加利用本發明 的偏壓電壓比量產線出現的使用還適合。 其次,說明潔淨地保持晶座1 0表面的方法。晶座 1 0位於極靠近晶圓,在晶座表面若產生厚的沉積膜的話 ,就會大量地產生微粒。 利用晶圓偏壓使用則述圖1 g兌明潔淨地保持晶座表面 的方法。如圖1所示經由整合器8以及電力分歧電路2 6 將晶圓偏壓用的高頻電源9的輸出施加到設置於電極側面 部分的晶座用偏壓施加部2 7。藉由控制施加於此偏壓施 加部2 7的電力,與前述絕緣體製構件1 7的情形一樣可 控制入射到晶座1 0表面的離子,可防止反應生成物的沉 積。此外,在蝕刻實際的晶圓所使用的偏壓電力條件的範 圍內,也藉由在晶座表面產生電壓而調節晶座1 0的厚度 ,即使不準備分歧電路等特別的硬體,也能防止對晶座 1 0的反應生成物的附著。 以上藉由控制入射到絕緣體製構件1 7以及晶座1 0 的離子能量,說明潔淨地保持這些構件表面的方法。但是 本紙張尺度適用中.國國家標準(CNS ) A4規格(210 X 297公釐) 裝 訂 線 (請先閱讀背面之注意事項再填寫本頁) -15- 575919 經濟部智慧財產局員工消費合作社印製 A7 _B7五、發明説明(13) ,即使防止對反應生成物的前述絕緣體製構件1 7以及晶 座1 0表面的附著,因非揮發性材料的蝕刻製程中的前述 生成物的蒸氣壓低,故無法利用排氣泵排氣。結果前述生 成物附著於處理反應室的其他位置。 因此,在本發明中在處理反應室的下流側設置用以使 這些生成物附著的構件2 2 (截留(Trap )構件)。 圖1 1是說明截留構件的構成圖。截留構件2 2由覆 蓋處理反應室內壁的外筒2 2 a、覆蓋載置電極外壁的內 筒2 2 b以及覆蓋處理反應室底部的底板2 2 c構成。此 外,在前述外筒2 2 a以及底板2 2 c分別設有用以傳入 傳出晶圓的貫通孔2 2 1以及排氣口 2 2 2。 截留構件如圖1 1所示爲可簡易地拆卸安裝的構造, 在維修保養時例如藉由與洗淨完了的截留構件交換,可降 低裝置的停機時間(D 〇 w n t i m e )。截留構件爲鋁、不銹 鋼等的金屬材料或石英等的絕緣材料的任一個皆可,爲大 致覆蓋處理反應室內的主要表面(絕緣體製構件1 7以及 載置電極電極上部(晶圓載置部以及晶座1 〇除外))的 構造。 截留構件的表面爲了使反應生成物的附著性良好,具 有凹凸者較佳。如果依照發明者們的實驗,截留構件的表 面粗糙度(R a )爲對各種非揮發性薄膜的蝕刻反應生成 物1 0 // m以上較佳。因此,在截留構件的表面藉由珠粒 噴擊(Shot blast)等的方法預先進行凹凸的設置爲有效 。而且,在截留構件的表面可保持的沉積物的厚度爲有限 裝 訂 線 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐) -16- 575919 A7 _________ 五、發明説明( (請先閲讀背面之注意事項再填寫本頁) (若太厚的話會剝落)。因此,在截留構件的宏觀意思的 表面積大者有利,在不妨礙排氣的範圍使表面積增大對於 延長截留構件的壽命有效。 圖1 2是顯示截留構件的其他構成例的圖。如圖所示 在外筒22a的內表面安裝複數個凸片(Fin) 223 〇 圖1 3是顯示截留構件的再其他構成例的圖。如圖所 示在外筒2 2 a的內表面配設複數個凹凸2 2 4。複數個 凹凸可配設成點狀或線狀。 圖1 4是顯示截留構件的再其他構成例的圖。如圖所 示在外筒2 2 a的內側將圓筒狀零件2 2 5安裝成同心狀 。此構造在維修保養時的處理(特別是利用藥液的洗淨) 容易。 經濟部智慧財產局員工消費合作社印製 圖1 5是顯示截留構件的再其他構成例的圖。如圖所 示形成外筒2 2 a爲在下流側擴管的漏斗狀,然後在外筒 2 2 a的內側安裝在下流側擴管的漏斗狀零件2 2 6成同 心狀。藉由安裝漏斗狀零件,比截留構件還下流的反應室 由放電空間無法期望,可防止在下流側的生成物的附著。 圖16是說明處理反應室1內面之中以導電體構件覆 蓋的面以及以截留構件覆蓋的面的圖。 如前述藉由經由導電體構件供給高頻偏壓給絕緣體製 構件1 7,而且,藉由例如最佳化晶座1 〇的厚度,對晶 座供給高頻偏壓或藉由安裝截留構件2 2,可在量產水準 實現非揮發性材料的蝕刻處理。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -17- 經濟部智慧財產局員工消費合作社印製 575919 A7 B7 五、發明説明( 晶圓的傳入傳出孔2 2 1、排氣口 2 2 2等的開口部 等無法藉由截留構件或導電體構件保護的部分雖然存在, 惟這些部分以外的部分當作被供給利用導電體構件的高頻 偏壓(壁偏壓)的部分與以截留構件覆蓋的部分較佳。 如圖1 6所示若以距載置電極5的電極面上側(絕緣 體製構件1 7側)的電漿生成空間定義的話(在圖中 Φ 5 Ο Ο X 1 5 0 m m的空間),構成處理反應室1、載 置電極5等的該空間的內壁面之中至少9 0%以上爲可藉 由高頻偏壓電壓的施加控制離子能量的部分或可拆卸安裝 的截留構件較佳。 但是在如圖1所示的電漿處理裝置中,在處理反應室 內的反應生成物的濃度在載置電極5的上部附近最高。因 此,由截留構件的外筒2 2 a的上部前端附近附著許多的 反應生成物,藉由此部分的沉積物規定截留構件的交換頻 率。 圖1 7是說明調整截留構件中的反應生成物的附著量 的方法的圖。如圖所示在截留構件的外筒2 2 a與處理反 應室1之間設有間隙,用以使外筒2 2 a的上部由處理反 應室1的壁浮起,以使外筒2 2 a的上部與處理反應室1 間絕熱。外筒2 2 a是被處理反應室1內產生的電漿4 4 加熱。此時因電漿的密度在上側高,故截留構件的外筒 2 2 a變成上部爲高溫度分布,如在前述圖3所示,可抑 制對反應生成物的外筒2 2 a上部的沉積。. 圖1 8是說明調整截留構件中的反應生成物的附著量 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐) I 辦衣 訂 線 (請先閱讀背面之注意事項再填寫本頁) -18- 575919 A7 B7 五、發明説明( 的其他方法的圖。如圖所示,藉由鹵素燈等的加熱手段 2 3加熱截留構件的外筒2 2 a的上部,保持於預定溫度 。據此,可抑制對反應生成物的外筒2 2 a上部的沉積。 圖1 9是說明調整截留構件中的反應生成物的附著量 的再其他方法的圖。在圖中截留構件的外筒2 2 a、內筒 2 2 b以及底板2 2 c分別內裝像未圖示的護套加熱器( Sheathed heater)的熱源以及溫度感測器。2 5爲溫度調 節器,經由進給槽(Feed through) 2 4對前述截留構件 的外筒2 2 a、內筒2 2 b以及底板2 2 c供給電力,將 各構件加熱到預定溫度。據此,可阻止反應生成物的沉積 集中於特定部分,可防止由此部分的沉積物使截留構件的 交換頻率被規定。 如以上所說明如果依照本實施形態,對於需要保持製 程於一定,且因微粒的產生等成爲阻礙製程處理的要因的 處理反應室的一部分的內表面,是使反應生成物不沉積而 保持,另一方面,由於在對製程處理無問題的可交換部分 集中反應生成物而使其沉積,故可實現高製程穩定性與簡 易的維修保養。 此外,本發明並非限定於半導體裝置的製造領域,也 可適用於液晶顯示器的製造、各種材料的成膜或表面處理 。而且,不僅非揮發性材料的蝕刻裝置,對於在壁面附著 多量的沉積物的電漿C V D裝置也有效。 【發明的功效】 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ----------裝-- (請先閲讀背面之注意事項再填寫本頁) 訂 線 經濟部智慧財產局員工消費合作社印製 -19- 575919 A7 B7 五、發明説明( 如以上所說明的如果依照本發明,可提供穩定非揮發 性材料可蝕刻處理的電漿處理裝置及電漿處理方法。 經濟部智慧財產局員工消費合作社印製 裝 訂 線 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐) -20-T Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs printed 575919 A7 B7 V. Description of the invention (11) Capacitors 4 3 Connected to a part of the conductor 1 1 8 a and the residue 1 8 b, etc., it is better to make a circuit configuration in which a higher voltage appears on a part 1 8 a side than the remaining part 1 8 b of the conductive cover. Furthermore, as shown in FIG. 8, the induction coil 12 is configured to have a flat shape, and the flat-shaped coil is arranged close to the insulating member 17. Accordingly, the flat-shaped induction wire 圏 12 can be used as the conductive member 18 supplied to the induction coil 12 and the electric power generated by the high-frequency power supply 16 described above. Therefore, in this case, the conductor member and the power branch circuit 14 shown in FIG. 1 are not necessary. However, in this case, although the device configuration is simple, the density of the plasma and the incident ions cannot be controlled independently. FIG. 9 is a detailed view for explaining the conductor member 18 described above. As shown in the figure, the conductive member 18 is made of a metal plate with slits 41 arranged radially so as to cover the dome-shaped insulating system member 17. In addition, an induction wire 2 12 is arranged on the top surface of the conductor member 18. Next, a method of holding the surface of the insulating member 17 cleanly using the conductor member 18 will be described. Fig. 10 is a graph showing the etching / deposition rate of the insulating member 17 in the case where the foil is etched with plasma using predetermined conditions using the temperature of the insulating member 17 as a parameter. As shown in the figure, when the temperature of the insulating member 17 is 100 ° C, when the voltage applied to the conductor member 18 is low, the reaction product generated by the etching of the foil adheres to the insulator. The surface of the structural member 17 is only critical if the applied voltage is increased to a level of 5 Ο Ο V pp (the voltage 距 from the peak of the high frequency peak) (T hresh ο 1 d). The surface becomes etched. II Binding ~ " Line (please read the precautions on the back before filling this page) This paper size applies to China National Standard (CNS) A4 specification (210X 297 mm) -14- Printed by the Consumer Cooperative of Intellectual Property Bureau of the Ministry of Economic Affairs 575919 A7 B7 V. Description of the invention (12) Although it also depends on the material or thickness of the insulating material, it can be etched on the foil by applying a voltage of 5 Ο Ο V p P or more to the conductive member 1 8 under the aforementioned predetermined conditions. The surfaces of the insulating system members 17 are kept clean at all times. Furthermore, if the temperature of the insulating member 17 is raised to 350 ° C, the deposition of reaction products can be prevented even when the voltage applied to the conductor member 18 is low. However, considering the reliability of the vacuum sealing portion between the insulating system member 17 and the vacuum reaction chamber 1, etc., there is a limit to the prevention of deposition of deposits due to high temperature. Therefore, the use of the application of the bias voltage of the present invention to a mass production line is also suitable. Next, a method for holding the surface of the crystal holder 10 cleanly will be described. The wafer 10 is located very close to the wafer. If a thick deposited film is generated on the wafer surface, a large amount of particles will be generated. The method of using wafer bias to maintain the wafer surface cleanly as shown in Figure 1g. As shown in FIG. 1, the output of the high-frequency power source 9 for wafer bias is applied to the wafer bias applying section 27 provided on the side surface of the electrode via the integrator 8 and the power branch circuit 26. By controlling the electric power applied to the bias voltage applying portion 27, ions incident on the surface of the crystal base 10 can be controlled in the same manner as in the case of the aforementioned insulating system member 17, and the deposition of reaction products can be prevented. In addition, within the range of the bias power conditions used to etch the actual wafer, the thickness of the pedestal 10 can be adjusted by generating a voltage on the surface of the pedestal. Prevents adhesion of the reaction product to the crystal base 10. The method of maintaining the surface of these members cleanly by controlling the energy of the ions incident on the members 17 of the insulation system and the base 10 has been described above. However, this paper size is applicable. National Standard (CNS) A4 (210 X 297 mm) Gutter (Please read the precautions on the back before filling this page) -15- 575919 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 _B7 Fifth, the description of the invention (13), even if the adhesion of the above-mentioned insulation system member 17 and the crystal base 10 surface of the reaction product is prevented, the vapor pressure of the aforementioned product in the etching process of the non-volatile material is low, Therefore, the exhaust pump cannot be used for exhausting. As a result, the aforementioned products adhere to other positions of the processing reaction chamber. Therefore, in the present invention, a member 2 2 (trap member) for attaching these products to the downstream side of the processing reaction chamber is provided. FIG. 11 is a configuration diagram illustrating a retaining member. The retaining member 22 is composed of an outer tube 2 2 a covering the inner wall of the processing reaction chamber, an inner tube 2 2 b covering the outer wall of the electrode, and a bottom plate 2 2 c covering the bottom of the processing reaction chamber. In addition, the outer cylinder 2 2 a and the bottom plate 2 2 c are provided with a through hole 2 2 1 and an exhaust port 2 2 2 for passing in and out a wafer, respectively. As shown in FIG. 11, the retaining member has a structure that can be easily disassembled and installed. During maintenance, for example, by exchanging the washed retaining member, the downtime of the device can be reduced (D o w n t i m e). The retaining member may be a metallic material such as aluminum, stainless steel, or an insulating material such as quartz, and may cover the main surface of the processing reaction chamber (the insulating mechanism member 17 and the upper part of the placement electrode (the wafer placement part and the crystal) Except for seat 1 0)). In order to improve the adhesion of the reaction product on the surface of the retaining member, it is preferable that the surface has unevenness. According to the experiments of the inventors, it is preferable that the surface roughness (R a) of the retaining member is equal to or greater than 1 0 // m of an etching reaction product of various non-volatile films. Therefore, it is effective to set the unevenness in advance on the surface of the retaining member by a method such as shot blasting. Moreover, the thickness of the deposits that can be retained on the surface of the retaining member is a limited gutter (please read the precautions on the back before filling out this page) This paper size is applicable. National Standard (CNS) A4 (210X297 mm) -16- 575919 A7 _________ 5. Description of the invention ((Please read the precautions on the back before filling out this page) (If it is too thick, it will peel off). Therefore, the larger the surface area of the macro meaning of the retaining member is, it will not hinder Increasing the surface area of the exhaust gas is effective for extending the life of the retaining member. Figure 12 is a diagram showing another configuration example of the retaining member. As shown in the figure, a plurality of fins (Fin) 223 are installed on the inner surface of the outer cylinder 22a. Fig. 13 is a view showing still another configuration example of the retaining member. As shown in the figure, a plurality of irregularities 2 2 4 are arranged on the inner surface of the outer tube 2 2 a. The plurality of irregularities can be arranged in a dot shape or a line shape. 14 is a view showing still another configuration example of the retaining member. As shown in the figure, the cylindrical part 2 2 5 is mounted concentrically inside the outer tube 2 2 a. This structure is handled during maintenance (especially by using Liquid Net) Easy. Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs. Figure 15 is a diagram showing still another configuration example of the retaining member. As shown in the figure, the outer tube 2 a is formed in a funnel shape that expands the pipe on the downstream side. The funnel-shaped parts 2 2 6 which are installed on the downstream side expansion tube inside the outer tube 2 2 a are concentric. By installing the funnel-shaped parts, the reaction chamber downstream than the retaining member is not expected from the discharge space and can be prevented on the downstream side. FIG. 16 is a diagram illustrating a surface covered with a conductive member and a surface covered with a retaining member among the inner surfaces of the processing reaction chamber 1. As described above, a high-frequency bias is supplied to the insulator through the conductive member. Furthermore, for example, by optimizing the thickness of the crystal base 10, applying a high-frequency bias to the crystal base, or installing the retaining member 22, the non-volatile material can be etched at a mass production level. 。 This paper size applies to Chinese National Standard (CNS) A4 specification (210X297 mm) -17- Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 575919 A7 B7 V. Description of the invention (incoming and outgoing holes for wafers 2 2 1. Exhaust ports 2 2 2 and other openings and other parts that cannot be protected by the retaining member or the conductive member are present, but other parts are regarded as being supplied with a high-frequency bias (wall deviation) using the conductive member It is preferable that the part is covered with a retaining member. As shown in FIG. 16, if it is defined by the plasma generation space from the electrode surface side (the insulation system member 17 side) on which the electrode 5 is placed (in the figure) Φ 5 Ο Ο X 1 50 mm space), at least 90% or more of the inner wall surfaces of the space constituting the processing reaction chamber 1, the placement electrode 5 and the like are ions that can be controlled by the application of a high-frequency bias voltage A portion of the energy or a detachably mounted retaining member is preferred. However, in the plasma processing apparatus shown in FIG. 1, the concentration of the reaction product in the processing reaction chamber is highest near the upper portion of the placement electrode 5. Therefore, a large number of reaction products are adhered near the upper front end of the outer tube 22a of the retaining member, and the exchange rate of the retaining member is regulated by the sediment in this part. Fig. 17 is a diagram illustrating a method of adjusting the amount of reaction products deposited in the retaining member. A gap is provided between the outer cylinder 2 2 a of the retaining member and the processing reaction chamber 1 as shown in the figure, so that the upper part of the outer cylinder 2 2 a is raised from the wall of the processing reaction chamber 1 so that the outer cylinder 2 2 The upper part of a is insulated from the processing reaction chamber 1. The outer cylinder 2 2 a is heated by the plasma 4 4 generated in the processing reaction chamber 1. At this time, because the density of the plasma is high on the upper side, the outer tube 2 2 a of the retaining member becomes a high temperature distribution at the upper part. As shown in FIG. 3 described above, the deposition on the upper part of the outer tube 2 2 a of the reaction product can be suppressed. . Figure 18 shows how to adjust the adhesion amount of reaction products in the retaining member. The paper size is applicable. National Standard (CNS) A4 Specification (210X297 mm) I Clothing Thread (Please read the precautions on the back before Fill out this page) -18- 575919 A7 B7 V. Illustration of other methods of the invention (As shown in the figure, the upper part of the outer tube 2 2 a of the retaining member is heated by a heating means such as a halogen lamp 2 3 and held at Predetermined temperature. As a result, deposition on the upper part of the outer tube 2 2 a of the reaction product can be suppressed. FIG. 19 is a diagram illustrating still another method for adjusting the amount of the reaction product in the trapped member. The trapped member is shown in the figure The outer tube 2 2 a, the inner tube 2 2 b, and the bottom plate 2 2 c are respectively equipped with a heat source and a temperature sensor such as a sheathed heater (not shown). 25 is a temperature regulator. A feed through 2 4 supplies power to the outer tube 2 2 a, the inner tube 2 2 b, and the bottom plate 2 2 c of the retaining member, and heats each member to a predetermined temperature. This can prevent the deposition of reaction products. Focus on a specific section to prevent deposition from this section As described above, according to the present embodiment, the internal surface of a part of the processing reaction chamber that needs to keep the process constant and is a factor that hinders the process due to particle generation, etc. The reaction product is kept without being deposited, and on the other hand, since the reaction product is concentrated and deposited on the exchangeable part that has no problem in the process processing, high process stability and simple maintenance can be realized. In addition, the present invention It is not limited to the field of manufacturing semiconductor devices, but can also be applied to the manufacture of liquid crystal displays, film formation or surface treatment of various materials. Moreover, it is not only an etching device for non-volatile materials, but also plasma CVD for depositing a large amount of deposits on the wall surface. The device is also effective. [Effect of the invention] The paper size is applicable to the Chinese National Standard (CNS) A4 specification (210X297 mm) ---------- installation-(Please read the precautions on the back before filling in this Page) Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs-19- 575919 A7 B7 V. Description of the invention (as explained above) According to the present invention, a plasma processing apparatus and a plasma processing method for stable non-volatile materials and etching treatment can be provided. Printed binding line for employees' cooperatives of the Intellectual Property Bureau of the Ministry of Economy ) This paper size is applicable. National National Standard (CNS) A4 specification (210X297 mm) -20-

Claims (1)

575919 A8 B8 C8 ___ D8 六、申請專利範圍 第9 1 1 1 9434號專利申請案 中文申請專利範圍修正本 (請先閲«背面之注意事項再填寫本頁) 民國92年10月14日修正 1、 一種電漿處理裝置,具備: 處理反應室; 將處理氣體導入到該處理反應室內的處理氣體導入配 管; 配置於處理反應室內,載置保持試樣的載置電極; 對該試樣供給偏壓電位的偏壓電位生成用高頻電源; 以及 對該處理氣體供給高頻功率,以電漿化該處理氣體的 感應線圏’其特徵爲: 言亥處理反應室面對處理反應室內面的一部分在該部分 具備用以供給偏壓電位的導電體構件,且在該處理反應室 內面的其他部分具備形成反應生成物的附著面的可拆卸安 裝的截留構件。 經濟部智慧財產局員工消費合作社印製 2、 一種電漿處理裝置,具備: 處理反應室; Μ處理氣體導入到該處理反應室內的處理氣體導入配 管; 配置於處理反應室內,載置保持試樣的載置電極; 對該試樣供給偏壓電位的偏壓電位生成用高頻電源; 以及 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X 297公楚) — 575919 A8 B8 C8 D8 々、申請專利範圍 對該處理氣體供給高頻功率,以電漿化該處理氣體的 感應線圈,其特徵爲: (請先閲身背面之注意事項再填寫本頁) 該處理反應室面對處理反應室內面的一部分在該部分 具備用以供給偏壓電位的導電體構件,且在該處理反應室 內面的其他部分具備形成反應生成物的附著面的可拆卸安 裝可調整溫度的截留構件.。 3、 如申請專利範圍第1項或第2項所述之電漿處理 裝置,其中該可拆卸安裝的截留構件的表面粗糙度(r a )爲1 0 // m以上。 4、 如申請專利範圍第1項或第2項所述之電漿處理 裝置,其中以該導電體構件覆蓋的面、藉由晶座用偏壓施 加部施加偏壓的載置電極面、試樣載置面以及以該截留構 件覆蓋的面的合計爲構成該處理反應室內的電漿生成空間 的內壁面的9 0 %以上。 5、 如申請專利範圍第2項所述之電漿處理裝置,其 中該截留構件具備用以調整其溫度的加熱手段。 6、 一種電漿處理方法,係屬於使用: 經濟部智慧財產局員工消費合作社印製 處理反應室; 將處理氣體導入到該處理反應室內的處理氣體導入配 管; 配置於處理反應室內,載置保持試樣的載置電極; 對該試樣供給偏壓電位的偏壓電位生成用高頻電源; 以及 對該處理氣體供給高頻功率的感應線圈, 本紙張尺度適用中國國家梯準() 規格(2】〇Χ Μ?公楚) -2- 575919 ABCD 六、申請專利範圍 且包含對該感應線圈供給高頻功率,以^ _ _彳七_亥胃理 氣體而處理該試樣之手段的電漿處理方法,其特徵爲: 使用具有··覆蓋處理反應室的一部分,在處理反應室 內面的對應部分具備供給偏壓電位的導電體構件;及在處 理反應室內面的其他部分具備形成反應生成物的附著面的 可拆卸安裝的截留構件之前記處理反應室,且包含使該截 留構件在每一預定期間進行交換之手段。 ^-- (請先閱讀背面之注意事項再填寫本頁) 訂 ,線 經濟部智慧財產局員工消費合作社印製 木紙張尺度適用中國國家標準(CNS ) Α4#見格(2】〇Χ297公釐) -3-575919 A8 B8 C8 ___ D8 VI. Application for Patent Scope No. 9 1 1 1 9434 Chinese Patent Application Amendment (please read «Notes on the back before filling out this page) Amendment on October 14, 1992 1 A plasma processing apparatus comprising: a processing reaction chamber; a processing gas introduction pipe for introducing a processing gas into the processing reaction chamber; a processing electrode disposed in the processing reaction chamber and holding a sample for holding the sample; A high-frequency power supply for generating a bias potential of a piezoelectric potential; and an induction line for supplying high-frequency power to the processing gas to plasmatify the processing gas, wherein the processing reaction chamber faces the processing reaction chamber; A part of the surface includes a conductive member for supplying a bias potential in the part, and a detachably attachable retaining member that forms an adhesion surface of a reaction product in another part of the interior of the processing reaction chamber. Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 2. A plasma processing device comprising: a processing reaction chamber; a processing gas introduction piping into which the processing gas is introduced into the processing reaction chamber; arranged in the processing reaction chamber, and holding and holding samples A high-frequency power source for generating a bias potential for supplying a bias potential to the sample; and this paper size applies the Chinese National Standard (CNS) A4 specification (210X 297 Gongchu) — 575919 A8 B8 C8 D8范围 The scope of the patent application is to supply high-frequency power to the processing gas to plasmatify the induction coil of the processing gas, which is characterized by: (Please read the precautions on the back of the body before filling this page) The processing reaction chamber faces the processing A part of the inside of the reaction chamber is provided with a conductive member for supplying a bias potential in the part, and the other part of the inside of the processing reaction chamber is provided with a detachable and adjustable temperature-retaining retaining member that forms an attachment surface for the reaction product. . 3. The plasma processing device according to item 1 or item 2 of the scope of patent application, wherein the surface roughness (r a) of the detachably installed retaining member is 1 0 // m or more. 4. The plasma processing apparatus according to item 1 or item 2 of the scope of the patent application, wherein the surface covered with the conductive member, the placement electrode surface to which a bias voltage is applied by the wafer base bias application unit, The total of the sample mounting surface and the surface covered by the retaining member is 90% or more of the inner wall surface constituting the plasma generation space in the processing reaction chamber. 5. The plasma processing apparatus according to item 2 of the scope of patent application, wherein the retaining member is provided with heating means for adjusting its temperature. 6. A plasma processing method, which belongs to the use: printing processing reaction chamber of the consumer cooperative of employees of the Intellectual Property Bureau of the Ministry of Economic Affairs; processing gas introduction piping that introduces processing gas into the processing reaction chamber; is disposed in the processing reaction chamber and is placed on hold The electrode on which the sample is placed; a high-frequency power supply for bias potential generation that supplies a bias potential to the sample; and an induction coil that supplies high-frequency power to the processing gas. Specifications (2) 0 × Μ? Gongchu -2- 575919 ABCD 6. The scope of patent application and including the supply of high-frequency power to the induction coil, ^ __ 彳 七 _ 海 gastric gas treatment of the sample A plasma processing method is characterized in that: a part of the processing reaction chamber is covered, and a conductive member for supplying a bias potential is provided in a corresponding part inside the processing reaction chamber; and the other part inside the processing reaction chamber is provided The detachably mounted retaining member forming the attachment surface of the reaction product is recorded in the reaction chamber beforehand, and the retaining member is included in each predetermined Means of exchange between conduct. ^-(Please read the notes on the back before filling out this page). The standard of printed wood paper for the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs is applicable to the Chinese National Standard (CNS) Α4 # 见 格 (2】 〇 × 297mm ) -3-
TW91119434A 2002-08-27 2002-08-27 Plasma treating apparatus and plasma treating method TW575919B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW91119434A TW575919B (en) 2002-08-27 2002-08-27 Plasma treating apparatus and plasma treating method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW91119434A TW575919B (en) 2002-08-27 2002-08-27 Plasma treating apparatus and plasma treating method

Publications (1)

Publication Number Publication Date
TW575919B true TW575919B (en) 2004-02-11

Family

ID=32734207

Family Applications (1)

Application Number Title Priority Date Filing Date
TW91119434A TW575919B (en) 2002-08-27 2002-08-27 Plasma treating apparatus and plasma treating method

Country Status (1)

Country Link
TW (1) TW575919B (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI412444B (en) * 2005-03-28 2013-10-21 Lam Res Corp Apparatus for servicing a plasma processing system with a robot
US9253867B2 (en) 2009-10-27 2016-02-02 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US9899191B2 (en) 2009-10-27 2018-02-20 Tokyo Electron Limited Plasma processing apparatus
US10804076B2 (en) 2009-10-27 2020-10-13 Tokyo Electron Limited Plasma processing apparatus and plasma processing method

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI412444B (en) * 2005-03-28 2013-10-21 Lam Res Corp Apparatus for servicing a plasma processing system with a robot
US9253867B2 (en) 2009-10-27 2016-02-02 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
TWI555446B (en) * 2009-10-27 2016-10-21 Tokyo Electron Ltd Plasma processing device and plasma processing method
US9899191B2 (en) 2009-10-27 2018-02-20 Tokyo Electron Limited Plasma processing apparatus
US9941097B2 (en) 2009-10-27 2018-04-10 Tokyo Electron Limited Plasma processing apparatus
US9997332B2 (en) 2009-10-27 2018-06-12 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US10804076B2 (en) 2009-10-27 2020-10-13 Tokyo Electron Limited Plasma processing apparatus and plasma processing method

Similar Documents

Publication Publication Date Title
KR100861678B1 (en) Method of forming metal electrodes
CN206758401U (en) Control the radio frequency amplitude of the edge ring of capacitance coupling plasma process equipment
TW386267B (en) A high temperature, high flow rate chemical vapor deposition apparatus and related methods
TW323387B (en)
US6375810B2 (en) Plasma vapor deposition with coil sputtering
TW495805B (en) Gas distribution system for a CVD processing chamber
US7578946B2 (en) Plasma processing system and plasma processing method
JP2007012734A (en) Method and device for plasma etching
JP4421609B2 (en) Substrate processing apparatus, semiconductor device manufacturing method, and etching apparatus
TW457576B (en) Method of forming a titanium film and a barrier metal film on a surface of a substrate through lamination
KR20010024966A (en) A high temperature ceramic heater assembly with rf capability
JP2007042818A (en) Depositing apparatus and method
JP2007150012A (en) Device and method for processing plasma
US20040040662A1 (en) Plasma processing method and apparatus for etching nonvolatile material
JP4052454B2 (en) Method for manufacturing silicon oxide film or silicon nitride film
TW454248B (en) A method and apparatus for enhancing sidewall coverage during sputtering in a chamber having an inductively coupled plasma
TW575919B (en) Plasma treating apparatus and plasma treating method
JPH07312348A (en) Method and apparatus for treatment
JPH0813169A (en) Plasma treatment device
KR20010090570A (en) Method of forming thin film onto semiconductor substrate
US20050194374A1 (en) Heated ceramic substrate support with protective coating
JP2004079557A (en) Device and method for plasma treatment
WO2012049943A1 (en) Silicon nitride film-forming device and method
KR100225571B1 (en) Disk reproducing apparatus
JP3666952B2 (en) CVD equipment

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees