TW574610B - Resist remover composition - Google Patents

Resist remover composition Download PDF

Info

Publication number
TW574610B
TW574610B TW090112453A TW90112453A TW574610B TW 574610 B TW574610 B TW 574610B TW 090112453 A TW090112453 A TW 090112453A TW 90112453 A TW90112453 A TW 90112453A TW 574610 B TW574610 B TW 574610B
Authority
TW
Taiwan
Prior art keywords
photoresist
page
water
compound
weight
Prior art date
Application number
TW090112453A
Other languages
Chinese (zh)
Inventor
Ji-Heum Baek
Chang-Il Oh
Sang-Dae Lee
Jong-Sun Yoo
Original Assignee
Dongjin Semichem Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dongjin Semichem Co Ltd filed Critical Dongjin Semichem Co Ltd
Application granted granted Critical
Publication of TW574610B publication Critical patent/TW574610B/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

The present invention relates to a resist remover composition for removing resists during manufacturing process of semiconductor devices such as integrated circuits, large size integrated circuits, very large size integrated circuits. The composition comprises (a) 10 to 40 wt% of a water-soluble organic amine compound, (b) 40 to 70 wt% of water-soluble organic solvents selected from a group consisting of DMSO, NMP, DMAc, DMF, and a mixture thereof, and (c) 10 to 30 wt% water, (d) 5 to 15 wt% of an organic phenol compound, and (e) 0.5 to 5 wt% of a triazole compound, and (f) 0.01 to 1 wt% of polyoxyethylenealkylamine ether-type surfactant. The resist remover composition of the present invention can easily and quickly remove resist polymer cured by hard baking, dry etching, ashing and/or ion implantation processes and those modified by metallic side-products etched from lower metal film materials during said processes, and is particularly useful for removing resist films modified due to tungsten and titanium nitride film materials. It can also minimize the corrosion of lower metal wiring during a resist removal process, particularly, it minimize corrosion of copper wiring that is useful for a very large size integrated circuit semiconductor manufacturing lines of 1 giga DRAM or more.

Description

574610 A7 B7 五、發明說明( 發明領域 本發明係關於一種去光阻劑組合物,其係可於諸如積 體電路(IC)製程、大型積體電路(LSI)製程、及超大型積 體電路(VLSI)製程等之半導體製程中移除光阻之用。 發明背景: 般來說在半導體元件製造過程中,微影製程會被 重複執行數十次,微影製程是藉由蝕 材上未被光阻圖案覆蓋之導電層,而於半導 成一導電層圖案。將導電層圖案化過程結束後,即可以 光阻去除劑來剝除原來作為光罩之光阻圖案。但是,近 來在超大型積體電路製程中,多採乾蝕刻製程來形成= 電層圖案,因此造成後續剝除製程中愈來愈難將光阻去 除。 線 和使用不同酸混合物所形成之液態組成物來進行濕蝕 刻不同的是,在乾蝕刻製程中,蝕刻製程是在氣相中進 行-固相反應是在蝕刻電漿氣體及一諸如導電層之類 的物質薄膜間進行。由於乾蝕刻製程較易掌控且所得圖 案清晰,因此已成為目前蝕刻製程的主流。但是,乾蚀 刻製程卻會引起光阻薄膜表面上蝕刻電漿氣體中離^與 自由基間複雜的化學反應,使光阻迅速硬化,造成光阻 不易被移除。特別是,在乾蝕刻諸如鋁、鋁合金、及氮 化鈦之類的金屬導電層時,非常難去除側壁上的光阻聚 合物,在硬化過程中這些光阻聚合物還會劣化,或是在 第3頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 574610 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 剥除過程中需使用好幾種去除劑。 最近發展出來的—種内含經基胺及氣基乙氧基乙醇之 去光阻劑組合⑱,由於其可有效去除大部分的光阻薄膜, 因此成為最廣泛使用的去光阻劑組合物。但是,此種去 光阻劑組合物卻會造成製造1 giga DRAM 4更高位元 DRAM時(銅内連線金屬|,而非銘連線金屬層被嚴重 腐蝕。因此,亟需發展一種可彌補此問題之新穎的去光 阻劑組合物。 同時,最近還有人提出混合了乙醇胺及二乙二醇單燒 基醚的去光阻劑組合物,因其沒有太多異味及毒性,: 表現出有效的去光阻力’因此被廣泛使用。但是,已知 該去光阻劑、组^無法充分去除乾蚀㈣程巾或離子植 入製程中冑㈣電漿蚀刻^或離子束下的光阻層。因 此,虽需發展-種可去除被乾蝕刻及離子植入製程改反 過之光阻層之新穎的去光阻劑組合物。 如上述,很難以光阻去除劑將已經過離子植入製程改 良過(光阻層去除。特収’很難將使用高輕射劑量之 離子植入製程在超大型積體電路製程中形成源/汲極區之 改良光阻層去除。在離子植入製程中,光阻層表面被來 自高能離子束及高輻射劑量間的反應熱加以硬化。此外, 還會出現光阻鼓脹破裂(popping of resist),造成光阻殘 餘物。-般來說’-經灰化處理過之半導體晶圓係被加 溫至2〇〇t或更高的溫度。此時,仍留存於光阻中的溶 劑應%全被揮發,但卻因光阻表面經高輻射劑量之離子 第4頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公餐) ----------^----------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 574610 A7574610 A7 B7 V. Description of the Invention (Field of the Invention The present invention relates to a photoresist composition, which can be used in processes such as integrated circuit (IC) processes, large scale integrated circuit (LSI) processes, and very large scale integrated circuits (VLSI) process and other semiconductor processes to remove photoresist. BACKGROUND OF THE INVENTION: In general, during the fabrication of semiconductor components, the lithography process is repeatedly performed dozens of times. The lithography process is performed by etching The conductive layer covered by the photoresist pattern forms a conductive layer pattern on the semiconductor. After the conductive layer is patterned, the photoresist remover can be used to strip the photoresist pattern that was originally used as a photomask. In the large-scale integrated circuit manufacturing process, dry etching is often used to form the = electrical layer pattern, which makes it more and more difficult to remove the photoresist in the subsequent stripping process. Wire and liquid composition using different acid mixtures to wet The difference between etching is that in the dry etching process, the etching process is performed in the gas phase-the solid phase reaction is performed between the etching plasma gas and a thin film of a substance such as a conductive layer. Because of dry etching The process is easier to control and the resulting pattern is clear, so it has become the mainstream of the current etching process. However, the dry etching process will cause a complex chemical reaction between ionization and free radicals in the plasma gas on the surface of the photoresist film, making the photoresist Rapid hardening, which makes it difficult to remove the photoresist. Especially, when dry etching metal conductive layers such as aluminum, aluminum alloys, and titanium nitride, it is very difficult to remove the photoresist polymer on the sidewall. During the hardening process, These photoresist polymers will also deteriorate, or the Chinese national standard (CNS) A4 specification (210 X 297 mm) will be applied to this paper on page 3. 574610 A7 B7 Printed by the Consumer Cooperative of Intellectual Property Bureau of the Ministry of Economic Affairs Note (Several stripping agents need to be used in the stripping process. Recently developed—a kind of photoresist combination 经 containing amine and gas ethoxyethanol, which can effectively remove most of the photoresist Thin film, thus becoming the most widely used photoresist composition. However, this photoresist composition will result in the manufacture of 1 giga DRAM 4 higher-bit DRAM (copper interconnect metal |, and The non-ming wire metal layer was severely corroded. Therefore, there is an urgent need to develop a novel photoresist composition which can make up for this problem. At the same time, it has also recently been proposed to mix ethanolamine and diethylene glycol monoalkyl ether. The photoresist composition is widely used because it does not have much odor and toxicity: it exhibits effective photoresistance. However, it is known that the photoresist composition and group cannot sufficiently remove the dry etching process towel or The photoresist layer under the plasma etching process or ion beam during the ion implantation process. Therefore, although a new photoresist layer can be developed that can remove the photoresist layer that has been changed by dry etching and ion implantation processes As mentioned above, it is difficult to use photoresist removers to improve the ion implantation process (photoresist layer removal. Special feature is difficult to use ion implantation processes with high light doses in ultra-large integrated circuits Improved photoresist layer removal for forming source / drain regions during the process. During the ion implantation process, the surface of the photoresist layer is hardened by the heat of reaction between the high-energy ion beam and the high radiation dose. In addition, popping of resist may occur, resulting in photoresistive residues. -In general,-the ashed semiconductor wafer system is heated to a temperature of 200 t or higher. At this time, the solvent still remaining in the photoresist should be completely volatilized, but the surface of the photoresist is subjected to high radiation doses of ions. Page 4 This paper applies the Chinese National Standard (CNS) A4 specification (210 X 297 meals) ) ---------- ^ ---------- Order --------- line (Please read the precautions on the back before filling this page) 574610 A7

經濟部智慧財產局員工消費合作社印製 束照射後所產生的硬化層而無法被完全揮發。 因此,隨著灰化製程的進行,光阻層内部的壓力會上 升,致使光阻層因内部殘留的溶劑而破裂,此稱為「士支 脹現象(popping)」。硬化層表面破裂後即出現殘餘物,且 很難去除。此外,因光阻表面硬化層因熱、不純離予、 或掺雜物取代了光阻分子中的結構,造成交聯反應,且 反應區域會被〇2電漿所氧化。因此,此氧化的光阻奋傲 成殘餘物及汙染顆粒,因而降低了超大型積體電路之產 出良率。 已有人提出各種能有效去除上述劣化之光阻硬化層的 乾或濕式蝕刻製程,其中之一是文獻中揭露的兩階段灰 化法,其中第二次灰化是在執行完正常灰化程序後進行 的(Fujimura,Spring Preliminary Collection of JapanessPrinted by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs, the hardened layer produced by beam irradiation cannot be completely volatile. Therefore, with the progress of the ashing process, the pressure inside the photoresist layer will rise, which will cause the photoresist layer to break due to the residual solvent inside. This is called “popping”. Residues appear after the surface of the hardened layer is broken and are difficult to remove. In addition, the photoresist surface hardened layer has replaced the structure in the photoresist molecule due to heat, impure separation, or dopants, resulting in a crosslinking reaction, and the reaction area will be oxidized by a 02 plasma. Therefore, this oxidized photoresist is formed into residues and contaminated particles, thereby reducing the yield of ultra-large integrated circuits. Various dry or wet etching processes have been proposed that can effectively remove the degraded photoresist hardened layer. One of them is the two-stage ashing method disclosed in the literature. The second ashing is performed after the completion of the normal ashing process. (Fujimura, Spring Preliminary Collection of Japaness

Spring Application Physical Society Announcement,IP-13 p574,1989)。但是,這些製程亦有問題,除了製程繁複 外,還需要大型設備,且產率較低。 此外,也有人提出包含有機胺化合物及各種有機溶劑 的去光阻劑組合物,以用於傳統濕式光阻剝除製程中。 明確的說,一内含單乙醇胺(ME A)以作為有機胺化合物 的去光阻劑組合物已被廣泛使用。 舉例來說,一種包含兩種組合物之去光阻劑組合物係 包含a)諸如單乙醇胺(ME A)、2-(2-氨基乙氧基)乙醇(AEE) 等等之有機胺化合物,及b)諸如N,N’-二甲基乙醯胺 (DMAc)、N,N’-二甲基甲醯胺(DMF)、N-甲基毗咯酮 第5頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ·----------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 574610 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明() (NMP)、一甲基亞礙(DMS〇)、乙酸碳化酯、甲氧基乙醯 氧基丙烷(美國專利第4,617,251號)等等之極性溶劑;一 種包含兩種組合物之去光阻劑組合物係包含a)諸如單乙 醇胺(MEA)、單丙醇胺、甲基氨乙醇等等之有機胺化合 物’及b)諸如N -甲基乙醯胺(Mac)、N,N,·二甲基乙醯胺 (DMAc)、N,N_二甲基甲醯胺(DMF)、N,N-二乙基乙醯胺、 N,N-二乙基丙醯胺、、.二甲基丙醯胺、Ν,Ν·二甲基丁 醯胺、Ν -甲基乙基丙醯胺(美國專利第4,770,713號) 等等之酿胺溶劑;一種包含兩種組合物之去光阻劑組合 物係包含a)諸如單乙醇胺(mea)等等之有機胺化合物, 及b)諸如1,3-二甲基咪唑酮(DMI)、i,3-二甲基-四氫 嘧啶酮(德國專利公告號第3,828,5 1 3號)等等之非質子式 極性溶劑,一種去光阻劑組合物,其係包含a)烯烴丙胺, 其中係使用了諸如單乙醇胺(MEA)、二乙醇胺(DEA)、三 乙醇胺(TEA)等之烷醇胺,及環氧乙二胺之環氧化物,b) 諸如環楓烷等等之磺酸化物,及c)諸如二甘醇單乙醚、 二甘醇單丁醚等等之甘醇單烷基醚,此三成份以特定比 例混合使用(日本特開平公告號第6 2 - 4 9 3 5 5); —種去光 阻劑組合物係包含a)諸如單乙醇胺(mea)、二乙醇胺(DEA: 等等之液態胺溶液,及b)l,3-二甲基_2-咪唑酮(日本特開 平公告號第63-208043); —種正去光阻劑組合物係包含a) 諸如單乙醇胺(MEA)、乙二胺、六氫吡淀、苯甲胺等等 之胺化合物,及b)諸如N,N、二甲基乙醯胺(DMAc)、N-甲基吡咯酮(NMP)、二甲亞颯(dms〇)等等之極性溶劑; 第6頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公f ) ----------·----------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 574610 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 及C)表面活性劑(曰本特開平公告號第63-23 1 343); 一種 正去光阻劑組合物係包含a)諸如單乙醇胺(MEA)等等之 含氮的有機羥基化合物,b) —或多種選自二甘醇單乙鍵、 二甘醇二烷基醚、γ-丁内酯、1,3-二甲基-2-咪唑嗣之溶 劑,及c)二甲亞减(DMSO),此三成份以特定比例混合使 用(日本特開平公告號弟64-42653); —種正去光阻劑組 合物係包含a)諸如單乙醇胺(MEA)等等之有機胺化合 物,b)諸如二甘醇單乙醚、N,N,-二甲基乙醯胺(DMA〇、 N-甲基毗咯酮(NMP)、二甲亞颯(dms〇)等之非質子極性 溶劑,及c)以磷酯為底的表面活性劑(日本特開平公告號 第4-12466 8); —種去光阻劑組合物係包含a)1,3_二甲基_ 2-咪唑酮(DMI),b)二甲亞颯(DMS〇),及c)諸如單乙醇 胺等之有機胺化合物(日本特開平公告號第4-35〇66〇); 一種去光阻劑組合物係包含a)單乙醇胺,b)二甲亞颯 (DMSO),及c)兒茶紛(日本特開平公告號第5-28 1 753); 這些去光阻劑組合物在安全性、操作性及去除光阻上都 表現出相當優異的性質。 但是’最近流行的半導體組件製程是將包括矽晶圓在 内之數種基材板,在約11(TC至14〇艽的高溫下加熱,因 此光阻經常在南溫下被烘烤。但是,上述光阻去除劑之 例子並無法去除咼溫下烘烤所成之光阻。有人建議以内 含水和/或經基胺之光阻去除劑作為去除硬烘烤光阻之組 合物。舉例來說,一種去光阻劑組合物係包含a)羥基胺, b)燒醇胺,及c)水(日本特開平公告號第4 — 289866); — 第7頁 @張尺度適用中國國家標準(CNS)A4規格(210 X 297公f )--- --------^--------- (請先閱讀背面之注意事項再填寫本頁) 574610 A7 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 五、發明說明( 種去光阻劑組合物係包含a)羥基胺,b)烷醇胺,c)水, 及d)抗腐蝕劑(日本特開平公告號第6-266199);—種去 光阻劑組合物係包含a)諸如GBL(Y-丁内酯)、N,N-二甲 基甲醯胺(DMF)、N,N,-二甲基乙醯胺(DMAc)、N-甲基吼 咯酮(NMP)等之類的極性溶劑,b)諸如八甲基氨基乙醇 等等的氨基醇類,及c)水(日本特開平公告號第7_ 6961 8); —種去光阻劑組合物係包含a)諸如單乙醇胺 (MEA)等之氨基醇類化合物,b)水,及c) 丁二醇(日本特 開平公告號第8 -1 2 3 04 3); —種去光阻劑組合物係包含a) 烷醇胺、烷氧烷胺,b)二甘醇單烷基醚,c)糖醇類化合物, d)四級銨氫氧化物’及e)水(日本特開平公告號第8_ 262746); —種去光阻劑組合物係包含a)單乙醇胺(mea) 或/和2-(2-氨乙氧基)乙醇(AEE)之類的烷醇胺化合物,b) 羥基胺,c)二乙二醇單烷基醚,旬醣(山梨醇),及幻水(曰 本特開平公告號第9-152721); —種去光阻劑組合物係包 含a)羥基胺,b)水,c)酸解離值(1)1^)在7·5至13間之胺 類化合物,d)水溶性有機溶劑,及e)抗腐蝕劑(日本特開 平公告號第9-9691 1)等。 但是,已知上述這些去光阻劑組合物其去除因乾蝕 刻、灰化、離子植入製程、及於該製程中因蝕刻下層金 屬層而經金屬副產物改良硬化之光阻層的能力並不佳, 且其在光阻去除製程中下層金屬連線抗腐蝕的能力並不 好,因此,盈需研發一種可解決這些問題之去光阻劑組 合物。 第8頁 -------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 574610 A7 五、發明說明( 發明概述: 本發明目的之一是提供一種去光阻劑組合物,其係能 輕易、迅速地去除因乾蝕刻、灰化、離予植入製程、及 於該製程中因蝕刻下層金屬層而經金屬副產物改良並硬 化之光阻層,並可將下層部分金屬層(特別是銅連線)被 腐蚀的情形減至最低。 為達成此目的’本發明提供了一種去光阻劑組合物, 其至少包含a)10-40%重量百分比之水溶性有機胺化合 物,b)40_70%重量百分比之選自二甲亞颯(DMS〇)、N_甲 基吨咯酮(NMP)、二甲基乙醯胺(DMAc)、及二甲基甲醯 胺(DMF)及其混合物中之水溶性有機溶劑,c)1〇-3〇%重量 百分比之水’ d)5-l 5%重量百分比之内含2至3個羥基團 之有機苯齡化合物,及e)〇.5-5%重量百分比之三峻化合 線 物,及重量百分比之聚環氧乙烷烷基胺醚類的 界面活性劑。 本發明去光阻劑組合物,較佳係以一氨基醇作為(心水 溶性有機胺化合物,且該氨基醇較佳係選自2_氨基-丨_乙 醇、1-氨基-2-丙醇、2-氨基-1-丙醇、3_氨基丙醇、及 其之混合物,其中又以2_氨基-丨-乙醇最佳,因其可滲透 及膨脹光阻的能力、黏性及價格等特性,而成為最佳選 擇。 此水溶性有機胺化合物含量較好是介於1〇_4〇%重量 百分比間。明確地說,如果此有機胺化合物含量低於1 第9頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 57461〇Spring Application Physical Society Announcement, IP-13 p574, 1989). However, these processes also have problems. In addition to the complicated processes, large-scale equipment is required and the yield is low. In addition, a photoresist composition containing an organic amine compound and various organic solvents has also been proposed for use in a conventional wet photoresist stripping process. Specifically, a photoresist composition containing monoethanolamine (ME A) as an organic amine compound has been widely used. For example, a photoresist composition comprising two compositions comprises a) an organic amine compound such as monoethanolamine (ME A), 2- (2-aminoethoxy) ethanol (AEE), etc., And b) such as N, N'-dimethylacetamide (DMAc), N, N'-dimethylformamide (DMF), N-methylpyrrolidone page 5 Standard (CNS) A4 specification (210 X 297 mm) · -------- Order --------- Line (Please read the precautions on the back before filling this page) 574610 Economy Printed by the Intellectual Property Bureau of the Ministry of Intellectual Property, A7. V. Invention Description (NMP), Monomethylene Barrier (DMS〇), Carboxyl Acetate, Methoxyacetoxypropane (US Patent No. 4,617,251), etc. Polar solvents; and a photoresist composition comprising two compositions comprising a) organic amine compounds such as monoethanolamine (MEA), monopropanolamine, methylaminoethanol, etc., and b) such as N -Methylacetamide (Mac), N, N, · dimethylacetamide (DMAc), N, N-dimethylformamide (DMF), N, N-diethylacetamide, N, N-diethylpropanamide, dimethyl Ammonium amine, Ν, Ν · dimethylbutyramine, N-methylethylpropylamine (US Patent No. 4,770,713), etc .; a photoresist composition comprising two compositions It contains a) organic amine compounds such as monoethanolamine (mea), etc., and b) such as 1,3-dimethylimidazolone (DMI), i, 3-dimethyl-tetrahydropyrimidone (German Patent Publication No. 3,828,5 No. 3) and other aprotic polar solvents, a photoresist composition comprising a) olefin propylamine, wherein monoethanolamine (MEA), diethanolamine (DEA) are used , Alkanolamines such as triethanolamine (TEA), and epoxides of ethylenediamine, b) sulfonates such as cyclomapane, etc., and c) such as diethylene glycol monoethyl ether, diethylene glycol monoamine Glycol monoalkyl ethers such as butyl ether, etc., these three ingredients are mixed in a specific ratio (Japanese Laid-open Patent Publication No. 6 2-4 9 3 5 5);-a photoresist composition containing a) such as Monoethanolamine (mea), diethanolamine (DEA: etc. liquid amine solutions, and b) l, 3-dimethyl_2-imidazolone (Japanese Patent Application Laid-Open No. 63-208043); -A positive photoresist composition system comprising a) an amine compound such as monoethanolamine (MEA), ethylenediamine, hexahydropyridine, benzylamine, etc., and b) such as N, N, dimethylethyl Polar solvents (DMAc), N-methylpyrrolidone (NMP), dimethylarsine (dms〇) and other polar solvents; Page 6 This paper size applies Chinese National Standard (CNS) A4 (210 X 297) f) ---------- · ---------- Order --------- line (Please read the precautions on the back before filling this page) 574610 A7 B7 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs. 5. Description of the invention (and C) surfactants (Japanese Patent Publication No. 63-23 1 343); a positive photoresist composition containing a) such as a single Nitrogen-containing organic hydroxy compounds such as ethanolamine (MEA), etc., b)-or more selected from the group consisting of diethylene glycol monoethyl bond, diethylene glycol dialkyl ether, γ-butyrolactone, 1,3-dimethyl- Solvent of 2-imidazolium, and c) Dimethyl substract (DMSO), these three ingredients are mixed in a specific ratio (Japanese Unexamined Patent Publication No. 64-42653); a kind of positive photoresist composition system includes a) Organics such as monoethanolamine (MEA) Compounds, b) aprotic polarity such as diethylene glycol monoethyl ether, N, N, -dimethylacetamidamine (DMA0, N-methylpyrrolidone (NMP), dimethylarsine (dms〇), etc. Solvents, and c) surfactants based on phosphoric esters (Japanese Laid-Open Patent Publication No. 4-12466 8);-a photoresist composition comprising a) 1,3_dimethyl-2 -imidazole Ketone (DMI), b) dimethylarsine (DMS〇), and c) organic amine compounds such as monoethanolamine (Japanese Laid-Open Patent Publication No. 4-35〇66〇); a photoresist removing composition system Containing a) monoethanolamine, b) dimethylarsine (DMSO), and c) catechin (Japanese Patent Laid-Open Publication No. 5-28 1 753); these photoresist compositions are safe, operable, and Removal of photoresist has shown quite excellent properties. But 'the recent popular semiconductor component manufacturing process is to heat several substrates including silicon wafers at a high temperature of about 11 ° C to 14 ° F, so the photoresist is often baked at South temperature. But The above examples of photoresist removing agents cannot remove the photoresist formed by baking at high temperature. Some people have suggested using a photoresist removing agent containing water and / or amine as the composition for removing the hard baking photoresist. That is, a photoresist composition contains a) hydroxylamine, b) alcohol amine, and c) water (Japanese Laid-open Patent Publication No. 4 — 289866); — page 7 @ 张 平面 适用 applicable Chinese national standards ( CNS) A4 specification (210 X 297 male f) --- -------- ^ --------- (Please read the precautions on the back before filling out this page) 574610 A7 Ministry of Economy Wisdom Printed by the Consumers' Cooperative of the Property Bureau. 5. Description of the invention (A photoresist composition containing a) hydroxylamine, b) alkanolamine, c) water, and d) anti-corrosive agent (Japanese Unexamined Patent Publication No. 6- 266199); a photoresist composition comprising a) such as GBL (Y-butyrolactone), N, N-dimethylformamide (DMF), N, N, -dimethyl Polar solvents such as acetamidine (DMAc), N-methylsalrolidone (NMP), etc., b) amino alcohols such as octamethylaminoethanol, etc., and c) water (Japanese Laid-open Patent Publication No. 7_ 6961 8); —A photoresist composition comprising a) an amino alcohol compound such as monoethanolamine (MEA), b) water, and c) butanediol (Japanese Laid-open Patent Publication No. 8 -1 2 3 04 3);-a photoresist composition system comprising a) alkanolamine, alkoxyalkylamine, b) diethylene glycol monoalkyl ether, c) sugar alcohol compound, d) quaternary ammonium hydrogen Oxides' and e) water (Japanese Laid-open Patent Publication No. 8_262746); a photoresist composition system comprising a) monoethanolamine (mea) or / and 2- (2-aminoethoxy) ethanol ( AEE) alkanolamine compounds, b) hydroxylamine, c) diethylene glycol monoalkyl ether, ten sugar (sorbitol), and magic water (Japanese Patent Application Publication No. 9-152721); A photoresist composition comprising a) a hydroxylamine, b) water, c) an acid dissociation value (1) an amine compound between 1 and 5), d) a water-soluble organic solvent, and e ) Anticorrosive (Japanese Unexamined Patent Publication No. 9-9691 1) and so on. However, it is known that the photoresist composition described above has the ability to remove the photoresist layer hardened by metal byproducts due to dry etching, ashing, ion implantation process, and etching of the underlying metal layer in the process, and It is not good, and its anti-corrosion ability of the lower metal wires in the photoresist removal process is not good. Therefore, Yingying needs to develop a photoresist removing composition that can solve these problems. Page 8 ------------------- Order --------- Line (Please read the precautions on the back before filling this page) 574610 A7 V. Description of the invention (Summary of the invention: One of the objects of the present invention is to provide a photoresist composition which can easily and quickly remove the dry etching, ashing, ion implantation process, and the underlying layer due to etching in this process. The metal layer is a photoresist layer modified and hardened by metal by-products, and can minimize the corrosion of the lower part of the metal layer (especially the copper wiring). To achieve this purpose, the present invention provides a photoresist removal Agent composition comprising at least a) 10-40% by weight of a water-soluble organic amine compound, and b) 40-70% by weight of a compound selected from dimethylarsin (DMS〇), N_methyl tonone (NMP) , Dimethylacetamide (DMAc), and dimethylformamide (DMF) and mixtures thereof in water-soluble organic solvents, c) 10-30% by weight of water 'd) 5-l 5 Organic benzene age compounds containing 2 to 3 hydroxyl groups in% by weight, and e) 0.5% to 5% by weight of Sanjun compound thread, and weight% of polyethylene oxide Surfactants of alkylamine ethers. The photoresist removing composition of the present invention preferably uses an amino alcohol as a water-soluble organic amine compound, and the amino alcohol is preferably selected from 2-amino- 丨 _ethanol and 1-amino-2-propanol , 2-amino-1-propanol, 3-aminopropanol, and mixtures thereof, of which 2-amino- 丨 -ethanol is the best, because of its ability to penetrate and expand photoresistance, viscosity, and price Characteristics, and become the best choice. The content of this water-soluble organic amine compound is preferably between 10% and 40% by weight. Specifically, if the content of this organic amine compound is less than 1, page 9 applies to this paper size China National Standard (CNS) A4 specification (210 X 297 mm) 57461〇

五、 發明說明( 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 重量百分比,其將很難移除乾蝕刻製程及灰化處理後之 光阻聚合物;而如果其含量超過40%重量百分比,下層 金屬連線層物質(例如二氧化矽及銅等)將會被過度侵 蚀。 本發明去光阻劑組合物(b)之水溶性有機溶劑較好是選 自二甲亞颯(DMSO)、N-甲基吡咯酮(NMP)、二甲基乙醯 胺(DMAc)、及二甲基甲醯胺(DMF)及其混合物中,其中 又以NMP最佳,因其良好之可溶解光阻的能力、預防光 阻再次沉積、及因其可快速分解而可被輕易地排除。 在本發明去光阻劑組合物(c)中的水,較好是經離子交 換树脂純化過的水,更好是具電阻值約丨8Μ Ω之去離子 水。 水的含量較好是介於10_30%重量百分比間。如果水 含量低於10%,其去除光阻的能力將因乾蝕刻及灰化處 理後所生成的金屬副產品而大幅下降。但是,如果水量 高過30%,則有因去光阻過程中下層金屬連線被過度腐 蝕的憂慮’因此將需減少⑷之水溶性有機胺化合物及⑻ 之水溶性有機溶劑的用量,導致剝除光阻的能力降低。 本研究結果證實水含糊較佳係介於1〇3〇%重量百分比 間。 在本發明去光_組合物⑷中内含2或3個經基團之 有機苯酚化合物較佳是具有下列式〗之化合物: 第10| 本紙張尺度適用t國國家標準(CNS)A4規格(21G χ 297 -----------W- - --------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 574610 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明() [式I]V. Description of the invention (Weight percentage printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs, it will be difficult to remove the photoresist polymer after the dry etching process and ashing process; if its content exceeds 40% by weight, the underlying metal The wiring layer materials (such as silicon dioxide, copper, etc.) will be excessively eroded. The water-soluble organic solvent of the photoresist removing composition (b) of the present invention is preferably selected from dimethyl sulfoxide (DMSO), N- Of the methylpyrrolidone (NMP), dimethylacetamide (DMAc), and dimethylformamide (DMF) and mixtures thereof, NMP is the best, because of its good ability to dissolve photoresist , Prevent the photoresist from re-depositing, and can be easily eliminated because it can be quickly decomposed. The water in the photoresist removing composition (c) of the present invention is preferably water purified by ion exchange resin, more preferably It is deionized water with a resistance value of about 8M Ω. The water content is preferably between 10-30% by weight. If the water content is less than 10%, its ability to remove photoresist will be caused by dry etching and ashing treatment. Significant decline in metal by-products However, if the amount of water is higher than 30%, there is a concern that the underlying metal connection is excessively corroded during the photoresist removal process. Therefore, the amount of water-soluble organic amine compounds of rhenium and water-soluble organic solvents of rhenium will need to be reduced, resulting in stripping. The ability to remove photoresist is reduced. The results of this study confirm that the water ambiguity is preferably between 10% and 30% by weight. In the delustering composition of the present invention, the organic phenol compound containing 2 or 3 groups is included. The compound having the following formula is preferred: 10th | This paper size is applicable to the national standard (CNS) A4 specification (21G χ 297 ----------- W--------) --Order --------- line (Please read the precautions on the back before filling this page) 574610 A7 B7 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs V. Invention Description () [Form I]

其中m是一 2或3之整數。 被用來去除因乾蝕刻、灰化、離子植入製程、及該製 私中因蚀刻下層金屬層而經金屬副產物改良並硬化之光 阻層之内含2或3個羥基團之有機苯酚化合物,可有效 地吸收介於光阻層與半導體基材間之接觸表面中因水溶 性有機胺化合物與水中氫離子反應後生成的羥離子。此 外’内含2或3個羥基團之有機苯酚化合物還可防止自 去光阻劑組合物中生成的羥基團蝕刻下層金屬層。 内含2或3個羥基團之有機苯酚化合物之含量較好是 介於5-15%重量百分比間。如果含量低於3%,則其剥除 光阻層的能力將因乾蚀刻、及離子植入製程中所產生的 金屬副產物而大幅下降,並將嚴重腐蝕下層金屬層。但 如果其含量超過1 5%,則將因製造成本太高而不符經濟 效益。 第11頁 本紙張尺度適用中國國家標準(CNS)A4規格(210x 297公釐) ----------鲁----------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 574610 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明( 内含2或3個羥基團之有機苯酚化合物確有抗_腐韻 效果,但卻無法完全解決在下層金屬層表面或其側壁上 出現的部分腐蝕現象(pitting)。研究結果顯示,將三唾化 合物與内含2或3個羥基團之有機苯酚化合物混合後, 可防止部分腐蝕現象(pitting)。明確地說,已知添加一兩 組成系統之三唑化合物(至少包含苯并三唑(B丁)及二苯二 咬(tolly triazole,TT))至内含2或3個羥基團之芳香性苯 酚化合物中,對防止下層金屬層表面或其侧壁上部分腐 蚀現象(pitting)有加成性地保護效果。 在本發明去光阻劑組合物(e)中之三唑化合物係選自苯 并三唑(BT)、二苯三唑(TT)、羧化苯并三唑(CBT)及其之 混合物中,其中兩組成系統之三唑化合物最好係至少包 含苯并三唑(BT)及二苯三唑(TT)。 在本發明去光阻劑組合物中之三唑化合物含量較好是 介於0.5-5%重量百分比間。如果含量低於〇·5%,其防止 部分腐蝕現象的效果將不明顯,而如果含量高於5%,去 光阻組合物黏性將增加,造成使用不便。 在本發明去光阻劑組合物(f)中之聚環氧乙烷烷胺醚類 界面活性劑較佳係選自由下列式2所代表之化合物中。 本紙張尺度顧巾 第12頁 (210 X 297 公釐) 、—^^4--------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 574610 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明() [式2]Where m is an integer of 2 or 3. It is used to remove organic phenol containing 2 or 3 hydroxyl groups in the photoresist layer modified and hardened by metal by-products due to etching of the lower metal layer due to dry etching, ashing, ion implantation process, etc. The compound can effectively absorb the hydroxyl ions generated by the reaction between the water-soluble organic amine compound and hydrogen ions in the contact surface between the photoresist layer and the semiconductor substrate. In addition, the organic phenol compound containing 2 or 3 hydroxyl groups prevents the lower metal layer from being etched by the hydroxyl groups generated in the photoresist removing composition. The content of the organic phenol compound containing 2 or 3 hydroxyl groups is preferably between 5-15% by weight. If the content is less than 3%, its ability to strip the photoresist layer will be greatly reduced due to dry etching and metal by-products generated during the ion implantation process, and the underlying metal layer will be severely corroded. However, if its content exceeds 15%, it will be inconsistent with economic benefits because the manufacturing cost is too high. Page 11 This paper size applies to China National Standard (CNS) A4 (210x 297 mm) ---------- Lu ---------- Order ------- --Line (Please read the precautions on the back before filling this page) 574610 Printed by A7, Consumer Cooperatives, Intellectual Property Bureau of the Ministry of Economic Affairs 5. Description of the invention (organic phenol compounds containing 2 or 3 hydroxyl groups are indeed resistant to rot Effect, but it ca n’t completely solve the partial pitting phenomenon on the surface of the underlying metal layer or on its side wall. The research results show that after mixing the salivary compound with the organic phenol compound containing 2 or 3 hydroxyl groups, Prevents partial pitting. Specifically, it is known to add one or two triazole compounds (including at least benzotriazole (B-butyl) and tolly triazole (TT)) to the content. Among the aromatic phenol compounds having 2 or 3 hydroxyl groups, it has an additive protective effect on preventing partial pitting on the surface of the lower metal layer or on its sidewall. In the photoresist removing composition (e) of the present invention The triazole compound is selected from benzotriazole (BT), dibenzotriazole (TT), Among the benzotriazole (CBT) and mixtures thereof, the triazole compound of the two constituent systems preferably contains at least benzotriazole (BT) and dibenzotriazole (TT). The content of the triazole compound in the composition is preferably between 0.5-5% by weight. If the content is less than 0.5%, its effect of preventing partial corrosion will not be obvious, and if the content is higher than 5%, the The viscosity of the photoresist composition will increase, causing inconvenience in use. The polyethylene oxide alkylamine ether surfactant in the photoresist removing composition (f) of the present invention is preferably selected from those represented by the following formula 2 Compounds. Page 12 (210 X 297 mm) of this paper size Gu towel, ^^ 4 -------- Order --------- line (Please read the precautions on the back first (Fill in this page again) 574610 A7 B7 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs V. Invention Description () [Formula 2]

/(CH2CH2〇)mH R-Nf/ (CH2CH2〇) mH R-Nf

\(CH2CH2〇)nH 其中R是C^o燒基,m是一介於〇至30之整數,且 η是一介於〇至30之整數。 聚環氧乙:fe燒胺醚類界面活性劑中因加入了環氧乙燒 結構’因此表現出弱陽離子型界面活性劑的特性,其可 分散溶於去光阻劑中之光阻以降低光阻再次沉積於金屬 層的可能性。 聚環乳乙健規胺醚類界面活性劑之含量較佳係介於 〇. 0 1 °/。至1 %重量百分比間。 圖式簡單說明: 藉由下列詳細說明及附圖,可充分了解本發明。其中: 第1圖是光阻圖案的原來狀態,其係沉積了 loooA的 鶴及700 A的氮化鈦層; 第2圖顯示光阻去除能力測試之掃瞄式電子顯微鏡照 片,其係以實施例4之去光阻劑組合物在6 51下執行去 除光阻後所得的結果;且 第13頁 ^----------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 574610 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 第3圖顯示光阻去除能力測試之掃瞄式 片其係以比較實施例1之去光阻劑組合物在6rc下勃 灯去除光阻後所得的結果。 執 螢―明評述ϋ交佳實施例·· 下列詳細說明中,只描述並示 例。因此,本發明各種可能之改良=之最佳實施 發明並不僅限於此。 以本發明之用’本 本發明將藉由下列實施例進行說明, -Τ ^ m 'κ ^ ^ ^ 仁本發明範疇並 不 :僅限於這些實施例。此外,若未特別說明時,文中所 . 1 比例均係扣重量比。在本發之實施例 d較性實施例中,去光阻劑組合物之能力,係以 方法進行評估。\ (CH2CH2〇) nH where R is a C ^ o alkyl group, m is an integer between 0 and 30, and η is an integer between 0 and 30. Polyethylene oxide: Fe amine amine ether surfactants have the characteristics of weak cationic surfactants due to the addition of ethylene oxide sintered structure, which can disperse the photoresist in the photoresist to reduce the photoresist. The possibility of photoresist being deposited on the metal layer again. The content of the polycyclic lactam ethinamine ether surfactant is preferably between 0.01 and 1 ° /. To 1% by weight. Brief description of the drawings: The present invention can be fully understood through the following detailed description and accompanying drawings. Among them: Figure 1 is the original state of the photoresist pattern, which is a crane deposited with loooA and a 700 A titanium nitride layer; Figure 2 shows a scanning electron microscope photo of the photoresist removal ability test, which is implemented by The results obtained after removing the photoresist composition in Example 4 after removing the photoresist at 6 51; and page 13 ^ ------------ Order --------- line (please Read the precautions on the back before filling out this page) 574610 A7 B7 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 5. Description of the invention (Figure 3 shows a scanning film for the photoresist removal capability test. The photoresist composition was obtained after the photoresist was removed by an ergonomic lamp at 6rc. Fluorescent-Ming Review ϋ Jiaojia Example ... The following detailed description is only described and exemplified. Therefore, various possible improvements of the present invention = The best practice of the invention is not limited to this. Using the invention The invention will be illustrated by the following examples, -T ^ m 'κ ^ ^ ^ The scope of the invention is not limited to these examples. In addition, unless otherwise specified, the proportions in the article are all deduction weight ratios. Example of embodiment d more exemplary embodiments, the ability to photoresist compositions, the methods to evaluate system.

(1)光阻去除測試 製備樣品A 於一 8英寸的發晶圓表面上,由底部起依序沉積了 1000A的鎢層及70〇a的氮化鈦層。將一種常用的正光阻 組合物(由Mitsubishi公司出品的IS4〇1),旋塗於其上, 使最終薄膜厚度約4 Ι.ΟΙμηι。之後,將矽晶圓在—溫度 l〇〇C之熱板上預烘烤9〇秒。將具有一預先決定好圖案 之光罩放在光阻層上,曝光後以2·38%之四甲基氫氧化 銨(ΤΜΑΗ)(由東進化學公司出品之DpDl〇〇s)於21它下 第u頁 ·—--------訂---------線 ί請先閱讀背面之注意事項再填寫本頁) A7(1) Photoresist removal test Sample A was prepared on the surface of an 8-inch hair wafer. A tungsten layer of 1000 A and a titanium nitride layer of 70 Å were sequentially deposited from the bottom. A commonly used positive photoresist composition (IS4O1 manufactured by Mitsubishi Corporation) was spin-coated thereon so that the thickness of the final film was about 4.0 μm. After that, the silicon wafer was pre-baked for 90 seconds on a hot plate at a temperature of 100 ° C. A photomask having a predetermined pattern was placed on the photoresist layer, and after exposure, 2.38% of tetramethylammonium hydroxide (TMAD) (DpD100s produced by Dongjin Chemical Co., Ltd.) was placed under 21 Page u · -------- Order --------- Line ί Please read the notes on the back before filling in this page) A7

574610 五、發明說明() 顯影60秒。之後,將此光阻圖案之晶圓在一溫度120°C 之熱板上硬化烘烤1 〇 〇秒。 以樣品A上之光阻圖案作為一光罩,一 SF6/C12氣體 作為姓刻氣體’並以乾蝕刻設備(Hitachi公司產品,型號: M3 1 8) ’蚀刻底部未被光阻圖案覆蓋之鎢層及氮化層以形 成一金屬連線圖案。 光阻去除測諸i 將樣品A浸泡在6 5 °C之去光阻劑組合物中約1 〇分 鐘。之後,取出樣品,以超過濾水沖洗,再以氮氣吹乾, 依據下列標準來評估其去除光阻的能力:以掃瞄式電子 顯微鏡來決定殘存在孔洞側壁上的光阻聚合物是否被完 全除去了 ’結果示於表2。 〇:代表殘存在線光阻側壁及表面上的光阻殘餘物已 完全被去除。 △:代表8 0%以上殘存在線光阻側壁及表面上的光阻 殘餘物已被去除,只留下少量殘餘物尚未被清除。 X :代表大部分殘存在線光阻側壁及表面上的光阻殘 餘物並未被去除。574610 V. Description of the invention () Development for 60 seconds. After that, the photoresist patterned wafer is hardened and baked on a hot plate at a temperature of 120 ° C for 1000 seconds. Use the photoresist pattern on sample A as a photomask, a SF6 / C12 gas as the last name engraving gas', and dry etching equipment (Hitachi company product, model: M3 1 8) Layer and nitride layer to form a metal connection pattern. Photoresist removal test Samples A was immersed in a photoresist removal composition at 65 ° C for about 10 minutes. After that, the sample was taken out, rinsed with ultra-filtered water, and then dried with nitrogen, and its ability to remove photoresist was evaluated according to the following criteria: a scanning electron microscope was used to determine whether the photoresist remaining on the side wall of the hole was completely The 'removed' result is shown in Table 2. ○: It means that the photoresist residue remaining on the side wall and the surface of the line photoresist has been completely removed. △: Represents that more than 80% of the photoresist remaining on the side walls and the surface of the linear photoresist residue has been removed, leaving only a small amount of residue that has not been removed. X: It means that most of the photoresist residues remaining on the side walls and the surface of the linear photoresist have not been removed.

(2)銅層腐蝕測試 製備樣品B 使用一半導體封裝製程中常用的銅製鉛板進行測試。 銅層腐蝕測發. 將樣品B浸泡在6 5 °C之去光阻劑組合物中。之後, 第15頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) .—--------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 574610 Α7 Β7 五、發明說明() 取出樣品,以超過滤水沖洗,再以氮氣吹乾,依據下列 標準來評估其腐蝕程度:以掃瞄式電子顯微鏡來決定孔 洞下層金屬層是否出現被過度蝕刻的情況,結果示於表 3 〇 〇:代表銅層表面並未出現腐蝕現象。 △:代表部分銅層表面出現腐蝕現象。 :代表整個銅層表面均出現嚴重腐蚀現象。 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 第16頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公f ) 574610 A7 B7 五、發明說明() 實施例1至5及比較實施例1至3 實施例1至5及比較實施例1至3之去光阻劑組合物, 分別藉由混合下列表1中a)至f)之成份來製備。上述(1) 之光阻去除測試及(2)銅層腐蚀測試係於所得之去光阻劑 組合物中執行,結果示於下列表2及表3中。 [表1 ]去光阻劑組合物之組成 分類 去光阻劑組合物之組成(重量°/〇) a)有機胺 化合物 羥 基 胺 b)有機溶劑 c) 水 d)有機苯盼 化合物 e)三唑化合物 f)界面活性劑 型式 量 量 型式 量 量 型式 量 型式 量 型式 量 實施例1 MEA 15 NMP 45 25 兒茶酚 11 Corbate c928 2.9 KONIO LNM- 10 0.1 實施例2 MEA 10 DMA 55 20 間-苯二 酚 12 BT 2.5 KONIO NLM- 10 0.5 實施例3 MIPA 20 DMS 0 60 10 間-苯二 酚 5 CBT 4.5 KONIO NSM- 15 0.5 實施例4 MEA 15 NMP 65 10 兒茶酚 8 Corbate c930 1.5 KONIO NSM- 15 0.5 實施例5 MIPA 30 DMF 45 15 兒茶酚 8 Corbate c928 1 KONIO NLM- 10 1 比較 實施例1 MEA 5 30 NMP 25 30 甲酚 9 PEG 1 比較 實施例2 MEA 45 10 DMF 20 10 甲酚 14. 5 X-100 0.5 比較 實施例3 MIPA 5 45 DMA c 30 15 SA 4.5 _ ㈣ PEG 0.5 --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 MIPA :單異丙醇胺 MEA :單乙醇胺 DMSO :二甲亞颯 DMF :二甲基甲醯胺 NMP : N-甲基毗咯酮 DMAc :二甲基乙醯胺 第17頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 574610 A7 1一 _ B7__ 五、發明說明() BT :苯并三唑(PMC公司,商品名:COBRATEC 99) TT :二苯三唑(PMC 公司,商品名:CO BRATEC TT-100) CBT:羧化苯并三唑(PMC公司,商品名:COBRATEC CBT) Cobratec 928 :至少包含苯并三唑及二苯三唑之三唑化合 物(PMC公司產品) KONION LM-10:聚環氧乙烷烷基胺醚(韓國波麗奥公司 產品,Korean Polyol company product) KONION SM-15:聚環氧乙烷烷基胺醚(韓國波麗奥公司 產品,Korean Polyol company product) KONION SM-10:聚環氧乙燒燒基胺醚(韓國波麗奥公司 產品,Korean Polyol company product) S A :柳酸醛 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 第18頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 574610 A7 五、發明說明( [表2]去光阻劑組合物去 浸泡時間 -----— 5分鐘 ------ 7〇 ρ且々 __ 10分鐘 實施例1 0 0 實施例2 0 0 實施例3 Δ 0 實施例4 0 0 實施例5 Δ 0 比較實施例1 X X 比較實施例2 X △ 比較實施例3 X △ 罘1-3圖為掃瞄式電予顯微鏡(Hitachi Ltd·,製造之 型S-4100)照片,其係比較了實施例4與比較實施例! 去光阻劑組合物之個別去除光阻之能力。帛ι圖至第 圖顯示了光阻去除能力測試之結果,其係以樣品A於(2) Copper layer corrosion test Sample B was prepared using a copper lead plate commonly used in semiconductor packaging processes. Copper layer corrosion test. Sample B was immersed in a photoresist removing composition at 65 ° C. Afterwards, page 15 of this paper applies the Chinese National Standard (CNS) A4 specification (210 X 297 mm). —-------- Order --------- Line (Please read the back first Please fill in this page again) Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 574610 Α7 Β7 V. Description of the invention () Take out the sample, rinse it with ultra-filtered water, and then dry it with nitrogen, and evaluate its corrosion degree according to the following standards : Scanning electron microscope was used to determine whether the metal layer under the hole was over-etched. The results are shown in Table 3. It represents that the surface of the copper layer did not corrode. △: Represents the appearance of corrosion on the surface of some copper layers. : Represents severe corrosion on the entire copper surface. (Please read the notes on the back before filling this page) Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs, page 16 This paper size applies to China National Standard (CNS) A4 (210 X 297 male f) 574610 A7 B7 V. Description of the invention () Photoresist removing compositions of Examples 1 to 5 and Comparative Examples 1 to 3 Examples 1 to 5 and Comparative Examples 1 to 3 are respectively mixed by a) to f) in Table 1 below. Ingredients to prepare. The above (1) photoresist removal test and (2) copper layer corrosion test were performed in the obtained photoresist composition, and the results are shown in Table 2 and Table 3 below. [Table 1] Classification of composition of photoresist composition Composition of photoresist composition (weight ° / 〇) a) organic amine compound hydroxylamine b) organic solvent c) water d) organic benzine compound e) three Fazole compound f) Surfactant type Dosing type Dosing type Dosing type Dosing type Dosing type Dosing Example 1 MEA 15 NMP 45 25 Catechol 11 Corbate c928 2.9 KONIO LNM- 10 0.1 Example 2 MEA 10 DMA 55 20 m-benzene Diphenol 12 BT 2.5 KONIO NLM- 10 0.5 Example 3 MIPA 20 DMS 0 60 10 Resorcinol 5 CBT 4.5 KONIO NSM- 15 0.5 Example 4 MEA 15 NMP 65 10 Catechol 8 Corbate c930 1.5 KONIO NSM- 15 0.5 Example 5 MIPA 30 DMF 45 15 Catechol 8 Corbate c928 1 KONIO NLM- 10 1 Comparative Example 1 MEA 5 30 NMP 25 30 Cresol 9 PEG 1 Comparative Example 2 MEA 45 10 DMF 20 10 Cresol 14 5 X-100 0.5 Comparative Example 3 MIPA 5 45 DMA c 30 15 SA 4.5 _ ㈣ PEG 0.5 -------------------- Order ------ --- line (please read the notes on the back before filling this page) MIPA: Monoisopropanolamine MEA: Ethanolamine DMSO: dimethylformamide DMF: dimethylformamide NMP: N-methylpyrrolidone DMAc: dimethylacetamide Page 17 This paper is in accordance with Chinese National Standard (CNS) A4 (210 X 297 mm) 574610 A7 1_ _ B7__ 5. Description of the invention () BT: benzotriazole (PMC company, trade name: COBRATEC 99) TT: dibenzotriazole (PMC company, trade name: CO BRATEC TT-100 ) CBT: Carboxylated benzotriazole (PMC, trade name: COBRATEC CBT) Cobratec 928: Triazole compound containing at least benzotriazole and dibenzotriazole (PMC company product) KONION LM-10: Polyepoxy Ethane alkylamine ether (Korean Polyol company product) KONION SM-15: Polyethylene oxide alkylamine ether (Korean Polyol company product) KONION SM-10 : Poly (ethylene glycol succinate) (Korean Polyol company product) SA: Salicylic aldehyde (Please read the precautions on the back before filling out this page) Page 18 This paper is sized to Chinese National Standards (CNS) A 4 Specifications (210 X 297 mm) 574610 A7 V. Description of the invention ([Table 2] Photoresist composition de-soaking time-5 minutes ----- 7〇ρ and 々__ 10 minutes Example 1 0 0 Example 2 0 0 Example 3 Δ 0 Example 4 0 0 Example 5 Δ 0 Comparative Example 1 XX Comparative Example 2 X △ Comparative Example 3 X △ 罘 1-3 Scanning Electron Microscope (Hitachi Ltd., Model S-4100, manufactured), which compares Example 4 with Comparative Example! The ability of the photoresist composition to remove photoresist individually. Figures 1 through 4 show the results of the photoresist removal capability test.

0_ 0 00_ 0 0

X A Δ °c之去光阻劑組合物中進行測試。 弟1圖確認了光阻圖案之原始狀態,其係在基材上 序鍍上ιοοοΑ之鎢層及700 A之氮化鈦層。 第2圖之掃瞒式電子顯微鏡照片示出,實施例4之 光阻劑組合物於650C下,其去除光阻之能力。 第3圖之掃瞒式電子顯微鏡照片示出,比較實施例 之去光阻劑組合物^ 65t下,其去除光阻之能力。 第19頁 本紙張尺度適財晒家標準(CNS)A4規格(210 X 297公髮—Tested at X A Δ ° c in a photoresist removing composition. Figure 1 confirms the original state of the photoresist pattern, which is sequentially plated with a tungsten layer of ιοοοΑ and a titanium nitride layer of 700 A on the substrate. The scanning electron microscope photograph in Figure 2 shows that the photoresist composition of Example 4 has the ability to remove photoresist at 650C. The sweeping electron microscope photograph of FIG. 3 shows the photoresist removing ability of the comparative example at 65 t. P.19 This paper is a standard of CNS A4 (210 X 297)

---------------------訂---------線一 (請先閲讀背面之注意事項再填寫本頁) 574610五、--------------------- Order --------- Line 1 (Please read the precautions on the back before filling out this page)

^本發明之去光阻劑組合物可於短時間内輕 地去除因乾餘刻、灰化及離子植入製程等所致之劣化 硬化《光阻聚合物,與因蝕刻下層金屬所產業之副產 所改變之劣化、硬化之光阻聚合物。4者,本發明之 光阻劑組合物更具有將光阻去除過程中下層金屬連線 特別是銅連線之腐則t況減至最低的優點;且在後績 t洗步驟中,可僅以水來進行沖洗,纟需使用諸如異 醇或一甲亞砜之類的有機溶劑進行沖洗。 雖然本發明已用特定實施例加以描述,但是熟知該 藝之人士能瞭解亦可在不棒離本發明範轉内,使用其 改良來取代本發明,這愁改良也包含在本發㈣圍内、。 第20頁 本紙張尺度綱中國國家標準(CNS)A4規格(210 X 297公餐)— - ^--------tr---------^· (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製^ The photoresist removing composition of the present invention can lightly remove deterioration and hardening caused by dry etching, ashing, and ion implantation processes in a short time. Degraded, hardened photoresist by-products. 4, the photoresist composition of the present invention has the advantage of minimizing the corrosion of the lower metal wiring, especially the copper wiring, during the photoresist removal process; and in the subsequent washing step, only Rinse with water. Rinse with an organic solvent such as iso-alcohol or methyl sulfoxide. Although the present invention has been described with specific embodiments, those skilled in the art can understand that it is possible to use the improvements to replace the present invention without departing from the scope of the present invention. This improvement is also included in the present invention. . Page 20 Chinese Standard (CNS) A4 Specification (210 X 297 Meals) of this paper —-^ -------- tr --------- ^ · (Please read the back first (Please note this page before completing this page) Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs

Claims (1)

574610 A8 B8 C8 D8 六、申請專利範圍 (請先閱讀背面之注意事項再填寫本頁) 1 · 一種去光阻劑組合物,其至少包含 a) 1 0 - 4 0 %重量百 分比之水溶性有機胺化合物;b)40-70%重量百分比 之水溶性有機溶劑,其係選自二甲亞颯(DMSO)、N-甲基毗咯酮(NMP)、N,N’_二甲基乙醯胺(DMAc)、N,N,-二甲基甲醯胺(DMF)及其之混合物中;c) 10-30%重 量百分比之水;d)5-15%重量百分比之内含2至3個 羥基團之有機苯酚化合物;e)0.5-5%重量百分比之三 唑化合物;及f)0 · 0 1 -1 %重量百分比之聚環氧乙烷烷 胺醚類之界面活性劑。 2. 如申請專利範圍第1項所述之去光阻劑組合物,其 中之水溶性有機胺化合物係為一氨基醇化合物。 3. 如申請專利範圍第2項所述之去光阻劑組合物,其 中之氨基醇化合物係選自2-氨基-1-乙醇、1-氨基-2-丙醇、2 -氨基-1-丙醇、3 -氨基-1-丙醇及其之混合物 中 〇 經濟部智慧財產局員工消費合作社印製 4. 如申請專利範圍第1項所述之去光阻劑組合物,其 中内含2至3個羥基團之有機苯酚化合物係具有下 列式1之化合物: 第21頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 574610 A8 B8 C8 D8 六、申請專利範圍 [式1]574610 A8 B8 C8 D8 6. Scope of patent application (please read the precautions on the back before filling this page) 1 · A photoresist composition, which contains at least a) 1 0-40% by weight of water-soluble organic Amine compound; b) 40-70% by weight of a water-soluble organic solvent, which is selected from the group consisting of dimethylsulfinium (DMSO), N-methylpyrrolidone (NMP), N, N'-dimethylacetamidine Amines (DMAc), N, N, -dimethylformamide (DMF) and mixtures thereof; c) 10-30% by weight of water; d) 5-15% by weight with 2 to 3 An organic phenol compound having a hydroxyl group; e) a triazole compound in an amount of 0.5-5% by weight; and f) a surfactant of polyethylene oxide alkylamine ethers in an amount of 0 · 0 1 -1% by weight. 2. The photoresist removing composition according to item 1 of the patent application range, wherein the water-soluble organic amine compound is an amino alcohol compound. 3. The photoresist removing composition according to item 2 of the scope of the patent application, wherein the amino alcohol compound is selected from the group consisting of 2-amino-1-ethanol, 1-amino-2-propanol, and 2-amino-1- Propanol, 3-amino-1-propanol, and mixtures thereof. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. 4. Photoresist removing composition as described in item 1 of the scope of patent application, which contains 2 Organic phenol compounds with up to 3 hydroxyl groups are compounds with the following formula 1: Page 21 The paper size is applicable to Chinese National Standard (CNS) A4 (210 X 297 mm) 574610 A8 B8 C8 D8 6. Scope of patent application [ Formula 1] 經濟部智慧財產局員工消費合作社印製 〇(OH)m 其中m是2或3之整數。 5. 如_請專利範圍第1項所述之去光阻劑組合物,其 中之三唑化合物係選自苯并三唑(BT)、二苯三唑 (TT)、羧化苯并三唑(CBT)、一至少包含苯并三唑(BT) 及二苯三唑之三唑化合物、及其之混合物中。 6. 如申請專利範圍第1項所述之去光阻劑組合物,其 中之聚環氧乙烷烷胺醚類之界面活性劑係具有下列 式2之化合物: (請先閱讀背面之注意事項再填寫本頁) 訂---------線. 第22頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 574610 A8 B8 C8 D8 六、申請專利範圍 [式2] (CH2CH2〇)mH (CH2CH20)nH 其中R是CV2。之烷基、m是一介於0至30的整數 且η是一介於0至30的整數。 (請先閱讀背面之注意事項再填寫本頁)Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 〇 (OH) m where m is an integer of 2 or 3. 5. The photoresist removing composition as described in item 1 of the patent scope, wherein the triazole compound is selected from the group consisting of benzotriazole (BT), dibenzotriazole (TT), and carboxylated benzotriazole (CBT), a triazole compound containing at least benzotriazole (BT) and dibenzotriazole, and a mixture thereof. 6. The photoresist composition described in item 1 of the scope of the patent application, wherein the surfactant of the polyethylene oxide alkylamine ether is a compound of the following formula 2: (Please read the precautions on the back first (Fill in this page again) Order --------- Line. Page 22 This paper size is applicable to China National Standard (CNS) A4 (210 X 297 mm) 574610 A8 B8 C8 D8 Formula 2] (CH2CH2〇) mH (CH2CH20) nH wherein R is CV2. Alkyl, m is an integer between 0 and 30 and n is an integer between 0 and 30. (Please read the notes on the back before filling this page) R- 經濟部智慧財產局員工消費合作社印製 第23頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)R- Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs Page 23 This paper size applies to China National Standard (CNS) A4 (210 X 297 mm)
TW090112453A 2000-01-14 2001-05-23 Resist remover composition TW574610B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2000-0001774A KR100378551B1 (en) 2000-01-14 2000-01-14 Resist remover composition

Publications (1)

Publication Number Publication Date
TW574610B true TW574610B (en) 2004-02-01

Family

ID=19638690

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090112453A TW574610B (en) 2000-01-14 2001-05-23 Resist remover composition

Country Status (2)

Country Link
KR (1) KR100378551B1 (en)
TW (1) TW574610B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI566056B (en) * 2008-12-24 2017-01-11 三星顯示器公司 Composition for removing a photoresist pattern and method of forming a metal pattern using the composition

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100483372B1 (en) * 2001-11-02 2005-04-15 주식회사 아담스테크놀로지 Stripping aqueous solution for Photoresist
TWI516879B (en) * 2009-09-09 2016-01-11 東友精細化工有限公司 Resist stripper composition for forming copper-based wiring, method of manufacturing a semiconductor device and a flat panel display using the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI566056B (en) * 2008-12-24 2017-01-11 三星顯示器公司 Composition for removing a photoresist pattern and method of forming a metal pattern using the composition

Also Published As

Publication number Publication date
KR100378551B1 (en) 2003-03-29
KR20010073409A (en) 2001-08-01

Similar Documents

Publication Publication Date Title
JP4814356B2 (en) Compositions for peeling and cleaning and their use
US6140027A (en) Photoresist remover composition
KR100786606B1 (en) Composition for removing photoresist and/or etching residue from a substrate and use thereof
JP4373457B2 (en) Compositions and methods for photoresists
KR100700998B1 (en) Composition and method comprising same for removing residue from a substrate
US7674755B2 (en) Formulation for removal of photoresist, etch residue and BARC
KR100781925B1 (en) Method for removing photoresist
TW508478B (en) Resist stripper composition
KR20050110955A (en) Stripper composition for photoresist and using method thereof
US7015183B2 (en) Resist remover composition
TW574610B (en) Resist remover composition
TW526397B (en) Resist remover composition
US20040185370A1 (en) Resist remover composition
TW426816B (en) Hydroxylamine-gallic compound composition and process
TW591347B (en) Resist remover composition
TWI228640B (en) Photoresist remover composition
TW520470B (en) Photoresist remover composition
US6861210B2 (en) Resist remover composition

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees