TW536747B - Metal silicide manufacturing method - Google Patents

Metal silicide manufacturing method Download PDF

Info

Publication number
TW536747B
TW536747B TW91107866A TW91107866A TW536747B TW 536747 B TW536747 B TW 536747B TW 91107866 A TW91107866 A TW 91107866A TW 91107866 A TW91107866 A TW 91107866A TW 536747 B TW536747 B TW 536747B
Authority
TW
Taiwan
Prior art keywords
scope
manufacturing
gate
metal layer
item
Prior art date
Application number
TW91107866A
Other languages
Chinese (zh)
Inventor
Chao-Yuan Huang
Original Assignee
Silicon Integrated Sys Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Silicon Integrated Sys Corp filed Critical Silicon Integrated Sys Corp
Priority to TW91107866A priority Critical patent/TW536747B/en
Application granted granted Critical
Publication of TW536747B publication Critical patent/TW536747B/en

Links

Landscapes

  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

A metal silicide manufacturing method is provided. First, entirely form a metal layer on the silicon substrate having gate and source/drain, and there are spacers on the gate sidewalls. Second, proceed the first thermal process to let the metal react with silicon of the gate, source and drain surfaces to form metal silicide. Third, remove the unreacted metal layer and the spacers. Fourth, proceed an ion implantation step and then proceed the second thermal process.

Description

536747 五、發明說明(1) 發明領域: 本發明係有關於一種半導體製程之方法,特別是有關 於自行對準金屬石夕化物(Self-Aligned Silicide ;536747 V. Description of the invention (1) Field of the invention: The present invention relates to a method of semiconductor manufacturing, especially to self-aligned silicide;

Sal icide)的製造方法,可有效避免過度消耗矽基底而造 成接合漏電(junction leakage)的問題。 r 相關技術說明: 在半導體技術中,金屬氧化半導體(metal—oxide-semiconductor ; M0S) 電晶體(transistor) 係 由閘極 (gate)、源極(source)與汲極(drain)等三個電極所構 成,其中M0S便是構成閘極結構的主體。早期的係由金 屬層(metal layer)、二氧化矽(以〇2)與矽基底(siHc〇n — based substrate)等三層材質所組成的。但是,由於大多 數的金屬對於二氧化石夕的附著能力(a(jhesi〇n)很差,所以 對於二氧化石夕具有較佳附著能力之多晶矽(p〇lysi 1 便被提出以取代金屬層。然而,使用多晶矽卻有電阻值太 高的問題存在。即使多晶矽經過摻雜,其電阻值還是太 间’並不適合用來取代M0S的金屬層。於是,熟悉此記憶 人士便提出一解決方法,也就是再多加一層厚度與多晶矽 層相當的金屬矽化物(metal sal icide)於多晶矽的表面, 利用導電性較佳的金屬矽化物與多晶矽共同組成導電層。 隨著元件的積集度(Integrity)增加,M0S元件本身的 尺寸亦隨之縮小,而使其汲極/源極的電阻也會逐漸地增 加到和其通道(Channel)相當的程度,為了調降汲/源極的 片電阻(Sheet Resistance),並確保金屬於M〇s間的”淺接The manufacturing method of Salicide can effectively avoid the problem of junction leakage caused by excessive consumption of the silicon substrate. r Related technical description: In semiconductor technology, a metal-oxide-semiconductor (MOS) transistor is composed of three electrodes: a gate, a source, and a drain. The structure, of which M0S is the main body of the gate structure. The early system was composed of three layers of materials, such as a metal layer, silicon dioxide (with 02), and a silicon-based (siHcON-based substrate). However, due to the poor adhesion of most metals to the dioxide (a (jhesi〇n)), polycrystalline silicon (polysi 1), which has better adhesion to the dioxide, was proposed to replace the metal layer. However, the use of polycrystalline silicon has a problem of too high resistance. Even if polycrystalline silicon is doped, its resistance is still too high. It is not suitable for replacing the metal layer of MOS. Therefore, those familiar with this memory will propose a solution. That is, another layer of metal salicide (thickness of polysilicon) is added on the surface of the polycrystalline silicon, and the conductive layer is formed by using the metal silicide with better conductivity and the polycrystalline silicon. With the integration of the device (Integrity) As the size of the M0S element increases, the resistance of the drain / source will also gradually increase to the same level as its channel. In order to reduce the sheet resistance of the drain / source, Resistance) and ensure that the metal is "shallow" between M0s

第4頁 536747 五、發明說明(2) 合(shallow Junction)"甚至”超淺接合(ultra shaU〇w Junction)”的完整,一拜稱為自行對準金屬矽化物製程的 應用便廣泛地使用在0· 5 //m以下的VLSI製程裡。而此種製 程一般簡稱為Sal icide製程。Sal i cide製程中,通常使用 獲氧能力(Oxygen Getting)良好的金屬,例如:鈦(Ti )、 白金(Pt)、鈷(Co)、鎳(Ni) ···等,在適當的溫度下極易與 石夕(S i )因父互擴散而形成一種電阻很低的化合物,因此金 屬與石夕的界面間可形成一良好的歐姆式接觸(〇hmic contact ) ° 第1 A-1E圖係表示習知的Sal ic ide製程之流程剖面 圖。如第1A圖顯示一基本M0S架構,其中10表示一半導體 基材,在這一基材上定義有一多晶矽閘極結構丨2 (丨丨為閘 極氧化層)、汲極/源極14、間隔物(spacer) 2〇、以及場 氧化層22(F0X)。首先,以氫氟酸為主的溶劑去除M〇s架構 上所有可能的污染,再沈積一金屬層3〇於M〇s架構之上, 如第1B圖所示。接著,在周圍溫度65〇_7〇〇〇c和充滿氮氣 (N2)的環境下,以第一道快速加熱製程(Rapid Thermai Process,RTP)來進行回火(anneal),金屬層3〇將會與閘 極12上的多晶矽和14上的單晶矽(CrystalUne SiHc〇n) 起反應而形成金屬矽化物31,而在間隔物2〇和場氧化層22 上的金屬則仍保持原狀,未參與反應,如第丨c圖所示。下 一步,使用選擇性濕式蝕刻步驟來去除前述未和矽反應的 金屬30,蝕刻之。結^如第1D圖所示。接著,可視實際應用 之需要,在800 C尚溫、氣壓76〇mt〇rr及充滿化的環境下Page 4 536747 V. Description of the invention (2) "Shallow Junction" & even "ultra shallow junction (ultra shaow junction)" is complete, and it is widely used in applications called self-aligned metal silicide process. Used in VLSI process below 0 · 5 // m. This process is generally referred to as the Salicide process. In the Sal i cide process, metals with good oxygen-getting ability (Oxygen Getting) are usually used, such as: titanium (Ti), platinum (Pt), cobalt (Co), nickel (Ni), etc., at an appropriate temperature It is very easy to form a compound with low resistance due to interdiffusion with Shi Xi (Si). Therefore, a good ohmic contact can be formed at the interface between the metal and Shi Xi. ° 1 A-1E It is a cross-sectional view showing the process of the conventional Salic ide process. As shown in Figure 1A, a basic MOS architecture is shown, in which 10 represents a semiconductor substrate, and a polycrystalline silicon gate structure is defined on this substrate 丨 2 (丨 丨 is the gate oxide layer), the drain / source 14, and the interval And a field oxide layer 22 (FOX). First, a hydrofluoric acid-based solvent is used to remove all possible contaminations on the Mos structure, and then a metal layer 30 is deposited on the Mos structure, as shown in Figure 1B. Next, in an environment with an ambient temperature of 65-7000c and nitrogen (N2), the first rapid heating process (RTP) is used to perform annealing. The metal layer 30 will It will react with the polycrystalline silicon on the gate 12 and the single crystal silicon (CrystalUne SiHon on the gate 14) to form the metal silicide 31, while the metal on the spacer 20 and the field oxide layer 22 remains as it is. Participate in the reaction, as shown in Figure 丨 c. In the next step, a selective wet etching step is used to remove the aforementioned unreacted silicon 30 and etch it. The result is shown in Figure 1D. Then, depending on the needs of the actual application, under 800 C still temperature, air pressure of 76〇mt〇rr and full environment

IH 0702-7476TWF(N) ; 90P127 ; Felicia.ptd 第5頁 536747 五、發明說明(3) 速:ί製程進行回火’使前述的金屬石夕化物31 -仃相’史化’使其轉變成電阻較低的相32,士口第 不。如此,便完成習知的SaHcide製程。 消耗:製程中’汲極/源極14上的矽會被 =反=冬金屬/夕化物31。以金屬銘(㈤為例,,其反應 算彳β ^〇Sl2,其中經由材料特性及習知技術推 于.° ’才料厚度消耗比例約為Co : Si : CoSi2 = l : 度3 6〇3人5之S亦。即^母形成一厚度為35 0 A之00^2必需消耗厚 描14此一來’便有厚度約〇.04微米("m)之《及 勢3導體W員失,因此’隨著半導體線寬微縮的趨 勢田+導體I程技術演進至〇1 3 _以下後,習知之 sa 1 i c i de製程,便合引蘇垃入 文曰^么接合漏電的問題發生,如第2圖 r/j 不。 接批有’為了解決上述問冑,本發明主要目的在於 、、冲^ 物之氟仏方法,可適用於積集度高之半 導體,以付合超淺接合的需求。 發明概述: 本發明之目的在^ j^s yu ^ a « LV ^ ^ ^ / ^ 徒供一種金屬矽化物之製造方法, 發生^ / β汉極之矽被消耗,並且避免接合漏電的問題 、皮:獲致上述之目的,本發明提出一種金屬矽化物之製 W方f,此方法的步驟主要係包括: 炻/二:、:士基底、’上述矽基底表面形成有-閘極與-源 >…中上述閘極側壁具有一間隔物;全面性形成IH 0702-7476TWF (N); 90P127; Felicia.ptd Page 5 536747 V. Description of the invention (3) Speed: Tempering in the manufacturing process to 'make the aforementioned metal lithophyte 31-' historical 'phase transformation The phase 32 with lower resistance becomes the second one. In this way, the conventional SaHcide process is completed. Consumption: During the process, the silicon on the drain / source 14 will be reversed = winter metal / xide 31. Taking a metal inscription (㈤ as an example, the reaction is calculated as 彳 β ^ 〇Sl2, which is pushed to. ° through material characteristics and conventional techniques. 'The material thickness consumption ratio is approximately Co: Si: CoSi2 = 1: degree 3 6〇 The S of 3 people is 5. That is, the mother must form a thickness of 35 0 A and the thickness of 00 ^ 2 must be consumed. At this time, there will be a "and potential 3 conductor W" with a thickness of about 0.04 microns (" m). Loss of personnel, so 'As the semiconductor line width shrinks, the field + conductor I process technology evolves to 0 1 3 _, after the sa 1 ici de process is familiar, it is combined with the problem of leakage. Occurrence, as shown in Fig. 2 r / j No. In order to solve the above problem, the main purpose of the present invention is to fluorinate the material, which can be applied to semiconductors with a high degree of accumulation, to The need for shallow junctions. Summary of the invention: The purpose of the present invention is to provide a method for manufacturing a metal silicide ^ j ^ s yu ^ a LV The problem and skin of joint leakage: To achieve the above-mentioned object, the present invention proposes a method for manufacturing a metal silicide W square f. The steps of this method are mainly Comprising: stoneware / II:,: Maersk end, 'the above-mentioned bottom surface is formed with a silicon based - and gate - source > ... In the above-described gate having a sidewall spacer; Comprehensive formed

536747 五、發明說明(4) 金屬層於上述閘極、上述間隔物與上述源極/汲極表面 、;、施行一第一熱處理程序,使上述金屬層與上述閘極及上 述源極/汲極表面的矽反應而形成金屬矽化物;去除未參 予反應的上述金屬層部分與上述間隔物;導入一含矽離子 於上述汲極/源極表面;施行一第二熱處理程序;以及去 除未參予反應的上述金屬層部分。 • 承如上述,上述第一、第二熱處理係為快速加熱回火 製私(RTP) ’其溫度範圍例如為6〇〇〜8〇〇cc。並且,上述536747 V. Description of the invention (4) The metal layer is on the surface of the gate, the spacer and the source / drain, and a first heat treatment process is performed to make the metal layer and the gate and the source / drain The silicon on the electrode surface reacts to form a metal silicide; remove the part of the metal layer and the spacer that are not involved in the reaction; introduce a silicon ion into the drain / source surface; perform a second heat treatment procedure; and remove the Part of the above-mentioned metal layer participating in the reaction. • As mentioned above, the first and second heat treatments are rapid heating and tempering (RTP), and the temperature range is, for example, 600 to 800 cc. And the above

含矽離子的導入可利用一離子佈植方式完成,用以額外提 供一含矽離子源,以減少基底中矽之消耗。 實施例: 以下請同時參考第3A圖到第3F圖之製程剖面圖以及第 4圖之流程圖說明根據本發明之實施例。 首先,在步驟S600中,請參照第3A圖,顯示一M〇s的 基本架構。其中,標號1〇〇係為一矽基底,上述矽基底1〇〇 表面已形成有一閘極120、一源極/汲極14〇以及場氧化物 220。上述閘極120之材質例如為多晶矽,上述多晶矽閘極 120與上述基底1〇〇之間最好有一閘極氧化層ιι〇,且上 源極/汲極140係經由適當摻雜程序所形成。並且,上 極120側壁具有一間隔物200,其材質例如為氧化物。甲 接著,在步驟S602中,例如以直流電聚(Dc plasma) 方式進行滅鑛法(sputtering)全面性形成—金屬層3〇〇於 MOS架構之上,即上述金屬層300覆蓋上述閘極12〇、上述 間隔物200、上述源極/汲極140與上述場氧化物22〇表面,The introduction of silicon-containing ions can be accomplished by an ion implantation method to provide an additional silicon-containing ion source to reduce the consumption of silicon in the substrate. Embodiments: The following is a description of an embodiment according to the present invention with reference to the process cross-sectional views of FIGS. 3A to 3F and the flowchart of FIG. 4. First, in step S600, referring to FIG. 3A, the basic structure of a MOS is displayed. Among them, reference numeral 100 is a silicon substrate, and a gate electrode 120, a source / drain electrode 14 and a field oxide 220 have been formed on the surface of the silicon substrate 100. The material of the gate electrode 120 is, for example, polycrystalline silicon. Preferably, a gate oxide layer is formed between the polycrystalline silicon gate 120 and the substrate 100, and the upper source / drain 140 is formed by an appropriate doping process. In addition, a spacer 200 is provided on the sidewall of the upper electrode 120, and the material is, for example, an oxide. A Next, in step S602, for example, a full-scale formation of sputtering is performed by a DC plasma method—a metal layer 300 is formed on the MOS structure, that is, the metal layer 300 covers the gate electrode 12. , The spacer 200, the source / drain 140, and the surface of the field oxide 22o,

536747 發明說明(5) 鎳、鉑等之其中 且上述金屬層3〇〇的材質例如為鈦、鈷 之一者’如第3B圖所示。 王。接著:步驟S604中,請參照第3C圖,施行一第一熱處 里私序’最好以快速加熱製程(RTP )進行溫度約6 〇 〇〜8 0 〇 =之熱處理’使得上述金屬層3〇〇與上述閘極12〇,及上述源 在、及極140表面的矽反應而形成金屬矽化物310,而覆蓋 土述場氧化物22〇和上述上述間隔物2〇〇上方之上述金屬 曰0 〇部分則未參與反應,保持原狀。 • J後步驟s 6 〇 6中’施行適當選擇性姓刻程序,例 八濕蝕刻法,用以移除未參予反應之上述金屬層3〇〇部 刀’以及上述間隔物20 0,如第3D圖所示。 /沒極然/面^驟⑽8中,係為導入一切離子於上述源極 1=示其中’㈣子可利用-離子佈植程序導入, 序,加:=)圖進二 熱處理,用以Γ上ϋϋ(ίΤΡ)進打溫度約600〜800 °C之 目士 ± 吏上逑金屬矽化物3 1 0產生相變化,形忐 具有較低電阻值之相32〇。 支化形成— 本發明雖以較佳實施例揭露如上,缺豆 本發明的範圍,任何孰f = /、並非用U限定 精神和範圍内,當;,在不脫離本發明之 保護範圍當視後附:::=2與:飾’因此本發明之 了 <甲明專利軌圍所界定者為準。536747 Description of the invention (5) Among materials such as nickel and platinum, and the material of the metal layer 300 is, for example, one of titanium and cobalt ', as shown in FIG. 3B. king. Next: In step S604, please refer to FIG. 3C, and execute a private sequence in the first thermal place 'preferably using a rapid heating process (RTP) to perform a heat treatment at a temperature of about 6 00-800 0 =' so that the above-mentioned metal layer 3 〇〇Reacts with the gate 12 and the silicon on the surface of the source and electrode 140 to form a metal silicide 310, and covers the field oxide 22 and the metal above the spacer 200. Part 0 〇 did not participate in the reaction and remained intact. • In step s 6 06 after J, 'implement an appropriate selective surname engraving procedure, such as the wet etching method, to remove the 300 metal blades of the above-mentioned metal layer that have not participated in the reaction' and the above-mentioned spacers 200, such as Figure 3D. / 无极 然 / 面 ^ In Step 8, it is to introduce all ions into the above source 1 = shown where '㈣ 子 can be imported using the-ion implantation program, order, plus: =) Figure into the second heat treatment for Γ The upper surface (ίTP) is driven at a temperature of about 600 to 800 ° C. The upper metal silicide 3 1 0 causes a phase change, and the phase has a lower resistance value of 32 °. Branch formation — Although the present invention is disclosed in the preferred embodiment as above, the scope of the present invention is lacking. Any 孰 f = /, is not within the spirit and scope defined by U, when; it should be regarded as not departing from the scope of protection of the present invention. Attachment ::: = 2 and: Decoration 'Therefore, the invention defined by the Jiaming Patent Rail shall prevail.

536747 圖式簡單說明 下 為使本發明之上述目的、特徵和優點能更明顯易懂, 文特舉一較佳實施例,並配合所附圖式,作詳細說明如 圖 第1A圖至第1E圖係繪示習知之金屬矽化物之製程剖面 第2圖係顯示在習知之金屬矽化物製程中,可能遭遇 到的接合漏電問題。 第3 A圖至第3 F圖係繪示根據本發明之金屬矽化物之製 程剖面圖。 第4圖係顯示示根據本發明之金屬矽化物之製程流程 圖。 符號說明: 10〜100〜 半導體基材; 11 、 110 〜 閘極氧化層; 12 、 120 〜 多晶秒; 14 、 140 〜 沒極/源極; 20 > 200 - 間隔物(s p a c e r); 22 > 220 〜 場氧化層; 30 ' 300 〜 金屬層; 30a、30a〜為參與反應之金; 31〜310〜 金屬矽化物; 32 > 320 〜 具有新的相之金屬 700 ^ 900产 〜加熱程序; 800〜離子佈植程序。In order to make the above-mentioned objects, features, and advantages of the present invention more comprehensible under the simple description of the drawings, Wen Wen cites a preferred embodiment in conjunction with the accompanying drawings for detailed description, as shown in FIGS. 1A to 1E. The diagram is a cross-section of a conventional metal silicide process. The second diagram is a joint leakage problem that may be encountered in the conventional metal silicide process. Figures 3A to 3F are cross-sectional views showing the process of the metal silicide according to the present invention. Fig. 4 is a flow chart showing the process of the metal silicide according to the present invention. Explanation of symbols: 10 ~ 100 ~ semiconductor substrate; 11, 110 ~ gate oxide layer; 12, 120 ~ polycrystalline seconds; 14, 140 ~ non-polar / source; 20 >200-spacer; 22 > 220 ~ field oxide layer; 30 '300 ~ metal layer; 30a, 30a ~ are gold participating in the reaction; 31 ~ 310 ~ metal silicide; 32 > 320 ~ metal with new phase 700 ^ 900 production ~ heating Procedure; 800 ~ ion implantation procedure.

〇702-7476TWF(N) - 90P127 ; Felicia.ptd 第9頁〇702-7476TWF (N)-90P127; Felicia.ptd page 9

Claims (1)

536747 六、申請專利範圍 \一種金屬矽化物的製造方法,包括: 閘極與一汲 提供一矽基底,上述矽基底表面形成 極/源極,其中上述閘極側壁具有一間隔物; 順應性形成一金屬層於上述閘極、 源極/汲極表面; 上述間隔物與上述 t 卜、f/、@第熱處理程序,使上述金屬層與上述閘極及 述/極/源極表面的矽反應而形成金屬矽化物; 去除未參予反應的上述金屬層與上述間隔物; 導入一含石夕離子於上述汲極/源極表面;以及 施行一第二熱處理程序。 其中上述 〇 其中上述 其中上述 其中上述 其中上述 其中上述 2 ·如申請專利範圍第1項所述之製造方法: 金屬層係以鈦、鈷、鎳、鉑之其中之一者構成 3 ·如申請專利範圍第1項所述之製造方法, 第一熱處理係為快速加熱回火製程(RTP)。 4 ·如申睛專利範圍第1項所述之製造方法, 第二熱處理係為快速加熱回火製程(RTP)。 5 ·如申請專利範圍第1項所述之製造方法, 含矽離子係利用離子佈植導入。 6 ·如申請專利範圍第1項所述之製造方法, 金屬層係利用濺鍍法形成。 7·如申請專利範圍第6項所述之製造方法, 上述濺鍍法係以直流電渡(D C p 1 a s m a )的方式進行。 8 ·如申請專利範圍第1項所述之製造方法,其中去除 上述金屬層係利用溼餘刻法進行。536747 6. Application scope \ A method for manufacturing a metal silicide, comprising: a gate and a drain to provide a silicon substrate, the surface of the silicon substrate forming a pole / source, wherein the side wall of the gate has a spacer; compliant formation A metal layer is on the surface of the gate, source / drain; the spacer and the t, f, and @th heat treatment procedures make the metal layer react with silicon on the surface of the gate and the source / source Forming a metal silicide; removing the metal layer and the spacer that are not involved in the reaction; introducing a stone-containing ion on the surface of the drain / source; and performing a second heat treatment procedure. Wherein the above 〇 among the above among the above among the above among the above among the above 2 · The manufacturing method as described in item 1 of the scope of patent application: The metal layer is composed of one of titanium, cobalt, nickel, and platinum 3 · as the patent is applied for In the manufacturing method described in the first item of the scope, the first heat treatment is a rapid heating and tempering process (RTP). 4 · The manufacturing method described in item 1 of Shenyan's patent scope, the second heat treatment is the rapid heating and tempering process (RTP). 5 · The manufacturing method described in item 1 of the scope of patent application, the silicon-containing ions are introduced by ion implantation. 6 · The manufacturing method described in item 1 of the scope of patent application, wherein the metal layer is formed by a sputtering method. 7. The manufacturing method as described in item 6 of the scope of the patent application, wherein the above-mentioned sputtering method is performed by a direct current (D C p 1 a s m a) method. 8. The manufacturing method according to item 1 of the scope of patent application, wherein the removal of the above metal layer is performed by a wet-etching method. 0702-74761^(N) : 90P127 ; Felicia.ptd 第10買 536747 申請專利範圍 9如申請專利範圍第丨項所述之製生 夕基底表面更具有一閘極氧化屑 坆方法,其中上述 1 0· 一種金屬矽化物的製造_ 、述閘極下方。 提供一矽基底,上述矽基底表包括·· 極/源極,其中上述閘極側壁具有、一形s成有—閘極與一汲 全面性形成-金屬層於上述閘極、隔物’ 汲極/源極表面; 上述間隔物與上述 施行一第一快速加熱回火製程, 閘極及上述汲極/调朽本品从A c * 上迷金屬層與上述 4戍源極表面的矽反應而形成金屬访外私· 去除未參予反應的上述金屬層部分鱼屬^夕化物, 實施-含石夕離子佈植程序n ”上迷間隔物; 施行一第二快速加熱回火製程。 、11 ·如申請專利範圍第1 〇項所述之製造方法 述金屬層係以鈦、鈷、鎳之其中之一者構成。 1 2 ·如申凊專利範圍第1 〇項所述之製造方法 述上述金屬層係利用濺鍍法形成。 1 3 ·如申請專利範圍第1 2項所述之製造方法,其中上 述上述濺鍍法係以直流電漿(DC pi asma)的方式進行。 1 4·如申請專利範圍第1 〇項所述之製造方法,其中去 除上述金屬層係利用溼蝕刻法進行。0702-74761 ^ (N): 90P127; Felicia.ptd No. 10 Buy 536747 Apply for a patent scope 9 As described in the patent application scope, the surface of the substrate has a gate oxide scale method, wherein the above 10 · Manufacture of a metal silicide_, under the gate. Provide a silicon substrate, the above silicon substrate table includes ... a pole / source, wherein the gate side wall has, a shape is formed-the gate and a drain are formed comprehensively-a metal layer is formed on the gate and the spacer Electrode / source surface; the spacer and the above-mentioned first rapid heating and tempering process, the gate and the above-mentioned drain / diode are reacted from the metal layer of A * on the silicon surface with the silicon on the surface of the source And the formation of metal visits to foreign countries to remove the non-reactive part of the above-mentioned metal layer part of the fish species, the implementation of-Shixian ion implantation program n "spacers; a second rapid heating and tempering process. 11 · The manufacturing method described in item 10 of the scope of the patent application consists of one of titanium, cobalt, and nickel. 1 2 · The manufacturing method described in item 10 of the scope of patent application The above metal layer is formed by a sputtering method. 1 3 · The manufacturing method according to item 12 of the scope of application for a patent, wherein the above sputtering method is performed by a direct current plasma (DC pi asma) method. 1 4 · 如Manufacturing method described in the scope of patent application No. 10 Wherein the metal layer is to be based in addition to using a wet etching method. 0702-7476TWF(N) ; 90P127 ; Felicia.ptd 第11貢0702-7476TWF (N); 90P127; Felicia.ptd 11th tribute
TW91107866A 2002-04-17 2002-04-17 Metal silicide manufacturing method TW536747B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW91107866A TW536747B (en) 2002-04-17 2002-04-17 Metal silicide manufacturing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW91107866A TW536747B (en) 2002-04-17 2002-04-17 Metal silicide manufacturing method

Publications (1)

Publication Number Publication Date
TW536747B true TW536747B (en) 2003-06-11

Family

ID=29268303

Family Applications (1)

Application Number Title Priority Date Filing Date
TW91107866A TW536747B (en) 2002-04-17 2002-04-17 Metal silicide manufacturing method

Country Status (1)

Country Link
TW (1) TW536747B (en)

Similar Documents

Publication Publication Date Title
US6987050B2 (en) Self-aligned silicide (salicide) process for low resistivity contacts to thin film silicon-on-insulator and bulk MOSFETS and for shallow junctions
US6087234A (en) Method of forming a self-aligned silicide MOSFET with an extended ultra-shallow S/D junction
JP4447128B2 (en) Insulated gate type semiconductor device manufacturing method
KR0147242B1 (en) Fabrication method of semiconductor device with refractory metal silicide formation by removing native oxide in hydrogen
US7344978B2 (en) Fabrication method of semiconductor device
CN103632951A (en) Using Fast Anneal to Form Uniform Ni(Pt)Si(Ge) Contacts on SiGe Layer
US6514859B1 (en) Method of salicide formation with a double gate silicide
TWI293495B (en) Method for forming gate contact
TW536747B (en) Metal silicide manufacturing method
US20050124126A1 (en) Method for fabricating silicide
CN111128704A (en) Method for manufacturing metal silicide
JP2000315662A (en) Manufacture of semiconductor device
US20030235973A1 (en) Nickel SALICIDE process technology for CMOS devices
TWI231547B (en) Short channel transistor fabrication method for semiconductor device
JP3729368B2 (en) Manufacturing method of semiconductor device
JP2758444B2 (en) Method for manufacturing semiconductor device
TW466693B (en) Manufacture method of metal salicide
CN103915326A (en) Self-alignment metal silicide forming method and semiconductor device
JPH1065171A (en) Manufacture of mos transistor
JP3110054B2 (en) Semiconductor device and manufacturing method thereof
JP2561026B2 (en) Method for manufacturing semiconductor device
CN108428628B (en) Manufacturing method capable of reducing grid resistance of power MOS device
TW409302B (en) Method of preventing bridge effect on gate electrodes and source/drain electrodes of the self-aligned silicide
KR20030013882A (en) Method for manufacturing a silicide layer of semiconductor device
US6849543B2 (en) Cobalt silicide formation method employing wet chemical silicon substrate oxidation

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent