TW494482B - Method for forming an extended metal gate using damascene process - Google Patents

Method for forming an extended metal gate using damascene process Download PDF

Info

Publication number
TW494482B
TW494482B TW90110692A TW90110692A TW494482B TW 494482 B TW494482 B TW 494482B TW 90110692 A TW90110692 A TW 90110692A TW 90110692 A TW90110692 A TW 90110692A TW 494482 B TW494482 B TW 494482B
Authority
TW
Taiwan
Prior art keywords
layer
gate
dielectric
item
patent application
Prior art date
Application number
TW90110692A
Other languages
Chinese (zh)
Inventor
Vi Jay Chhagan
Henry Gerung
Yelehanka Ramachandram Pradeep
Simon Chooi
Mei Sheng Zhou
Original Assignee
Chartered Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Chartered Semiconductor Mfg filed Critical Chartered Semiconductor Mfg
Priority to TW90110692A priority Critical patent/TW494482B/en
Application granted granted Critical
Publication of TW494482B publication Critical patent/TW494482B/en

Links

Landscapes

  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

A method for forming an extended metal gate without poly wrap around effects. A semiconductor structure is provided having a gate structure thereon. The gate structure comprising a gate dielectric layer, a gate silicon layer, a doped silicon oxide layer, and a disposable gate layer stacked sequentially. Spacers are formed on the sidewalls of the gate structure. A dielectric gapfill layer is formed over the semiconductor structure and the gate structure and planarized, stopping on the disposable gate layer. A first silicon nitride layer is formed over the disposable gate layer, and a dielectric layer is formed over the first silicon nitride layer. The dielectric layer is patterned to form a trench over the gate structure, wherein the trench has a width greater than the width of the gate structure. The first silicon nitride layer in the bottom of the trench and the disposable gate layer are removed using one or more selective etching processes. The doped silicon oxide layer is removed using an etch with a high selectivity of doped silicon oxide to undoped silicon oxide. A barrier layer is formed over the gate silicon layer, and a metal gate layer is formed on the barrier layer, whereby the metal gate layer has a greater width than the gate structure.

Description

^44^2 、發明說明(1) 【發明之背景】 (1)發明之領域 本發明係有關於半導體元件的製造,並且更特別地是 /於一種使用鑲嵌式製程而形成一自行對準、延伸 屬閘極之方法。 (2)習知技藝之說明 能,^著半導體幾何持續縮小、及設計規定要求更快的效 小 X減〉、電路延遲的閘極控制電阻逐漸地變得重要,減 閘極接觸電阻的金屬閘極變成—個引人注目的選擇,然 ’有幾個問題防止經濟上商業上金屬閘極製造。 〇, ϊ ϋ 1’ Γ結構的頂層以露出且形成-金屬閘極層的開 填岸二ί蝕:ΐ介電材料(即滲填層或STI)的侵蝕,此滲 imt造成多纏繞效應1中會產生-較高電場, 的危險@填充由侵钱所造成的間隙’而增加多崩潰 問題ί閘極隨著閘極幾何持續縮小的 準度,因為护像於非平坦表面上而降低圖案化 驟進行於非# ί 2極的習用技藝製程需要微影成像步 另外,$ ::面上,报難達到必要的圖案化準度。 的接觸窗會變::2幾:ΐ續。:’此設置在閘極結構上 構的dogbone結構俜形成,"、補償這個,延伸超過場隔離蛀 而,這些結構增1 y觸成窗\供增加陷入接觸窗的區域,^ 接觸_電阻而造成電路延遲。 …、^ 44 ^ 2, Description of the invention (1) [Background of the invention] (1) Field of the invention The present invention relates to the manufacture of semiconductor elements, and more particularly / in a self-aligning, Extension is the gate method. (2) Explaining the know-how, the semiconductor geometry continues to shrink, and design requirements require faster efficiency. X gate reduction, the gate delay resistance of the circuit delay gradually becomes important, and the metal to reduce the gate contact resistance of the metal Gate becomes a compelling option, but there are several issues preventing economically commercial metal gate fabrication. 〇, ϊ ϋ 1 'The top layer of the Γ structure is exposed and formed-the metal gate layer is opened and filled. Etching: The erosion of the dielectric material (ie, the infiltrated layer or STI), this infiltration causes multiple winding effects. Will generate a higher electric field, the danger of @filling the gap caused by the invasion of money will increase the multi-collapse problem. The accuracy of the gate continues to shrink with the gate geometry, because the pattern is reduced on the non-flat surface. Huaxu Yu Yu Fei # ί 2 poles of conventional techniques require lithography imaging steps. In addition, $ :: surface, it is difficult to achieve the necessary patterning accuracy. The contact window will change :: 2 a few: continued. : 'This setup forms a dogbone structure on the gate structure, ", compensates for this, extends beyond the field isolation, and these structures increase 1 y into a window \ for increasing the area of the contact window, ^ contact_resistance This causes circuit delays. ...,

第7頁 4944^2Page 7 4944 ^ 2

點進量相關的專利和技術文獻對上述的各種缺 丨”,良,其中最接近且明顯有關的係下例專利。 及、及::3 Γ第5’422,289號(Pierce)顯示一種平坦源極 及/及極及閘極接觸窗結構。 ^搞=,專利,5,856,227號(“等)顯示一種多晶石夕化物 " '"二1係错由離子植入通過—薄殘留多晶矽層而形成 的’而在薄殘留多晶矽層的氧化之後。 美國專利第5, 915, 183號(Gambino等)顯示一種升高源 巧及及極接觸冑’係使用一種毯覆式多晶矽層的嵌壁 刻0 、、美國專利第5, 807, 779號(Liaw)顯示一種形成自行對 準源極及汲極接觸窗及區域性内導線結構之製程。 美國專利第5, 869, 396號(pan等)顯示一種形成多晶矽 化物閘極接觸窗之方法。 美國專利第5,856,225號(Lee等)顯示一種藉由移除空 閘極而形成植入通道區之製程,以形成一植入開口且在開 口中形成一自行對準閘極。 美國專利第5, 731,239號(Wong等)顯示一種形成自行 對準石夕化物閘極電極之方法 【發明之概要】 /本發明之主要目的,係在於提供一種使用鑲嵌式製程 而形成一自行對準、延伸的金屬閘極之方法。 本發明之另一目的,係在於提供一種以單獨罩幕及蝕The patents and technical literature related to click-throughs have various shortcomings mentioned above, and the closest and most obviously related are the following patents. And, and: 3 Γ No. 5'422,289 (Pierce) shows a flat source And / or pole and gate contact window structures. ^ Eng =, Patent, No. 5,856,227 ("etc.") shows a polycrystalline oxide compound " '" The second one is implanted through ion implantation-a thin residual polycrystalline silicon layer And the formation of 'after the oxidation of the thin residual polycrystalline silicon layer. U.S. Patent No. 5,915,183 (Gambino et al.) Shows a method of raising the source electrode and the extreme contact, using a blanket-type polycrystalline silicon layer for wall engraving. 0, U.S. Patent No. 5,807,779 ( Liaw) shows a process for forming self-aligned source and drain contact windows and regional intra-wire structures. U.S. Patent No. 5,869,396 (pan, etc.) shows a method for forming a polycrystalline silicide gate contact window. U.S. Patent No. 5,856,225 (Lee et al.) Shows a process of forming an implant channel region by removing an empty gate to form an implant opening and a self-aligning gate in the opening. U.S. Patent No. 5,731,239 (Wong et al.) Shows a method for forming self-aligned stone oxide gate electrodes [Summary of the Invention] / The main purpose of the present invention is to provide a method for forming a Method for self-aligning and extending metal gate. Another object of the present invention is to provide a separate mask and etching

第8頁 494482 五、發明說明(3) f Ϊ而形成一自行對準、延伸的金屬閘極及源極及汲極 接觸自之整合方法。 ,發明之另一目的,係在於提供一種清除在STI/源極 /極接合面上的多纏繞效應之方法。 一呈古I月之另一目的,係在於提供一種在微影期間形成 一 /、有縮小平整度差異的延伸自行對準閘極之方法。 的金mi 士?之…本發明係提供-種形成-延伸 ! ,該半導體結構係具有-閑極結構於- 雜氧化矽層、及一可车毐s s閘極矽化層、一摻 r y 棄間極層且依序相疊起來,H 1¾、辟 係形成於間極結構的側壁上上:隙壁 牛v體結構及閘極結構上, 二復蛊π ,上’一第-氮切層係覆蓋於;辛丟棄閉 介電層係形成覆蓋於第一氮化石夕層上上’且-形成一溝槽於閘極結構上;豆中 2案化,丨電層,以 極結構的寬度,在溝槽及可丟棄閘曰;;,—個寬度大於閘 係使用一個或更多選擇性 f=部的第一氮化矽層 層係使用-個具有推雜氧化以:=物推雜氧切 蝕刻而被移除,—阻障層係形成覆蓋於二匕物的高選擇性 U閘極層係形成於阻障層上;藉以:f矽化層上,且 個X束大於閘極結構的寬度。 3 金屬阻障層具有— 本發明提供超過習用技藝的重 極提供增加設置閑極接觸窗的容限,以ί,延伸的金屬間 以清除的需要 第9頁 494482 五、發明說明(4) dogbone結構覆蓋於場隔離結構上的需要,由於本發明提 供在閘極結構中摻雜矽化層比在滲填層中的無摻雜矽化層 的高選擇性,可避免多纏繞效應,並且,由於蝕刻微影步 驟進行於一平整度上,所以可減少微影步驟的錯誤,而增 加圖案化準確性。 本發明達成已知製程的優點,然而,本發明之目的和 功效可藉由說明書及圖式所指明了解和得到。 【圖號對照說明】 11 半 導 體 結 構 12 淺 溝 槽 隔 離 (STI )結構 15 隔 離 溝 槽 19 隔 離 刻 罩 幕 20 閘 極 結 構 22 閘 極 介 電 層 24 閘 極 矽 化 層 26 摻 雜 氧 化 矽 層 28 可 丟 棄 閘 極 層 29 閘 極 名虫 刻 罩 幕 30 介 電 間 Γ:Λ 壁 40 滲 填 層 50 第 一 氮 化 矽 層 52 第 二 氮 化 矽 層 54 光 阻 罩 幕Page 8 494482 V. Description of the invention (3) f Ϊ An integrated method of self-aligning and extending metal gate and source and drain contacts is formed. Another object of the invention is to provide a method for removing the multi-winding effect on the STI / source / pole junction. Another objective of Yicheng is to provide a method for self-aligning the gate electrode to form an extension with a reduced flatness difference during lithography. King Mi? The ... The present invention provides-a kind of formation-extension !, the semiconductor structure has a-idle structure on-a hetero-silicon oxide layer, and a car ss gate silicide layer, a doped interlayer and an orderly layer On top of each other, H 1¾ and Pyrene are formed on the side walls of the interpolar structure: Gap and V-body structure and gate structure, two complex 蛊 π, and the first-nitrogen-cut layer is covered; Xin discarded A closed dielectric layer is formed overlying the first nitride layer, and-a trench is formed on the gate structure; 2 cases are made in the bean layer, and the dielectric layer is formed by the width of the pole structure in the trench and the Discard the gate ;;, a first silicon nitride layer with a width greater than the gate system using one or more selective f = parts, a system with a dopant oxide to: In addition, the barrier layer is formed on the barrier layer with a highly selective U gate layer covering the two daggers; thereby: f silicide layer, and the X beam is larger than the width of the gate structure. 3 The metal barrier layer has — the present invention provides a heavy pole that exceeds the conventional technique and provides an increase in the tolerance for setting the contact window of the idler electrode to extend the metal space to clear the need. Page 9 494482 V. Description of the invention (4) dogbone The structure covers the need for a field isolation structure. Since the present invention provides a higher selectivity of the doped silicide layer in the gate structure than the undoped silicide layer in the infiltration layer, the multi-winding effect can be avoided, and due to the etching, The lithography step is performed on a flatness, so the errors of the lithography step can be reduced, and the patterning accuracy can be increased. The present invention achieves the advantages of known processes. However, the purpose and effect of the present invention can be understood and obtained from the specification and drawings. [Comparison of drawing number] 11 Semiconductor structure 12 Shallow trench isolation (STI) structure 15 Isolation trench 19 Isolation mask 20 Gate structure 22 Gate dielectric layer 24 Gate silicide layer 26 Doped silicon oxide layer 28 May Discard the gate layer 29 Gate mask 30 Dielectric cell Γ: Λ Wall 40 Filler layer 50 First silicon nitride layer 52 Second silicon nitride layer 54 Photoresist mask

第10頁Page 10

494482 五、發明說明(5) 60 介電層 6 5 溝槽 7 0 阻障層 80 金屬閘極層 90 第二介電層 93 金屬閘極接觸窗開口 95 源極及汲極接觸窗開口 【較佳實施例之說明】 本發明將配合圖式詳細說明如下,本發明提供一種形 成一自行對準延伸金屬閘極之方法,本發明亦可提供一種 形成一自行對準延伸金屬閘極及自行對準源極/汲極接觸 窗之方法。 【第一個較佳實施例】 請參閱第1圖,本發明之第一個實施例係由提供一半 導體結構(1 1)而開始的,半導體結構(1 1 )可被了解的是可 能包括有半導體材料的一晶圓或基板,如矽或鍺、一矽上 絕緣層(SO I )、或已知技藝的其他相似結構,半導體結構 (11)可被了解的是尚包括有一個或更多個傳導及/或絕緣 層覆蓋於一基板或其他類似,及一個或更多個主動及/或 被動元件形成於一基板或其他類似中、或覆蓋於一基板或 其他類似上。 再參閱第1圖,一閘極結構(2 0 )係形成於半導體結構494482 V. Description of the invention (5) 60 dielectric layer 6 5 trench 7 0 barrier layer 80 metal gate layer 90 second dielectric layer 93 metal gate contact window opening 95 source and drain contact window opening [compared to Description of the preferred embodiment] The present invention will be described in detail with reference to the drawings. The present invention provides a method for forming a self-aligned extended metal gate, and the present invention also provides a method for forming a self-aligned extended metal gate and self-aligning Method of quasi-source / drain contact window. [First Preferred Embodiment] Please refer to FIG. 1. The first embodiment of the present invention starts by providing a semiconductor structure (1 1). It can be understood that the semiconductor structure (1 1) may include A wafer or substrate with semiconductor material, such as silicon or germanium, an insulating layer on silicon (SO I), or other similar structures of known technology. The semiconductor structure (11) can be understood to include one or more A plurality of conductive and / or insulating layers are covered on a substrate or the like, and one or more active and / or passive elements are formed on a substrate or the like or covered on a substrate or the like. Referring to FIG. 1 again, a gate structure (20) is formed in a semiconductor structure.

閘極結構最好包括有 形成於半導體結構(11)上 1 1)上 MU)上 才虽石夕 ,b石夕層 g極層 I*使用任 k有一個 1電常 石夕(多日曰日 厂年良, ·:Λ使 沈積; 的氮化 矽厚 ^閱第1圖,圖案化閘極介電層(22)、閘極矽化層 一 >雜氧化矽層(2 6 )、及可丟棄閘極層(2 8 ),以形成 们/、有側壁的閘極結構(2 0 ),閘極結構可使用微影成像 、如幵y成、光阻層、通過一光罩或圖形而曝光光阻層、顯影 光阻層以形成—光阻罩幕、蝕刻底部層而通過一光阻罩 幕、及移除光阻罩幕)而形成,介電間隙壁(3 0)形成於閘 發明說明(7) 一 極結構(2 〇 )的側壁上 ▲ ^ 毯覆沈積,間隙辟(3(η ή6八接者σ向異性蝕刻後介電質的 夂閱第 "( )的"電質最好由氮化矽所組成。 (4。…4:;:摻增極層(28)上,滲填層 磨製程(CMP)而被平坦化平。 且取好使用一化學機械研 再參閱第2圖,—笛—名1 “ / 丟棄閑極層(28)及滲二=夕層第(50),形成覆蓋於可 =((她學氣相沈;)(二 層(50)=:%相沈積)者為較佳而形成,第-氮切 個人在⑽埃到2,°〇°埃之間的厚度。 ^ " Λ ^ c e! 6;^ ^ ^ ^ 當的介電特性的‘何材:::::匕矽’但可包括有適 一坌-^ & 抖 且&擇性钱刻到介電層(6 0), 間可形成於介電層叫 圖案化介電層,以形成一、、查 大於閉極結構(2〇)的寬度(如第4 ;所^ ’,其入具干有一個寬度 由形成一光阻罩幕(54)而被圖案化不円丨電層取好猎 過光阻罩幕(54)而蝕刻第二氮化(弟j圖所示),且穿 及第一氮化矽層(50),就括夕f(52)、介電層(⑼)、 ⑽而言,在餘刻期間,可丟可丢棄閘極層 層(5〇)被移除。就包括有多曰:=層將可與第-氮化石夕 言,在移除第一氮化矽層之後 2丟棄閘極層(28)而 丢棄閘極層將保留,如第 五、發明說明(8) 4圖所示。 翏閱第5圖,若可 * ―乾式蝕刻(電閘極層(28)包括有多晶矽,此 選擇卜迖擇性的多晶矽比^换用HBr/CL2/He—%,乾式蝕 多/的多晶石夕比摻雜氧石;^雜氧化石夕至少為2: J、— =具有-選擇性摻雜氧 6減乳化矽層(26)係使用_ I:刻最好為-個濕二;Γί化石夕的敍刻而被移 /減氧化矽比無摻雜 x…水崧^HF蝕刻,且具有 本發明-個重要::夕t於5°:1。 刻防止在渗填層(4{))中=^高選擇性的摻雜氧化石夕餘 溝槽底部的蝕刻而超過;電。:矽的侵,虫,其暴露於在 苓閱第6 A圖,一阻障展〇 化細)上,阻障層包括;…間 鈦、氮化鎢及I旦或氮化知或更夕個·鈦、氮化 埃之間的厚度。一今属 /成達到—個在50埃到2, 000 上,在金屬;極層(I◦屬)::(⑵ 銘-銅)或銅或-個包括有兩個或=銘合金(即 屬閘極層(80)及阻障層(了”妙狳 述益屬的結合,金 阔,且第二氣化v層二進^ 成於溝槽(65)中,自行對Γ 的寬度’此係形 窄閘極更容易設置於接觸窗上。王~極比習用技藝的 第14頁 立 發明說明(9) 參閱弟6B圖,一第二介带 屬層及第二氮化層上,第二::声9〇勺)係形成覆蓋於閘極金 摻雜氧化矽或其他適當的材料,^ ^ =有無摻雜氧化矽或 化,以形成金屬開極接觸窗開 ^ (9〇)係圖案 =95),源極及沒極接觸窗開口係第及極接觸窗 (9〇)、第二氮化矽層(52)、第— 、介電層 層(50)及滲填層(4〇)。 〇)、第—氮化矽 【第二個較佳實施例】 參閱第7A圖及第”圖,本發明 供-半導體結構⑴)而開始的,如同;::;:”係由提 *半導體應該可被了解描寫出且不受局限,半二二:此術 圍半導體結構(11)可包括有半導體材料的一 f = 1)可 如矽或已知技藝的其他相似結構。 U取基板, 仍參閱第7A圖及第7B圖,一閘極介電層(22)、— 矽化層(24)、一摻雜氧化矽層(26)、及—丟、一閘極 (28)連續地形成覆蓋於半導體結構(n)上,閘極八=層 (2 2 )最好包括具有一個在丨〇埃到2 〇 〇埃之間厚度的層 石夕,但可包括具有一個大於3的希望介電常數二其2 = (例如 氮化石夕、氧化组),閘極石夕化層(2 4 )可包括有/ 的石夕(多晶石夕)或非晶矽(α —矽),最好使用一LPcvd. ^晶 沈積達到一個在3 0 0埃到3, 0〇〇埃之間的厚度。摻雜^程而 層(2B)最好由pSG或BPSG所組成,最好使用一化學*氣乳化石夕 積(例如,PECVD、HDP-CVE、或SACVD 製程),而 ^ 二^沈 五、發明說明(10) ::在m埃到2,0 0 0埃之間的厚度,該化學氣相沈 '、/、有如二乙基硼酸酯或三乙基磷酸酯的摻雜。可 極層m)最好包括有氮化石夕,且最好具有一個在1〇〇埃-閑 2,0 0 〇埃之間的厚度。 、 仍苓閱第7 A圖及第7 B圖,可丟棄閘極層(2 8 )、摻 L匕I層(2㈠、、閘極石夕化層(24)、閘極介電層(22)及半導i :妊21古)係被圖案化’以形成一隔離溝槽(15 ),隔離溝二 ===在U0 0埃到5,_埃之間的深度,且在半以 二土板的頂表面之下,可丟棄閘極層(28)、摻雜氧化矽層 、閘極矽化層(2 4 )、閘極介電層(2 2 )、及半導體美: (口1 1 )可使用微影技術藉由形成一隔離蝕刻罩幕(1 9)彳ί i於 I::::亟】(28)上而被圖案化,若可丢棄閘極層(28)由 辛門,二二t除,且剩餘層可被1虫刻穿過在#刻於可吾 篡ϊ:( T開口 ’在此例子中,氮化矽作為-硬罩 π^^離蝕刻罩幕(1 9 )可在隔離溝槽(1 5 )完成之後 :被移除,本發明之優點係為, 供更精確微影製程的控制。 勹卞-的且了棱 4Μ、Ϊ:Γ平第㈣,淺溝槽隔離(STI)結構(12)係 ί二氧化石夕的介電層而形成於隔離溝 ::乂 好使用一化學機械研磨製程而被平 一化且分止於可丟棄閘極層(2 8)上。 參閱第9Α圖、第⑽圖、第1〇Α圖 閘極層(28)、摻雜氧化顿26),/:二^ 五、發明說明(11) "電層(22)係被圖案化,以形 刻罩幕(29)係形成於可丟 二構(20),一閘極蝕 9B圖所示。間極餘刻罩幕(㈣;(包使:第… Γ呈::力成:光阻,再者’在微影成像期間,表像 的=增加微影成像製程的準確及結果的閘極…旦 可丟棄閘極層(28)、摻雜氧化矽層(2 卩ς。 (24)、閘極介電層(22)係蝕刻穿過“蝕刻罩丄=層 f Λ刻化學包括有:SF“ V膽、或:f /ο $ 2 6/〇2/HBR(用於氮化矽(28)的蝕刻)、CF /(:2Η C ^ (26) ) . HBR/CL2/He?〇 ( L ΗϊI 3 層(24)的蝕刻)及HF(氟化笱 2 ;甲1極夕化 蝕刻)。太旅51)瘵乳(用於閘極介電層(2 2 )的 一個重要的優點係為,摻雜氧化矽層 m水HF_而選擇性㈣職丨(12)。 往構圖、及第"β圖’間隙壁(30)係形成於閘極 = (2〇),STI(⑵的側壁上,間隙壁最好係由氮化石夕所 而ΐ成藉由氣化矽的毯覆式沈積及-各向異性蝕刻 f閱弟12圖,一滲填層(4〇)係形成覆蓋於半導體結構 層最好勺平坦化:停止於可丟棄閘極層(28)上,滲填 二工^有無摻雜氧化矽,且最好使用一化學機械研磨 而平坦化。 拮感^ η參閱第12圖,一第—氮化矽層(50)係形成覆蓋於滲 ^ 及閘極結構(20)上,一介電層(60)係形成於第一 494482 五、發明說明(12) 氮化石夕層(5〇)上,第一氮化矽層(5〇)可使用一ApCVD、 PACVD而形成、或大部分最好使用一LpcvD製程,且最好形 成至一個在100埃到2, 000埃之間的厚度,介電層(6〇)最好 ,由無摻雜氧化矽所組成,係可使用一CVD製程所形成, 介電層最好具有一個在5 0 0到5, 〇〇〇埃之間的厚度。 舜“參閱第12圖,一光阻罩幕(54)係使用微影成像而形成 於介電層(60)上,光阻罩幕(54)係具有開口覆蓋於閘 二=(20)上;#中在光阻罩幕(54)中的開口具有—個大 雷^極結構(20)寬度的寬度。本發明之一個優點係為,介 g U0 )具有一平坦的表面作為一個精確的微影製程。 :閱第13圖’介電層(6〇)係圖案化,藉由蝕 (:〇)穿過光阻罩幕(54)中的開口以形成溝槽(65),而 氣f :氮化石夕層(50)上,介電層(6〇)係使用一餘 鼠化矽而被圖幸仆,诈上\】n 、 ^ ^ 光阻I莫3者如〉10:1。在溝槽(65)形成之後, 示:罩幕(54)可使用—去灰製程而被移除,#第13圖所 (28) ; : ’暴露於溝槽(65)底部及可丟棄閘極層 高選擇!·生钻幻11化矽層(5 〇 )可使用一個氮化矽比氧化矽的 门k擇性蝕刻而被移 心〇2、或ch3f/〇2化學除的電t ;個具有臓/CL2/〇2、⑽ 好為一各而1 + 、電水蝕刻、或一熱磷酸蝕刻,最 隙壁(30)於滲填層(4(^^ =,因為此將會留下一部份的間 屬閘極的金屬擴散。 下,此將有助於防止隨後形成金 再參閱第1 4圖,揀雜h L雜乳化矽層(2 6)係使用一濕式無水The gate structure is preferably formed on the semiconductor structure (11) 1 1) on the MU). Although Shi Xi, b Shi Xi layer, g pole layer I * use any k has a 1 electric constant Shi Xi (multiple days and days Factory year, ·: Λ deposited silicon nitride thick; see Figure 1, patterned gate dielectric layer (22), gate silicide layer-> hetero-silicon oxide layer (2 6), and The gate layer (2 8) is discarded to form a gate structure (2 0) with a sidewall. The gate structure can be lithographically imaged, such as a photoresist layer, a photoresist layer, or through a photomask or pattern. It is formed by exposing the photoresist layer and developing the photoresist layer—the photoresist mask, etching the bottom layer through a photoresist mask, and removing the photoresist mask). A dielectric spacer (30) is formed on the gate. Description of the invention (7) On the side wall of the one-pole structure (20), ^ ^ blanket deposition, gap clearance (3 (η 6), the dielectric of the dielectric after anisotropic etching, read the "quotation of ()") The electrical quality is preferably composed of silicon nitride. (4 .... 4:;: doped on the electrode enhancement layer (28), the infiltration layer grinding process (CMP) is planarized and flattened. And a chemical mechanical research Referring again to Figure 2,- —Name 1 "/ Discard the leisure pole layer (28) and the percolation layer = Xi layer (50), and form a person who can cover (= she learns vapor deposition;) (second layer (50) =:% phase deposition) Formed for the best, the thickness of the -N cut individual is between ⑽Angel and 2, ° 0 ° Angstrom. ^ &Quot; Λ ^ ce! 6; ^ ^ ^ ^ When the dielectric properties of the material :: ::: Silicone 'but it can include suitable 坌-^ & jitter and & selective money carved into the dielectric layer (60), which can be formed in a dielectric layer called patterned dielectric layer to form First, check the width of the closed-pole structure (20) (as in No. 4; so ^ ', its width has a width by patterning a photoresist mask (54) without being patterned. Good hunting of the photoresist mask (54) and etching the second nitride (shown in the figure), and penetrate the first silicon nitride layer (50), including the f (52), the dielectric layer (⑼ For example, during the rest of the time, the discardable and discardable gate layer (50) was removed. Including how many layers: = layer will be able to communicate with the first-nitride stone, after removing the first After the silicon nitride layer 2 discard the gate layer (28) and discard the gate layer will remain, as shown in the fifth and the description of the invention (8) 4 See Figure 5, if available * ― dry etching (the gate layer (28) includes polycrystalline silicon, this selection is based on the selective polycrystalline silicon ratio ^ instead of HBr / CL2 / He—%, dry etching more / Polycrystalline stone is better than doped oxygen stone; ^ mixed oxide stone is at least 2: J,-= with -selectively doped oxygen 6 demulsified silicon layer (26) is used _ I: carved is preferably-a wet II; Γί Fossil Xi's engraving and the shifted / reduced silicon oxide ratio is undoped x ... Water Song ^ HF etching, and has the present invention-one important: Xi t at 5 °: 1. Etching in the infiltration layer (4 {)) = ^ highly selective doped oxidized oxidized etched bottom of the trench and exceeded; electricity. : Invasion of silicon, insects, which are exposed on Lingyue (Fig. 6A, a barrier exhibition). The barrier layers include; ... titanium, tungsten nitride, and nitride or nitride. Thickness between titanium and nitride. The current genus / percent reach—one in the range of 50 Angstroms to 2,000, in metal; polar layer (I◦ genus) :: (铭 Ming-copper) or copper or-one including two or = Ming alloy (ie It belongs to the combination of the gate layer (80) and the barrier layer (了 "), and the second gasification v layer is binary ^ formed in the trench (65) and self-aligns the width of Γ ' This series of narrow gates is easier to install on the contact window. Wang ~ Ji is more than the conventional technique on page 14 of the invention description (9) Refer to Figure 6B, a second dielectric band layer and a second nitride layer, Second: Acoustic 90 °) is formed by covering the gate with gold-doped silicon oxide or other suitable materials, ^ ^ = presence or absence of doped silicon oxide or metallization to form a metal open electrode contact window opening ^ (9〇) Pattern = 95), the source and non-contact contact openings are the first and second contact windows (90), the second silicon nitride layer (52), the first, the dielectric layer layer (50), and the infiltration layer ( 4〇)。 〇), the first silicon nitride [second preferred embodiment] Referring to Figure 7A and Figure ", the present invention-semiconductor structure ⑴) began, as; ::;:" system It should be understood that semiconductors should be described and Limited by half and two: The semiconductor structure (11) may include a semiconductor material (f = 1), such as silicon or other similar structures of known technology. U Take the substrate, still refer to Figure 7A and 7B In the figure, a gate dielectric layer (22), a silicide layer (24), a doped silicon oxide layer (26), and a gate electrode (28) are continuously formed to cover the semiconductor structure (n). The gate eight = layer (2 2) preferably includes a layer having a thickness between 丨 0 angstrom and 2000 angstrom, but may include a dielectric constant having a desired dielectric constant greater than 3 and 2 = ( For example, nitrided oxide, oxide group), gated oxide layer (2 4) may include / / Shi Xi (polycrystalline Shi Xi) or amorphous silicon (α-silicon), it is best to use an Lpcvd. ^ Crystalline Deposition reaches a thickness between 300 angstroms and 3,000 angstroms. The doping process and the layer (2B) is preferably composed of pSG or BPSG, and a chemical * gas emulsified stone product is best used ( For example, PECVD, HDP-CVE, or SACVD process), and ^ 二 ^ 沈 五, Invention Description (10) :: Thickness between m Angstrom and 2,0 0 0 Angstrom, the chemical vapor deposition ', / Diethyl Doping of an acid ester or triethyl phosphate. The polarizable layer m) preferably includes a nitride stone, and preferably has a thickness between 100 angstroms and 2,000 angstroms. As shown in Figures 7A and 7B, the gate layer (28), the L-doped layer (2mm), the gate stone layer (24), the gate dielectric layer (22), and (Semiconductor i: Pregnancy 21 ancient) is patterned to form an isolation trench (15), the isolation trench II === depth between U0 0 Angstroms to 5, Angstroms, and in half to two soil plates Under the top surface, the gate layer (28), doped silicon oxide layer, gate silicide layer (2 4), gate dielectric layer (2 2), and semiconductor beauty can be discarded: (口 1 1) 可Lithography technology is used to form an isolated etching mask (1 9) 彳 I on I :::: Urgent] (28) and patterned, if the gate layer (28) can be discarded by Xin gate, Divide two and two, and the remaining layer can be engraved by 1 insect carved in #etched by Kou: (T opening 'In this example, silicon nitride is used as a-hard mask π ^^ away from the etching mask (1 9 ) Can be removed after the isolation trench (15) is completed. The advantage of the present invention is that it provides more precise lithography control.勹 卞 -'s ridge 4M, Ϊ: Γ flat, 浅, shallow trench isolation (STI) structure (12) is a dielectric layer of SiO2 and is formed in the isolation trench ::: Good use of a chemical machinery The grinding process is flattened and stopped on the discardable gate layer (28). Refer to FIG. 9A, FIG. 10, and FIG. 10A. Gate layer (28), doped oxide layer 26), // 2. 5. Description of the invention (11) " Electric layer (22) is patterned The engraved mask (29) is formed in the discardable second structure (20), and a gate erosion is shown in FIG. 9B.极 极 刻刻 幕 ㈣ (㈣; (Package: No ....) Presentation :: Li Cheng: Photoresistance, and further 'During lithography imaging, increase the accuracy of the lithography imaging process and the gate of the result ... once the gate layer (28), the doped silicon oxide layer (2) can be discarded. (24), the gate dielectric layer (22) is etched through the "etching mask" = layer f Λ etch chemistry includes: SF, V, or: f / ο $ 2 6 / 〇2 / HBR (for etching of silicon nitride (28)), CF / (: 2Η C ^ (26)). HBR / CL2 / He? 〇 (L ΗϊI 3 layer (24) etching) and HF (Hr fluoride 2; A 1 pole etch). Tailu 51) Emulsion (for gate dielectric layer (2 2) an important advantage It is based on the doped silicon oxide layer m water HF_ and selectively kills it (12). The patterning and "β picture" partition wall (30) is formed at the gate = (2〇), STI ( On the side walls of the concrete, the gap wall is preferably made of nitrided silicon. The blanket deposition and vapor-anisotropic etching of siliconized silicon are shown in Figure 12, and an infiltration layer (40) is formed. The semiconductor structure layer is best covered with a flattening plane: stop on the discardable gate layer (28), and infiltrate the filler 有Silicon oxide, and it is best to use chemical mechanical polishing for planarization. Sensing ^ η Refer to Figure 12, a silicon nitride layer (50) is formed to cover the infiltration and gate structure (20), a The dielectric layer (60) is formed on the first 494482. V. Description of the invention (12) The nitride layer (50). The first silicon nitride layer (50) can be formed using ApCVD, PACVD, or Part is best to use an LpcvD process, and is preferably formed to a thickness between 100 Angstroms and 2,000 Angstroms. The dielectric layer (60) is preferably composed of undoped silicon oxide. During the CVD process, the dielectric layer preferably has a thickness between 500 and 5,000 Angstroms. "Refer to Figure 12, a photoresist mask (54) is formed using lithographic imaging. On the dielectric layer (60), the photoresist mask (54) has an opening covering the second gate = (20); the opening in #photoresist mask (54) has a large mine structure (20) The width of the width. One advantage of the present invention is that the dielectric g U0) has a flat surface as an accurate lithographic process.: See Figure 13 'Dielectric layer (60) series The trench (65) is formed by etching (: 0) through the opening in the photoresist mask (54), and the dielectric layer (60) is formed on the gas nitride layer (50). Using a squirrel of silicon and being photocopied by the imager, the photo-resistance of the photo-resistor is not greater than 10: 1. After the trench (65) is formed, the mask (54) can be used —Removed from the ashing process, # 第 13 图 所 (28);: 'Exposed to the bottom of the trench (65) and discardable gate layer height selection! · Diamond diamond 11 silicon layer (50) can be Using a gate selective etching of silicon nitride rather than silicon oxide, the charge is chemically removed by centering 〇2, or ch3f / 〇2; each has 臓 / CL2 / 〇2, ⑽ is preferably one and 1 +, Electro-water etching, or a hot phosphoric acid etching, the most gap wall (30) in the infiltration layer (4 (^^ =, because this will leave a part of the intermetallic gate metal diffusion. This will help prevent the subsequent formation of gold. Referring to Figure 14 again, the H L heteroemulsified silicon layer (2 6) uses a wet anhydrous

494482 該濕式無 大於5 0 : 1 水HFI虫刻 的暴露部 一阻障層 填層(4 0 ) 下列成份 個在5 0埃 成於阻障 屬之一, 五、發明說明(13) HF蝕刻而被移除, 矽比無摻雜氧化石夕 優點係為,濕式無 邊緣上滲填層(4 〇 ) 參閱第1 5圖, 間隙壁(3 0 )、及渗 括有一個或更多個 钽,且最好具有— 屬閘極層(8 0 )係形 包括有各種傳導金 或銅。 水HF蝕刻係具有一個摻雜氧化 的選擇性,本發明的一個重要 亚無法有效地蝕刻在溝槽(6 5 ) 份,避免多纏繞效應。 (7 〇 )形成於間極石夕化層(2 4 )、 的暴露區域上,阻障層(70)包 ’欽氮化欽、短、或氮化 到2, 0〇〇埃之間的厚度。一金 每(〇)上,金屬閘極層(8〇)可 諸如鎢或鋁(元素的或合金的) 冉芩閱第1 固 • 金屬閘極層(80)及阻陸展〆 =機械研磨製程而被平坦化,且停止:::= =的金屬閑極層⑽延伸超過 極:::層〇) 形成-延伸金屬閘:位、、。構(20) ’ 金屬寬度。本笋明個大於閘極結構寬度的 :-個較大區域作為設置閘極 :494482 The wet type is not greater than 50: 1. The exposed part of the water HFI engraved with a barrier layer is filled (40). The following ingredients are included in one of the barrier genus at 50 angstroms. 5. Description of the invention (13) HF It is removed by etching. The advantage of silicon over undoped oxide is that the wet type has no infiltration layer on the edge (40). See FIG. 15, the spacer (30), and one or more infiltrations. A plurality of tantalum, and preferably having a metal gate layer (80) system, includes various conductive gold or copper. The water HF etching system has a selectivity of doping oxidation. An important aspect of the present invention is that it cannot effectively etch the trench (65) portion to avoid the multi-winding effect. (70) formed on the exposed area of the metapolar petrochemical layer (2 4), the barrier layer (70) includes a silicon nitride, a silicon nitride, a short silicon nitride, or a nitride nitride thickness. On each gold (0), the metal gate layer (80) can be, for example, tungsten or aluminum (elemental or alloy). The first solid metal gate layer (80) and the resistance barrier are: Flattened and stopped: ::: = metal idler layer ⑽ extends beyond the pole :::: layer 0) formation-extended metal gate: bit ,,.体 (20) 'Metal width. This article shows that a larger area than the gate structure width is used as the gate:

因此:有效使用輪或改進圖案=置的更靠近: 茶閱第16圖,—篦二介帝/ 屬層(80)及介電層⑽上::::::於閘極 矽或摻雜氧化矽或A他適“包括有無摻雜氧 化,以形成閘極;觸= 電姆^ (95),源極及沒極接觸汽ησ)及源極及汲極接觸窗開 才接觸®開口延伸穿過介電層(6 〇 )、第Therefore: Effectively use the wheel or improve the pattern = set closer: Cha see Figure 16,-篦 二 介 帝 / metal layer (80) and dielectric layer ⑽ :::::: on gate silicon or doped Silicon oxide or other suitable "includes the presence or absence of doping oxidation to form the gate; contact = ohm ^ (95), the source and non-contact contact vapor ησ) and the source and drain contact windows open before contacting ® opening extension Through the dielectric layer (60),

494482 五、發明說明(14) 氮化矽層(5 0 )、及滲填層(4 0 ),源極及汲極接觸窗開口可 藉由形成於ST I (1 2 )上的氮化矽間隙壁(3 0 )而被自行對 準。 雖然本發明已被特別地表示,並參考其較佳實施例做 說明,惟各種形式上及細節的改變可於不背離本發明之精 神與範疇下為之,係為熟習本技藝之人士所能瞭解的。494482 V. Description of the invention (14) Silicon nitride layer (50) and infiltration layer (40), the openings of the source and drain contact windows can be formed by silicon nitride formed on ST I (1 2) The partition wall (30) is self-aligned. Although the present invention has been particularly shown and described with reference to the preferred embodiments thereof, various changes in form and detail may be made without departing from the spirit and scope of the present invention, which can be done by those skilled in the art. understand.

第20頁 494482 圖式簡單說明 依照本發明之半導體元件之特點及功效和一昭本發明 製造此一半導體元件之程序之進一步細節將由以下之說明 配合圖式清晰得知,其中相同標示號碼意味著相同或相關 的元件、區域以及零件,其中: 第1圖到第6 B圖說明本發明第一個較佳實施例之連續 剖面圖,說明一種形成一自行對準延伸金屬閘極之製程。 第7 A圖到第1 1 A圖係說明本發明第二個較佳實施例之 連續俯面圖,說明一種形成一自行對準延伸金屬閘極之製 程。Page 494482 The diagram briefly explains the characteristics and functions of the semiconductor element according to the present invention and further details of the process for manufacturing the semiconductor element according to the present invention will be clearly understood from the following description in conjunction with the diagram, where the same reference number means Identical or related components, regions, and parts, wherein: Figures 1 to 6B illustrate a continuous cross-sectional view of a first preferred embodiment of the present invention, illustrating a process for forming a self-aligned extended metal gate. Figures 7A to 11A are successive top views illustrating a second preferred embodiment of the present invention, and illustrate a process for forming a self-aligned extended metal gate.

第7B圖到第1 1 B圖、及第1 2圖到第1 6圖係說明本發明 第二個較佳實施例之連續剖面圖,其中第7B圖係為如第7A 圖中轴線7B-7B’之剖面圖,第8B圖係為如第8A圖中轴線 8B-8B’之剖面圖,第9B圖係為如第9A圖中軸線9B-9B’之剖 面圖,第10B圖係為如第10A圖中軸線10B-10B’之剖面圖, 第1 1B圖到第12圖到第16圖係為如第1 1A圖中轴線1 IB-1 1B’ 之剖面圖。Figures 7B to 11B and Figures 12 to 16 are continuous sectional views illustrating a second preferred embodiment of the present invention, where Figure 7B is an axis 7B as shown in Figure 7A A cross-sectional view of -7B ', FIG. 8B is a cross-sectional view of axis 8B-8B' as shown in FIG. 8A, and a 9B is a cross-sectional view of axis 9B-9B 'as shown in FIG. 9A, and FIG. 10B 10A-10B ′ are cross-sectional views as shown in FIG. 10A, and FIGS. 1B to 12 to 16 are cross-sectional views as shown on axis 1 IB-1 1B ′ in FIG. 11A.

第21頁Page 21

Claims (1)

甲請寻利範圍 1 开二成·、延伸金屬閑極之方法,係包括有: a· /、半導體結構,係具有一個閘極結構於其上 二I】'!構側壁;該閘極結構包括有-閘極介電 =一¥體結構上、一閘極矽化層於該閘極介電 : 摻雜氧化矽層於該閘極矽化層上、及一可 極層於該摻雜氧化矽層上,·該閘極具有間隙 壁於該閑極結構的側壁上; /、有間隙 b·形f 一介電間隙壁於該間極結構的側壁上; 上日伞;ί電滲填層於該半導體結構及該閘極結構 上 千坦化該滲填層,而停止於該可丟棄閉極層 带j 一,“氮化矽層覆蓋於該可丟棄閘極層上; • ^ 一:電層覆蓋於該第一氮化石夕層上; •形成一第二氮化矽層覆蓋於該介電層上; g •構圖上案二^介^,以形成一溝槽覆蓋於該閘極結 ;,^溝乜具有一個寬度大於該閘極結構的寬度 h移除在該溝槽底部的該第一氮化矽層… 出違可丢棄閘極層; 9暴路 •错以暴路出該閘極矽化層; /層, !形成阻障層覆蓋於該閘極矽化層;及 '形成一金屬閘極層於該阻障層上且於該 错以该金屬閘極> 1右 ^ 溝槽中, 層具有一個寬度大於該閘極結構的 494482 六、申請專利範圍 寬度。 .如申請專利範圍第1項所述之方法 層係包括有具有介電常數大於3的任 .如申請專利範圍第1項所述之方法 層係包括有多晶的矽(多晶矽)。 .如申請專利範圍第1項所述之方法 層係包括有非晶矽。 n 圍第丨項所述之方法 包括有無摻雜氧化矽。 如申請專利範圍第1項所述之方法 矽層係包括有磷矽玻璃(PSG)。 如申請專利範圍第1項所述之方法 包括有硼磷矽玻璃(BPSG)。 如申請專利範圍第1項所述之方法包括有氧化;^。 如申請專利範圍第2項所述之方法 極層包括有氮化矽。 如申請專利範圍第i項所述之方法 極層包打冇多晶的石夕(多晶石夕) 如申請專利範圍第i項所述之方法 矽層係使用無水HF蒸氣蝕刻而被移 如申請專利範圍第1 1項所述之方法 氣蝕刻具有一個選擇性摻雜氧化矽 於50 ·· 1 〇 2 4 5 6 7 8 10 11 其中該閘極介電 何材料。 ,其中該閘極矽化 ,其中該閘極矽化 ,其中該滲填層係 ,其中該摻雜氧化 ,其中該介電層係 ,其中該介電層係 ,其中該可丟棄閘 ,其中該可丟棄閘 除其令該摻雜氧化 其t該無水HF墓 比無接雜氧化石夕; 12 494482 六、申請專利範圍 1 3 ·如申請專利範圍第1項所述之方法,其中該可丟棄閘 極層包括有多晶矽,且該可丟棄閘極層係使用一電漿 輔助#刻而被移除,該電漿輔助钱刻具有一個選擇性 多晶矽比無摻雜氧化矽至少為2 : 1、及多晶矽比氮化 矽至少為2 : 1。 1 4 ·如申請專利範圍第1項所述之方法,其中該可丟棄閘 極層包括有氮化石夕,且移除該第一氮化石夕層。 1 5 ·如申請專利範圍第1項所述之方法,尚隨後形成一金 屬閘極層,係包括步驟: 1 · 使用一化學機械研磨製程而平坦化該金屬閘極層 及該阻障層,停止於該第二氮化矽層上; m.形成一第二介電層覆蓋於該金屬閘極層、該阻障 層及該第二氮化矽層上;及 η.圖案化該第二介電層,以形成該閘極結構的接觸 窗開口 ,且圖案化該第二介電層、該第二氮化矽層 、該第一介電層、及該滲填層,以形成源極及汲極 接觸窗開口。 1 6 ·如申請專利範圍第1 5項所述之方法,其中該第二介電 層包括有無摻雜氧化矽。 1 7 ·如申請專利範圍第1 5項所述之方法,其中該第二介電 層包括有有摻雜氧化矽。 1 8 · —種形成一延伸金屬閘極及一自行對準接觸窗之方法 ,係包括有: a. 提供一半導體結構;該半導體結構係具有一個閘A. Please search for profit. 1 The method of opening 20%, extending the metal idler, includes: a. /, Semiconductor structure, which has a gate structure on it. I] '! Structure side wall; the gate structure Including-gate dielectric on a bulk structure, a gate silicide layer on the gate dielectric: a doped silicon oxide layer on the gate silicide layer, and a polarizable layer on the doped silicon oxide On the layer, the gate has a gap wall on the side wall of the free pole structure; /, there is a gap b-shaped f a dielectric gap wall on the side wall of the inter pole structure; the sun umbrella; Thousands of times permeate the infiltration layer on the semiconductor structure and the gate structure, and stop on the discardable closed-electrode layer j a, "The silicon nitride layer covers the discardable gate layer; • ^ a: An electrical layer covers the first nitride layer; • a second silicon nitride layer is formed to cover the dielectric layer; g • a second pattern on the pattern is formed to form a trench to cover the gate electrode The trench has a width greater than the width h of the gate structure. The first silicon nitride layer at the bottom of the trench is removed ... Pole layer; 9 storm roads • the gate silicide layer is staggered; / layer,! Forms a barrier layer to cover the gate silicide layer; and 'forms a metal gate layer on the barrier layer and on The metal gate > 1 in the right ^ trench, the layer has a width greater than that of the gate structure of 494482. 6. The width of the patent application scope. The method described in item 1 of the patent application scope includes: Any one with a dielectric constant greater than 3. The method layer described in item 1 of the patent application scope includes polycrystalline silicon (polycrystalline silicon). The method layer described in item 1 of the patent application scope includes amorphous The method described in item 丨 includes the presence or absence of doped silicon oxide. The method described in item 1 of the scope of patent application includes a silicon-phosphorus glass (PSG). The method described in item 1 of the scope of patent application The method includes borophosphosilicate glass (BPSG). The method described in the scope of patent application item 1 includes oxidation; ^. The method described in the scope of patent application item 2 includes silicon nitride. The method described in item i of the patent scope (Polycrystalline stone) The method described in item i of the patent application, the silicon layer is removed using anhydrous HF vapor etching, and the method described in item 11 of the patent application, gas etching has a selective doping oxidation. Silicon at 50 ·· 1 〇 2 4 5 6 7 8 10 11 Which material is the gate dielectric. Wherein the gate is silicified, where the gate is silicified, where the infiltration layer is, where the doping is oxidized, Wherein the dielectric layer system, wherein the dielectric layer system, wherein the discardable gate, wherein the discardable gate removes it to cause the doping to oxidize it, the anhydrous HF tomb ratio is not doped with oxide oxide; 12 494482 VI. Patent application scope 1 3 · The method as described in item 1 of the patent application scope, wherein the disposable gate layer includes polycrystalline silicon, and the disposable gate layer is removed using a plasma assisted #etch, the Plasma-assisted money engraving has a selective polycrystalline silicon ratio of at least 2: 1 to undoped silicon oxide, and a polycrystalline silicon ratio of silicon nitride to at least 2: 1. 1 4. The method as described in item 1 of the scope of the patent application, wherein the disposable gate layer includes a nitride layer, and the first nitride layer is removed. 15 · According to the method described in item 1 of the patent application scope, a metal gate layer is subsequently formed, comprising the steps of: 1 · using a chemical mechanical polishing process to planarize the metal gate layer and the barrier layer, Stopping on the second silicon nitride layer; m. Forming a second dielectric layer covering the metal gate layer, the barrier layer and the second silicon nitride layer; and η patterning the second A dielectric layer to form a contact window opening of the gate structure, and pattern the second dielectric layer, the second silicon nitride layer, the first dielectric layer, and the fill layer to form a source electrode And the drain contacts the window opening. 16. The method as described in item 15 of the scope of the patent application, wherein the second dielectric layer includes undoped silicon oxide. 17. The method as described in item 15 of the patent application, wherein the second dielectric layer includes doped silicon oxide. 1 8 · A method for forming an extended metal gate and a self-aligned contact window, comprising: a. Providing a semiconductor structure; the semiconductor structure having a gate 第24頁 494482 ’、申凊專利範圍 極介電層於苴 ^ 層於其上·:,該閘極介電層包 其上.你该閉極矽化層係具有—有—間極矽化 上·,q4雜氧化矽層係具有—了 #氧化矽層於 κ ’ 可丢棄閘極層於龙 b.朽圖案化該可去棄叫、,於 、、 的溝槽,·,極介電層以形成淺溝槽?離:以 C·藉由沈積及平坦仆一 (STi)結構; η電層而形成淺溝槽隔離 d •圖案化該可丟棄閘極屑 極石夕化層及該閘極介曰、該摻雜氧化砂層、該閘 結構; 邑,以形成具有側壁的閘極 e·形成介電間隙辟於 f•形成一渗填層ί蓋極結構的側壁上’· 上,且平坦化該渗、Μ半導體結構及該間極結構 ; ◊真層,停止於該可丟棄閑極層上 '形成1化矽層於該可丟棄閑極層及該滲填層上 h.形成一介電層覆蓋於兮気几々β μ · l圖案化該介電居,以4 11化矽層上, 構上;該溝槽“ 一:成-溝槽覆益於該開極結 寬度; ^ 询寬度大於該間極結構寬度的 :=該溝槽底部的該氮化石夕層; .私除該可丢棄閘極層;藉以暴露出該換雜氧化石夕 -------- 第25頁Page 24, 494482 ', the scope of the patent application of the polar dielectric layer on the ^ ^ layer on it :, the gate dielectric layer is coated on it. You should have closed-cell silicide layer-- The q4 hetero-silicon oxide layer has — # SiO2 layer in κ 'can discard the gate layer in the dragon b. The patterned pattern can be removed, called ,,,,,,,,,,,,,,, and, a dielectric layer. To form shallow trenches? Isolation: C. Formation of shallow trench isolation by depositing and flattening (STi) structure; η electrical layer. D • Patterning the discardable gate chip formation layer and the gate electrode, the dopant A mixed oxide sand layer and the gate structure are formed to form a gate electrode with a side wall, a dielectric gap is formed on the side wall of the cover structure, and an infiltration layer is formed on the side wall, and the permeation layer is flattened. A semiconductor structure and the interelectrode structure; a true layer stopped on the discardable idler layer 'to form a silicon layer on the discardable idler layer and the infiltration layer; h. Forming a dielectric layer overlying気 several 々 β μ · l pattern the dielectric dwell on the silicon layer, the structure of the trench; one: the formation-trenches benefit the width of the open electrode junction; The width of the pole structure: = the nitrided layer at the bottom of the trench;. The discardable gate layer is removed; thereby exposing the doped oxide layer -------- page 25 ’使用一選擇性的蝕刻到無該摻雜氧化矽芦 m該摻雜氧化矽層; 夕層从移除 n 形成一阻障層覆蓋於該閘極多晶矽層上;及 1 9 ·如形成一金屬閘極層於該阻障層上。 層°1請專利範圍第18項所述之方法,其中該閘極介電 2 〇 · ^申=括有具有介電常數大於3的任何材料。 ^佐,專利範圍第18項所述之方法,其中該閘極矽化 21 · ^糸匕括有多晶的矽(多晶矽)。 °申請專利範圍第丨8項所述之方法,其中該閘極 22 •:係J括有非晶矽◦ 二申請專利範圍第丨8項所述之方法,其中該摻 23 ·:層:包括有❿夕玻璃(PSG)。 乳化 二申請專利範圍第1 8項所述之方法,其中該摻雜氧仆 24 •如層$包括有硼磷矽玻璃(BPSG)。 /申請專利範圍第1 8項所述之方法,其中該可丟華閘 25 ·;層:包括有氮切。 ' 申月專利範圍第1 8項所述之方法,其中該渗填芦係 26 · ^括Ϊ無摻雜氧化矽。 、曰,、 t申請專利範圍第1 8項所述之方法,其中該介電層係 27 括:無摻雜氧切。 如申請專利範圍第1 8項所述之方法,其中該摻雜氧化 矽層係使用無水HF蒸氣蝕刻而被移除。 士申明專利範圍第2 7項所述之方法,其中該無水H F蒸'Use a selective etch to the doped silicon oxide layer without the doped silicon oxide; the doped silicon oxide layer is removed from the layer to form a barrier layer overlying the gate polycrystalline silicon layer; and A metal gate layer is on the barrier layer. Layer ° 1. The method described in item 18 of the patent scope, wherein the gate dielectric 2 0 · ^ = = includes any material with a dielectric constant greater than 3. ^ Zuo, the method described in item 18 of the patent scope, wherein the gate is silicified 21. ^ The polysilicon (polysilicon) is enclosed. ° The method described in the scope of patent application No. 丨 8, wherein the gate electrode 22 •: is J including amorphous silicon ◦ The method described in the scope of patent application No. 丨 8, wherein the doped 23 ·: layer: includes There is Xixi Glass (PSG). The method described in item 18 of the scope of patent application of Emulsification II, wherein the doped oxygen layer 24 includes a layer of borophosphosilicate glass (BPSG). / The method described in item 18 of the scope of patent application, wherein the Kotowa gate 25 ·; layer: including nitrogen cutting. 'The method described in item 18 of the scope of Shenyue's patent, wherein the infiltrated reed system 26 · ^ includes non-doped silicon oxide. The method described in item 18 of the scope of patent application, wherein the dielectric layer comprises: undoped oxygen cutting. The method as described in claim 18, wherein the doped silicon oxide layer is removed by etching with anhydrous HF vapor. The method of patent claim No. 27, wherein the anhydrous H F is steamed 29 3〇 , P. q. 31 , 32 . 申凊專利範圍 乳蝕刻具有一個選擇性摻雜氧化矽 於5 0 ··〗。 “、、摻雜氧化矽大 •如申請專利範圍第丨〇項所述之方法,复^ 邊可丟棄閘極層係使用HBR/CL2/Q 、 ^中該氮化矽及 ’Μ而被蝕刻,其具有-氮化;比ν:ν〇2、或 10 :1到30 之間的選擇性蝕刻,且U氧化矽在 無摻雜氧化石夕在10:1到30:1之間選=二氮化石夕比 如申請專利範圍第6項所述之方法,二擇性, =一金屬閘極層,其步驟包括有:。匕有隧後形 ’上用匕學機械研磨製程而平垣化該金屬閘極斧 Sx阻障層’停止於該介電層上; 曰 形成一第二介電層覆蓋於該金屬閉極層及該 介電層上;及 〜圖案化該第二介電層,以形成該閘極結構的接觸 囪,口 ,且圖案化該第二介電層、該介電層、該第 一氮化矽層、及該滲填層,以形成自行對準源極 汲極接觸窗開口 :Π ί:範圍第29項所述之方法,其中該第二介電 曰π G括有無摻雜氧化矽。 述之方法’其中該第二介電29 3〇, P. q. 31, 32. Scope of patent application of the emulsion etching has a selective doping of silicon oxide to 50 ··. "、, doped silicon oxide is large. • As described in the method of patent application No. 丨 0, the compound gate electrode layer is etched by using HBR / CL2 / Q, the silicon nitride and Μ. , Which has -nitriding; ratio ν: ν〇2, or selective etching between 10: 1 and 30, and U silicon oxide is selected between 10: 1 and 30: 1 in undoped oxide stone = For example, the method described in item 6 of the scope of patent application, dinitrium, is optional, = a metal gate layer, and the steps include the following steps: • After the tunnel has a tunnel shape, use a mechanical mechanical grinding process to flatten the surface. The metal gate axe Sx barrier layer 'stops on the dielectric layer; that is, a second dielectric layer is formed to cover the metal closed electrode layer and the dielectric layer; and ~ patterning the second dielectric layer, To form the contact structure of the gate structure, the mouth, and pattern the second dielectric layer, the dielectric layer, the first silicon nitride layer, and the infiltration layer to form a self-aligned source drain Contact window opening: Π: The method described in the item 29 of the range, wherein the second dielectric π G includes an undoped silicon oxide. The method described therein, wherein the second dielectric
TW90110692A 2001-05-04 2001-05-04 Method for forming an extended metal gate using damascene process TW494482B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW90110692A TW494482B (en) 2001-05-04 2001-05-04 Method for forming an extended metal gate using damascene process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW90110692A TW494482B (en) 2001-05-04 2001-05-04 Method for forming an extended metal gate using damascene process

Publications (1)

Publication Number Publication Date
TW494482B true TW494482B (en) 2002-07-11

Family

ID=21678148

Family Applications (1)

Application Number Title Priority Date Filing Date
TW90110692A TW494482B (en) 2001-05-04 2001-05-04 Method for forming an extended metal gate using damascene process

Country Status (1)

Country Link
TW (1) TW494482B (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102270572A (en) * 2010-06-04 2011-12-07 中芯国际集成电路制造(上海)有限公司 Forming methods of side wall and MOS (metal oxide semiconductor) transistor
CN103137458A (en) * 2011-12-05 2013-06-05 中芯国际集成电路制造(上海)有限公司 Manufacturing method of high dielectric layer metal gate
CN104966668A (en) * 2015-07-22 2015-10-07 上海华力微电子有限公司 Method for forming metal gate structure

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102270572A (en) * 2010-06-04 2011-12-07 中芯国际集成电路制造(上海)有限公司 Forming methods of side wall and MOS (metal oxide semiconductor) transistor
CN103137458A (en) * 2011-12-05 2013-06-05 中芯国际集成电路制造(上海)有限公司 Manufacturing method of high dielectric layer metal gate
CN103137458B (en) * 2011-12-05 2016-03-30 中芯国际集成电路制造(上海)有限公司 The manufacture method of high dielectric layer metal gate
CN104966668A (en) * 2015-07-22 2015-10-07 上海华力微电子有限公司 Method for forming metal gate structure

Similar Documents

Publication Publication Date Title
US6303447B1 (en) Method for forming an extended metal gate using a damascene process
US7087531B1 (en) Shallow trench isolation formation
US5766992A (en) Process for integrating a MOSFET device, using silicon nitride spacers and a self-aligned contact structure, with a capacitor structure
US6228731B1 (en) Re-etched spacer process for a self-aligned structure
US5731236A (en) Process to integrate a self-aligned contact structure, with a capacitor structure
US7365400B2 (en) Semiconductor device and method for manufacturing the same
TW201036146A (en) Semiconductor device with vertical transistor and method for fabricating the same
US7332397B2 (en) Method for fabricating semiconductor device
CN108878357A (en) The method for manufacturing three-dimensional semiconductor device
US6027969A (en) Capacitor structure for a dynamic random access memory cell
CN111415861A (en) Method of forming pattern and method of manufacturing semiconductor device using the same
US6624043B2 (en) Metal gate CMOS and method of manufacturing the same
KR20050057080A (en) Method for forming conductive material in opening and structure regarding same
CN106298934B (en) A kind of vertical nano-wire device of sheaths channel structure and preparation method thereof
TWI226667B (en) Transistor fabrication method
KR101688702B1 (en) Self-aligned contact and method
TW494482B (en) Method for forming an extended metal gate using damascene process
JP2003179214A (en) Method of manufacturing self-aligned ferroelectric memory transistor
US6060353A (en) Method of forming a ring shaped storage node structure for a DRAM capacitor structure
KR100680504B1 (en) Method of manufacturing capacitor of semiconudctor device
TWI240375B (en) Integrated circuit structure and method of fabrication
TW202207426A (en) Semiconductor device and method for forming the same
US6136661A (en) Method to fabricate capacitor structures with very narrow features using silyated photoresist
KR100566300B1 (en) Method for fabrication of capacitor bottom electrode of semiconductor device
TW538500B (en) Method of manufacturing gate of field effect transistor

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent