TW479290B - Dielectric layer etching process to improve etch stop problem - Google Patents

Dielectric layer etching process to improve etch stop problem Download PDF

Info

Publication number
TW479290B
TW479290B TW88109309A TW88109309A TW479290B TW 479290 B TW479290 B TW 479290B TW 88109309 A TW88109309 A TW 88109309A TW 88109309 A TW88109309 A TW 88109309A TW 479290 B TW479290 B TW 479290B
Authority
TW
Taiwan
Prior art keywords
dielectric layer
scope
etching process
patent application
item
Prior art date
Application number
TW88109309A
Other languages
Chinese (zh)
Inventor
Yang Huei Ou
You-Neng Jeng
Jr-Ping Yang
Huei-Ying Tsai
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to TW88109309A priority Critical patent/TW479290B/en
Application granted granted Critical
Publication of TW479290B publication Critical patent/TW479290B/en

Links

Landscapes

  • Drying Of Semiconductors (AREA)

Abstract

The inventive dielectric layer etching process contains following steps: providing a semiconductor substrate having a dielectric layer; performing plasma etching to etch part of the dielectric layer, the plasma etching using reaction gases containing at least carbon and fluorine and carrier gas and etching pressure of 20 to 30 mTorr to provide etching selectivity of etching dielectric layer with respect to the film layer underneath the dielectric layer. In a preferred example, the reaction gases for the dielectric layer etching further includes an oxygen-containing gas such as carbon monoxide or oxygen to improve etch stop problem. In other examples, the reaction gases can also include carbon-hydrogen-fluorine gas to increase coverage, isotropy and uniformity of the polymer formation and thus improve etching selectivity.

Description

479290 A7 B7 五、發明説明() 發明領域: 本發明係與一種半導體製程有關,特別是有關於一種 改善蝕刻中止問題、減少電漿導致之材質損失的介電層蝕 刻的製程。 發明背景: 自從第一個積體電路元件的誕生以來,半導體工業已 發展了近四十年,而半導體製造的技術亦持續的進展,以 將晶片上元件的尺寸減至最小;藉由如沈積、微影、蝕刻、 以及熱處理等製程技術的進步,積體晶片上元件與電路的 積集度亦曰益提昇,以目前的製程技術而言,單一晶片已 能容納數千萬個、甚至是數億個元件,製程技術的進展亦 使積體電路上的元件大小可縮減至次微米(s u b -micron)、甚至是深次微米(deep sub-micron)的尺寸 範圍内,以達到更高積集度的目標。 在密集排列的元件下,晶片上各個元件之間的距離亦 須相對縮小,在製程上的挑戰亦隨之增加,參見第一圖所 示,以一般的製程為例,在基材1 0上皆會形成多種的元件 結構,在形成如閘極1 2、源/汲極區1 4或是其他的導體結 構於基材1 〇上之後,皆須於後續步驟中,形成其他的元件 區或是導體層對閘極1 2及源/汲極區1 4的電性連接,因此 本紙張尺度適用中國國家標準(CNS ) A4規格(210X29*7公釐) (請先閲讀背面之注意事項再填寫本頁) -裝· 訂 479290 A7 B7 五、發明説明()479290 A7 B7 V. Description of the invention () Field of the invention: The present invention relates to a semiconductor process, and in particular, it relates to a process for etching a dielectric layer that improves the problem of etch stop and reduces material loss caused by plasma. Background of the Invention: Since the birth of the first integrated circuit components, the semiconductor industry has developed for nearly forty years, and the technology of semiconductor manufacturing has continued to progress to minimize the size of components on wafers; Advances in process technologies such as photolithography, lithography, etching, and heat treatment have increased the integration of components and circuits on integrated wafers. In terms of current process technologies, a single wafer can already accommodate tens of millions, or even Hundreds of millions of components, and advances in process technology have also allowed component sizes on integrated circuits to be reduced to sub-micron, or even deep sub-micron size ranges to achieve higher product Set goals. With densely arranged components, the distance between the various components on the wafer must also be relatively reduced, and the challenges in the process are also increased. See the first figure, taking the general process as an example, on the substrate 10 All kinds of element structures will be formed. After forming, for example, the gate electrode 1, the source / drain region 14 or other conductor structures on the substrate 10, all other element regions or It is the electrical connection of the conductor layer to the gate electrode 12 and the source / drain region 14, so this paper size applies the Chinese National Standard (CNS) A4 specification (210X29 * 7 mm) (please read the precautions on the back first) (Fill in this page)-Binding · Order 479290 A7 B7 V. Description of the invention ()

C 即須以形成接觸(c ο n t a c t )的方式,填入導體材料丄8於絕 緣層16的開口之内。 以形成源/汲極區丨4之接觸而言,即須將形成接觸的 開口定義於絕緣層1 6之内,並準確的定義開口於源/汲極 1 4所在的位置;但在元件密度日益提高的狀況下,閘極與 其他元件區的尺寸亦日益縮小,對應於源/汲極區i 4的開 口亦有縮減,因此對製程準確度及解析度的要求亦大為增 加,亦使製程原有的寬容度(或稱p r 〇 c e s s w i n d 0 w )大幅 縮小。 在一般在用以定義各種圖案的微影及相配合的钱刻製 程之中,於實際製程進行時,不僅無法定義過小的開口, 亦會有位置定義上的誤差情況產生,而產生如第一圖所示, 絕緣層1 6内所定義的開口位置偏移的情形。 以電晶體的結構而言,閘極丄2旁邊的區域即是輕摻雜 的源 / >及極接面區(Hghtly doped s〇uree/dHiri junction; LDD)、或是源 / 汲極接面區(s〇Urce/draiii j u η c t i 〇 n ) 1 4,在開口的偏移之下,填入的導體工8即會 使閘極1 2與緊鄰的源/汲極接面區1 4產生短路,而導致元 件操作特性的變化、或產生使元件失效等的問題。 因此,為了解決上述的問題,傳統的製程中即提出所 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) .裝·C must be filled with the conductive material 层 8 in the opening of the insulating layer 16 in a way to make contact (c ο n t a c t). For the contact forming the source / drain region 丨 4, the opening forming the contact must be defined in the insulating layer 16 and the opening in the source / drain region 14 must be accurately defined; however, in the component density Under increasing conditions, the size of the gate and other component areas is also shrinking, and the opening corresponding to the source / drain area i 4 is also shrinking. Therefore, the requirements for process accuracy and resolution have also increased, and The original tolerance of the manufacturing process (or pr 〇cesswind 0 w) is greatly reduced. Generally, in the lithography process used to define various patterns and the matching money engraving process, when the actual process is performed, not only the openings that are too small cannot be defined, but also the error in the definition of the position will occur. As shown in the figure, the position of the opening defined in the insulating layer 16 is shifted. In terms of the structure of the transistor, the area next to the gate 丄 2 is a lightly doped source / > and an electrode junction area (Hghtly doped soure / dHiri junction; LDD), or a source / drain junction. Area area (s〇Urce / draiii ju η cti 〇n) 1 4. Under the offset of the opening, the filled conductor 8 will make the gate electrode 12 and the immediate source / drain interface area 1 4 A short circuit occurs, which causes a change in the operating characteristics of the device, or causes a problem such as failure of the device. Therefore, in order to solve the above-mentioned problems, it is proposed in the traditional process that all paper sizes apply the Chinese National Standard (CNS) A4 specification (210X297 mm) (please read the precautions on the back before filling this page).

,1T, 1T

謂的自 程,如 電材料 閘極兩 使形成 也不會 未形成 良好的 的特性 昇,並 五、發明説明( 行對準接觸(seif-aligned c〇ntact; 3八(:)的 u 第一圖所不,利用於閘極1 2的側壁處及上方形成^ 二如具良好隔絕特性的氮化矽等,即可覆蓋二 側緊鄰的區域之上,因此藉由氮化石夕層的保護,即 源/汲極接面區1 4接觸的開口在定義時有所誤差, 產生源/汲極接面區1 4與閘極短路的問題,同時在 接觸的閘極表面處,亦有氮化矽層的覆蓋,而提供 防羞效果,防止意外的電性連接;因此,藉由上述 ,自行對準接觸的應用,可使製程的寬容度得以提 增加製程的控制性及產品的良率β 然而,以自行對準接觸製程的應用而言,即需於蝕刻 介電層16時,提供相對於下方氮化矽層2 〇的蝕刻選擇性, 以目前的應用而言,介電層16的材質大多使用氧化矽、或 是硼磷矽玻璃(BPSG)等的材質;在傳統的蝕刻製程之中, 會使用碳氟氣體、在小於1 〇毫托(m τ 0 Γ Γ )的低壓、例如 較佳值的7毫托以下,來產生電漿以蝕刻介電層i 6。 但在傳統的介電層蝕刻製程之中,為了能於蝕刻氧化 石夕的介電層1 6時,提供對氮化矽層2 〇的選擇性,會使用 富含高分子(polymer-rich)的製程,以於蝕刻過程之中 產生較多的高分子物質於氮化矽層20的表面上,以避免氣 化矽層2 0遭受電漿蝕刻的破壞;但在此一富含高分子的製 程之中,很容易因產生過多的高分子物質,累積於尚未完 本紙張尺度適用中國國家標準(CNS)A4規格(2丨0><297公釐) f請先閲讀背面之注意事項再填寫本頁) .秦· 479290 A7 B7 五、發明説明() 全完成蝕刻的開口之内,而造成電漿蝕刻無法繼續向下進 行,導致蝕刻中止(e t c h - s t ο p )的問題,而無法完成開口 的定義及提供所需的導電性接觸。 若需解決上述問題,則需解決蝕刻中止的問題,傳統 “ 方法中往往會進一步將蝕刻製程調整為稀薄高分子 (polymer-lean)的製程,例如進一步調降壓力等,以減 少高分子物質的產生,避免過多高分子累積所導致的蝕刻 中止現象。而在稀薄高分子的製程中,卻很容易由於氛化 矽層2 0表面缺乏高分子的保護,而使氮化矽層受到電漿破 壞,導致氮化矽材質的損失,而使製程的可靠度降低,亦 使製程由於上述的蝕刻中止問題及電漿導致之材質損失問 題形成控制上的一大挑戰。 發明目的及概述: 本發明的目的為提供一種介電層蝕刻製程。 本發明的另一目的為提供一種介電層蝕刻製程,藉由 反應氣體的搭配與反應壓力的調整,提供介電層蝕刻時、 相對於下方膜層的蝕刻選擇性。 本發明的另一目的為提供一種介電層蝕刻製程,以解 決傳統製程之蝕刻中止的問題、以及電漿所導致之材質損 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇Χ:297公嫠) (請先閲讀背面之注意事項再填寫本頁) -裝· 訂 x發明説明( 失問題。 本發明的再一目的為接也 ^ 幻马徒供一種介電層蝕刻製程,以增 加製程的控制性及寬容度。 本發明中之介電層蝕刻製程主要可包含以下步驟:首 可提供半導體基材,基材上具有介電層;之後進行電浆 :刻’以姓刻部分之介電層,電激餘刻之反應氣趙至少包 含碳氟氣體及載氣,電漿蝕刿*蔽丄 果蚀剡之壓力約為2 0至3 0毫托之 間,以提供蝕刻介電層時、相料μ人_ ^ ’ 相對於介電層之下方膜層之蝕 刻選擇性。 在較佳實施例之中,上沖 迷之介電層蝕刻製程的反應氣 體並更包含一含氧氣體,例如 ^ ^ ^ ^ 列如一氧化碳或氧氣等,以進一 步改善蝕刻中止的問題。而扃 在不同實施例的應用之中, 應氣體並可加入碳氫氟氣體, ^ 以彡日加同分子物質形成的黄 蓋性、等向性、及均勻性等, 覆 寻 而提昇蝕刻時的選擇性。 JL式簡單說明: 第一圖 顯示傳統之導體結構接觸製程中,開口 偏移之一例的戴面示意圖。 顯示傳統介電層蝕刻製程中,形成源/ 區的自行對準接觸後的截面示意圖。 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇ϋ公慶) (請先閲讀背面之注意事項再填寫本頁) -裝· 訂 479290 A7 ---^___-__B7五、發明説明() 經濟部智慧財產局員工消費合作社印製 第三圖,顯示本發明中之介電層银刻製程,應用於自 行對準接觸製程之實施例的截面示意圖。 第四圖·顯示本發明甲之介電層餘刻製程,應用於無 邊界接觸製程之實施例的截面示意圖。 第五圖*顯示本發明中可應用 <一種感應_合式高密 度電椠反應機台之局部剖面示意圖。 1明詳細說明: ^本發明的目的為提供一種介電層蝕刻製程,藉由反應 氣體的搭配與反應壓力的配合調整,可提供介電層蝕刻時·、' 相對於下方膜層的蝕刻選擇性。本發明中之介電層蝕刻製 程並可應用於如自行對準接觸(self_aHgnecl COntaeteSAC)及無邊界接觸(borderless contact)等等的介電層 蝕刻製程之中,以解決傳統製程之蝕刻中止的問題、消除 電漿所導致之材質損失問題,並由於製程控制性的增加而 &昇製程的寬容度’以及產品生產的效率及良率。 參見第三圖所示,本發明中之介電層蝕刻製程的步驟, 以此實施例而言,係首先提供半導體基材3 〇,基材3 〇上 具有介電層38,介電層38的材質大多使用氧化矽、或是 硼填矽玻璃(BPSG)等的材質;基材30並可具有電晶體、妹 構’其包含閘極3 2及源/汲極區3 4,電晶體結構具有一應 用於自行對準製程之氮化矽層3 6覆蓋於閘極3 2上。 ------------9¾! f請先聞讀背面之注意事¾再填寫本頁) -訂 .加 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 479290 A7 B7 五、發明説明() 一般而言’為定義所需形成源/汲極區3 4接觸洞之位 置,會形成光阻層40於介電層38上,並藉由微影製程的 配合將所需的圖案定義於其上,以做為蝕刻介電層3 8時的 餘刻罩幕。 接著即進行電漿蝕刻,以蝕刻介電層3 8未被光阻層4 〇 覆蓋的部分’ X電漿蝕刻之反應氣體可包含碳氟氣體及載 氣,而電聚餘刻之壓力約為2 〇至3 〇毫托之間,以提供触 刻介電層時、相對於介電層38之下方膜層之姓刻選擇性、 也就是相對於介電層38下方之氮切層2()及純3〇源/ 汲極區3 4表面之矽材質的蝕刻選擇性。 在較佳實施例之中’介電層蝕刻製程所使用之載氣可 為鈍氣,纟例中可使用如氬氣及氦氣等的純氣,以使用 氣而例,其流量約為2 5 0至4 5 0 s c c m之Η ^ C m之間,更佳例為約 4 0 0至4 4 0 s c c m之間,而最佳例可為 叶^usccm°上述 之碳氟氣體則可使用多種不同的碳氟氣體、或是夕 〆 氣體的組合等,本例中可應用如C4FS及c p咕 炭狀 4 8汉l3F6等的氣魏為 是其組合;以使用C4 F 8時的例子而言,其法 、^ ° 升”丨L量約為 1 5 £ 2 1 s c c m之間,更佳例貝為約1 ό + / - 2 s c m ‘ ISsccm 〇 ’最佳例為約 藉由上述之介電層蝕刻製程的氣體與 兴谷項參數條件之 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) .装· 訂 經濟部智慧財產局員工消費合作社印製 479290 A7 —— -—___ 五、發明説明() 配合’可在較高的壓力之下產生足以保護氮化矽層2 0、以 (請先閲讀背面之注意事項再填寫本頁} 及保護源/汲極區3 4表面矽材質的高分子物質,並同時提 供良好的钱刻速率,可有效避免傳統製程之中的蝕刻中止 問題’並藉由高分子物質的防護提供良好的蝕刻選擇性, .防止電聚姓刻時所導致的氮化矽層3 6損失或是源/汲極區 i 3 4矽材質的損失。 以本發明中的較佳實施例而言,介電層蝕刻製程中的 反應氣體並可加入包含氧氣體,例如加入一氧化碳(C〇)或 氧氣等’可有效防止高分子物質過多所引發的中止問題, 進一步避免餘刻中止的效應,而使介電層3 8的蝕刻能持續 進行至將形成整個接觸洞4 2挖開為止。以較佳實施例而 S ’上述之含氧氣體使用氧氣時,其流量約為1至5sccm 之間;而使用一氧化碳時,其流量約為5至1 5 s cc m之間, 其最佳例為約1 〇 s c c m。 本發明中之介電層蝕刻製程可使用一般的電漿蝕刻機 。例如美商應用材料公司(Applied Materials, Inc.The so-called self-traveling, such as the formation of two gates of electrical materials, will not lead to the formation of good characteristics, and 5. Description of the invention (seif-aligned contact (seif-aligned contact); It is not shown in the figure. It is formed on and above the side wall of the gate electrode 12. For example, silicon nitride with good insulation properties can cover the areas immediately adjacent to the two sides. Therefore, it is protected by a nitride layer. That is, the opening contacted by the source / drain interface region 14 has an error in the definition, which causes a problem of the short circuit between the source / drain interface region 14 and the gate. At the same time, there is also nitrogen at the gate surface of the contact. Covering the silicon layer to provide a shy effect and prevent accidental electrical connections; therefore, by applying the self-aligned contact as described above, the tolerance of the process can be increased to increase the controllability of the process and the yield of the product. β However, for self-aligned contact process applications, it is necessary to provide an etching selectivity relative to the underlying silicon nitride layer 20 when the dielectric layer 16 is etched. For current applications, the dielectric layer 16 Most of the materials used are silicon oxide or borophosphosilicate glass (BP SG) and other materials; in the traditional etching process, fluorocarbon gas is used, and a low pressure of less than 10 mTorr (m τ 0 Γ Γ) is used, for example, 7 mTorr or less to generate a plasma. The dielectric layer i 6 is etched. However, in the conventional dielectric layer etching process, in order to provide a selectivity to the silicon nitride layer 2 0 when the dielectric layer 16 of the oxidized stone is etched, a rich SiO 2 layer is used. A polymer-rich process is used to generate more polymer materials on the surface of the silicon nitride layer 20 during the etching process, so as to prevent the vaporized silicon layer 20 from being damaged by plasma etching; In this polymer-rich process, it is easy to accumulate too much polymer material, which accumulates in the finished paper. The Chinese National Standard (CNS) A4 specification (2 丨 0 > < 297 mm) f Please read the precautions on the back before filling in this page). Qin · 479290 A7 B7 V. Description of the invention () Within the opening that has been completely etched, the plasma etching cannot continue down, resulting in the etch stop (etch-st ο p) problem, and could not complete the definition of the opening and provide If you need to solve the above problems, you need to solve the problem of etching stop. In the traditional method, the etching process is often adjusted to a polymer-lean process, such as further reducing the pressure. In order to reduce the generation of polymer materials and avoid the phenomenon of etching stop caused by excessive polymer accumulation, in the process of thin polymer, it is easy to make nitride due to the lack of polymer protection on the surface of the atmospheric silicon layer 20. The silicon layer is damaged by the plasma, resulting in the loss of the silicon nitride material, which reduces the reliability of the process, and also makes the process a major challenge in the control of the process due to the above-mentioned problem of etching stop and the loss of material caused by the plasma. Object and Summary of the Invention The object of the present invention is to provide a dielectric layer etching process. Another object of the present invention is to provide a dielectric layer etching process, which provides the etching selectivity of the dielectric layer with respect to the underlying film layer when the dielectric layer is etched and the reaction pressure is adjusted. Another object of the present invention is to provide a dielectric layer etching process to solve the problem of the etching stop of the traditional process and the material damage caused by the plasma. The paper size is applicable to the Chinese National Standard (CNS) A4 specification (21〇 ×: 297 cm) (Please read the notes on the back before filling out this page)-Binding · Ordering x Description of the invention (Problem missing. Another object of the present invention is to provide a dielectric layer etching process for magic horses. Increase the controllability and tolerance of the process. The dielectric layer etching process in the present invention can mainly include the following steps: firstly, a semiconductor substrate can be provided with a dielectric layer on the substrate; and then plasma can be engraved with the last name The dielectric layer, the reaction gas at the time of electro-stimulation, at least contains a fluorocarbon gas and a carrier gas. The pressure of the plasma etching, shielding, and fruit erosion is about 20 to 30 millitorr to provide an etching medium. In the case of the electrical layer, the phase μ _ ^ ′ is relative to the etching selectivity of the underlying film layer. In a preferred embodiment, the reactive gas in the etching process of the upper dielectric layer further includes a gas containing Oxygen gas, such as ^ ^ ^ ^ columns such as carbon monoxide Or oxygen, etc., to further improve the problem of etching stop. In the application of different embodiments, a gas can be added and a fluorocarbon gas can be added. ^ Yellow cap and isotropy formed with molecular substances on the next day. , And uniformity, etc., to improve the selectivity during etching. JL type brief description: The first figure shows a schematic diagram of an example of the opening offset in the conventional contact structure of the conductor structure. Shows the traditional dielectric layer etching process The schematic diagram of the cross-section after the self-aligned contact of the source / area is formed. This paper size applies to the Chinese National Standard (CNS) A4 specification (21〇ϋ 公 庆) (Please read the precautions on the back before filling this page) · Order 479290 A7 --- ^ ___-__ B7 V. Description of the Invention () The third figure printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs shows the silver engraving process of the dielectric layer in the present invention, which is applied to the self-aligning contact process A schematic cross-sectional view of an embodiment. A fourth cross-sectional view showing an embodiment of a dielectric layer post-etching process of the present invention applied to a borderless contact process. A fifth drawing * shows the present invention. A partial cross-sectional schematic diagram of an induction-combined high-density electrocavity reaction machine. Detailed description: ^ The purpose of the present invention is to provide a dielectric layer etching process, which is adjusted by the combination of reaction gas and reaction pressure. It can provide the etching selectivity of the dielectric layer relative to the underlying film during the etching of the dielectric layer. The dielectric layer etching process in the present invention can be applied to self-aligned contact (self_aHgnecl COntaeteSAC) and borderless contact (borderless contact) ) And other dielectric layer etching processes, in order to solve the problem of etching stop of the traditional process, eliminate the material loss caused by the plasma, and because of the increase in process controllability & the tolerance of the upgrade process and products Production efficiency and yield. Referring to the third figure, the steps of the dielectric layer etching process in the present invention. In this embodiment, a semiconductor substrate 30 is first provided, and the substrate 30 has a dielectric layer 38 and a dielectric layer 38 thereon. Most of the materials used are silicon oxide or borosilicate-filled glass (BPSG), etc .; the substrate 30 may have a transistor, a structure, which includes a gate electrode 3 2 and a source / drain region 34, and a transistor structure. A silicon nitride layer 36 is applied on the self-alignment process to cover the gate 32. ------------ 9¾! F Please read the notes on the back ¾ before filling out this page)-Order. Plus this paper size applies the Chinese National Standard (CNS) A4 specification (210X297 mm) 479290 A7 B7 V. Description of the invention (Generally speaking) 'To define the position where the source / drain region 3 and 4 contact holes are needed, a photoresist layer 40 will be formed on the dielectric layer 38, and the photolithography process will be used. The desired pattern is defined thereon as a mask for etching the dielectric layer 38. Plasma etching is then performed to etch the portion of the dielectric layer 38 that is not covered by the photoresist layer 40. The reaction gas for plasma etching may include a fluorocarbon gas and a carrier gas. Between 20 and 30 millitorr to provide the selective selectivity of the film layer below the dielectric layer 38 when the dielectric layer is touched, that is, relative to the nitrogen-cut layer 2 below the dielectric layer 38 ( ) And the etch selectivity of the silicon material of the pure 30 source / drain region 34 surface. In a preferred embodiment, the carrier gas used in the dielectric layer etching process may be a blunt gas. In an example, a pure gas such as argon and helium may be used. For example, a gas is used, and the flow rate is about 2 Between 50 and 4 50 sccm, ^ C m, more preferably between about 4 0 and 4 4 0 sccm, and the best example can be leaves ^ usccm ° The above fluorocarbon gas can use a variety of Different fluorocarbon gases, or a combination of evening gas, etc. In this example, Qi Wei, such as C4FS and cp-coal-like carbon, 4H, 13F6, etc., can be used as the combination; for the example when C4 F 8 is used , Its method, ^ ° liter "丨 The amount of L is between about 15 £ 2 and 1 sccm, a better example is about 1 ό + /-2 scm 'ISsccm 〇' The best example is about the above dielectric The paper dimensions of the gas and Xinggu parameters of the layer etching process are applicable to the Chinese National Standard (CNS) A4 specification (210X297 mm) (please read the precautions on the back before filling this page). Printed by the Bureau ’s Consumer Cooperatives 479290 A7 —— -——___ V. Description of the invention () Coordination with 'can produce enough under high pressure Protect the silicon nitride layer 20, (please read the precautions on the back before filling this page) and protect the polymer material on the surface of the source / drain region 3 4 silicon, and at the same time provide a good money engraving rate, which can be effective Avoids the problem of etching stop in the traditional process, and provides good etching selectivity through the protection of polymer materials. Prevents the loss of silicon nitride layer 36 or source / drain region i caused by the electro-polymerization process. 3 4 Loss of silicon material. In the preferred embodiment of the present invention, the reactive gas in the dielectric layer etching process can be added with an oxygen-containing gas, such as carbon monoxide (C0) or oxygen. The suspension problem caused by too much molecular substance further avoids the effect of suspension at the rest, and enables the etching of the dielectric layer 38 to continue until the entire contact hole 4 2 is formed. In the preferred embodiment, S ′ described above When oxygen is used, the flow rate is about 1 to 5 sccm; when carbon monoxide is used, the flow rate is about 5 to 15 s cc m, and the best example is about 10 sccm. In the present invention, Dielectric layer etching process can By a general plasma etcher. E.g. Applied Materials, Inc. (Applied Materials, Inc.

Santa Clara, California, u.S.A.)所製造的 HDP5300、ips(inductive plasma source;感應電 漿源)等反應機台。以較佳實施例而言,電漿蝕刻時之電 衆源能量約為9 0 0至1 2 0 0瓦特之間;其配合之偏壓能量 約為1 0 0 0至2 0 0 0瓦特之間,更佳例中約為1 5 〇 〇瓦特。 本紙張尺度適用中國國家標隼(CNS ) A4規格(210X297公慶 479290 A7 B7 五、發明説明() 在現階段的半導體製造中,電漿蝕刻可使用感應式耦 合之高密度電聚(inductively coupled high-density p 1 a s m a )蝕刻反應機台加以進行,此類機台可同時提供良 好的選擇率及製程彈性,並可應用於電漿蝕刻後的其他蝕 刻後處理步驟至之中。除了上述之機台外,亦有其他高密 c. 度電襞的機台,包含遙置電聚源(remote plasma source; RPS)及電子環繞共振(electron-cyclotron resonance; ECR)等類的機台;而高密度電漿的定義,可為在電漿充滿的 空間中,其離子密度達到至少1 0 E 1 1 c m · 3以上的狀況。 上述之感應式耦合、高密度電漿蝕刻反應機台的一例 可為由美商應用材料公司所製造的 IPS(inductive plasma source;感應電漿源)反應機台,其大致的反應 室結構及配合之設備如第五圖中的部分剖面示意圖所示, 待處理晶圓8 0由陰極載台8 2加以承載,陰極載台8 2並 供以由第一射頻能量源所提供的射頻(R F )能量。 環繞載台8 2的矽環8 6則可由陣列狀的加熱燈8 8進 行加熱控制,而接地的矽壁 9 0則環繞於電漿處理區域之 外,矽頂蓋9 2則覆於電漿處理區域上,具加熱控制的矽環 86及部分的矽頂蓋92可用以去除由氟碳電漿或含氟電漿 所產生的氟;製程氣體則由一個或多個的下方氣體供應端 9 4、經由質流控制器 9 6之區塊加以供應。此外,上方氣 體供應端可於矽頂蓋 9 2 中心形成小型的氣體散逸頭 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) (請先閲讀背面之注意事項再填寫本頁) -裝· 訂 479290 Α7 Β7 五、發明説明( (shower head),真空幫浦系統(圖中未顯現)則連接至位 於反應室下方的幫浦通道98、以維持反應室於所設定的壓 力,系統控制器i 00則控制蝕刻反應機台及配合設備的操作0 、Reactors such as HDP5300, ips (inductive plasma source) manufactured by Santa Clara, California, u.S.A.). In a preferred embodiment, the energy of the electric source during plasma etching is between about 900 and 1 200 watts; the matching bias energy is about 100 to 2000 watts. In the better case, it is about 15 000 watts. This paper size is applicable to China National Standard (CNS) A4 specification (210X297, Gongqing 479290, A7, B7) V. Description of invention () In the current stage of semiconductor manufacturing, plasma etching can use inductively coupled high-density electropolymerization (inductively coupled) high-density p 1 asma) etching reaction machine, which can provide good selectivity and process flexibility at the same time, and can be applied to other post-etching processing steps after plasma etching. In addition to the above In addition to the machine, there are other high-density machines with high density c. Degrees, including remote plasma source (RPS) and electron-cyclotron resonance (ECR) and other machines; The definition of density plasma can be a situation where the ion density reaches at least 10 E 1 1 cm · 3 or more in a plasma-filled space. An example of the above-mentioned inductive coupling and high-density plasma etching reaction machine is This is an IPS (inductive plasma source) induction machine manufactured by American Applied Materials. The approximate reaction chamber structure and matching equipment are shown in the partial cutout in the fifth figure. As shown in the schematic diagram, the wafer to be processed 80 is carried by a cathode stage 82, and the cathode stage 82 is supplied with radio frequency (RF) energy provided by a first radio frequency energy source. A silicon ring surrounding the stage 82 8 6 can be controlled by an array of heating lamps 8 8, while the grounded silicon wall 90 is surrounded by the plasma processing area, and the silicon top cover 9 2 is covered by the plasma processing area. The silicon ring 86 and a part of the silicon top cover 92 can be used to remove fluorine generated by a fluorocarbon plasma or a fluorine-containing plasma; the process gas is supplied by one or more of the lower gas supply ends 9 4. Through the mass flow controller 9 The block 6 is supplied. In addition, the upper gas supply end can form a small gas dissipating head at the center of the silicon top cover 9 2 The paper size is applicable to the Chinese National Standard (CNS) A4 specification (210X 297 mm) (Please read the back first Please pay attention to this page and fill in this page)-Binding and binding 479290 Α7 Β7 V. Description of the invention ((shower head), vacuum pump system (not shown) is connected to the pump channel 98 located below the reaction chamber to maintain Reaction chamber at set pressure, system control The device i 00 controls the operation of the etching reaction machine and cooperating equipment.

Cy- 在以往的架構中,矽頂蓋9 2會接地,但是其半導體的 電阻性及厚度會加以調整選用,以使大致為軸向的射頻磁 場能經由石夕頂蓋9 2通過,軸向射頻磁埸係由分別以射頻能 源供應器110及112驅動的内感應機組1〇6 組108加以產生。除此之外,亦可使用單一的射頻能源供 應器、配合可選擇的能量分離器(power splitter)替換上 述的設計;並可使用其他的線圈構造,例如具有平面、'螺 旋感應線圈於頂蓋92上的變壓耦合式電漿(trarisf〇rmei coupled Plasma; TCP)反應器。 (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 系統控制器1 〇 〇可控制質流控制器9 6、加熱燈8 8、 94、對冷卻通道96的冷水供應、真空幫浦的節流閥、以 及能量供應源8 4、1 1 〇、1 1 2,上述的功能可控制蝕刻的 化學成分’以達到下述實施例中的條件。反應·的參數可利 用習知的磁性、光學性、或半導體之記憶裝置,儲存於控 制器100之中,並由控制器100由插入其中的儲存媒介中 讀出,一般可由設備供應商以磁片或CDROM等光學媒介 提供所需的參數,以由控制器1 0 0讀出。 “本紙張尺中國國家標準(CNS ) Α4規格(210X297公釐) 479290 A7 B7 五、發明説明( 優 要 主 的 台 機 應 反 漿 電 之 合 耦 式 應 感 不 送 輸 可 是 圓 圈晶 線制 應控 感量 至能 量性 能容 的電 同當 f 面 及表 以漿 > 電 8 ο 的 1處 容 的 台 區 表 定 決 此 因 經濟部智慧財產局員工消費合作社印製 面區之直流偏壓時,感應能量可產生一遠離晶圓8 0的電聚 源區;電漿源能量可昇高以增加蝕刻速率、並控制激發之 原子團種類及數量;而偏壓能量則可加以改變以使離子在 表面區内以高能量或低能量加速,並接著以預設的能量撞 擊晶圓8 0。 此外,除了上述中本發明之介電層蝕刻製程應用於自 行對準接觸應用之實施例,以下並以另一應用於無邊界接 觸(borderless contact)之實施例,介紹本發明之應用。 參見第四圖所示,半導體基材5 0上具有電晶體的閘極 結構5 2、以及源/汲極區域,以較佳實施例而言,源/汲極 區域上方並有矽化金屬54形成於其上,不同的電晶體或元 件區域之間、則以如場氧化區等的隔離區域 5 6加以隔絕 之。而一般所謂無邊界接觸(borderless contact)的應 用,即是指接觸區之導線圖案的形成,會不僅僅形成於所 需連接的源/汲極區域上,亦可同時跨越於隔離區域56之 上,而藉此增加製程的寬容度(process window),並可 使接觸及導線的線寬進一步增加。 而在以上方之光阻層62為罩幕,餘刻介電層60以形 (請先閱讀背面之注意事項再填寫本頁) •裝· 12 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 479290 A7 __B7__ 五、發明説明() - ' · ' ; ^ (請先閱讀背面之注意事項再填寫本頁) 成接觸洞64時,由於介電層60的材質大多使用氧化矽、 或是硼磷石夕玻璃(B P S G )夢的材f,而隔離區域5 6亦同樣 具有氧化矽的材質,為了避免隔離區域56受到蝕刻製程的 破壞,一般會於其上覆蓋保護用的氮化矽層5 8 ;因此,在 ^ 介電層6 0蝕刻的過程之中,即需提供相對於氮化矽層58 · 良好的蝕刻性。 而此例中即可同樣應用本發明中之電漿蝕刻製程,其 各項之反應條件可同上述之實施例,同樣於反應氣體加入 碳氟氣體及載氣,而電漿蝕刻之壓力約為20至30毫托之 間,並可同樣選擇性的加入如一氧化碳或氧氣等的含氧氣 體,以提供蝕刻介電層6 0時、相對於相對於下方之氮化矽 層5 8的蝕刻選擇性。 經濟郎智慧时產苟員工消费合作社印製 在較佳實施例之中,此應用例中的反應氣體並可進一 步加入碳氩氟氣體、例如本例中即使用C Η 2 F 2、C H F 3、或 是其組合等,以使用而言,其流量約為1 〇至2 0 s c c m之 間,更佳例為1 5 s c c m。藉由碳氫氟氣體的加入及相對於 碳氟氣體的比例調整,可使钱刻過程中高分子物質的形成 具有較佳的覆蓋性、等向性、及均勻性,而提昇蝕刻時的 選擇性。 本發明以較佳之實施例說明如上,僅用於藉以幫助了 解本發明之實施,非用以限定本發明之精神,而熟悉此領 本紙張从適用中國國家標準(CNS )从胁(21()><297公董) 479290 A7 B7 五、發明説明() (請先閱讀背面之注意事項再填寫本頁) 域技藝者於領悟本發明之精神後,在不脫離本發明之精神 範圍内,當可作些許更動潤飾及等同之變化替換,其專利 保護範圍當視後附之申請專利範圍及其等同領域而定。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐)Cy- In the previous architecture, the silicon top cover 92 is grounded, but the resistance and thickness of the semiconductor are adjusted and selected so that the approximately axial RF magnetic field can pass through the Shixi top cover 92, and the axial direction The RF magnetic field is generated by an internal induction unit 106 group 108 driven by RF energy supplies 110 and 112, respectively. In addition, a single RF energy supply can be used to replace the above design with an optional power splitter; other coil structures can be used, such as having a flat, 'spiral induction coil on the top cover' Transformer coupled plasma (TCP) reactor on 92. (Please read the precautions on the back before filling out this page) Printed system controller of the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs can control the mass flow controller 9 6, the heating lamp 8 8, 94, the cooling channel 96 The cold water supply, the throttle valve of the vacuum pump, and the energy supply source 84, 110, 112, the above functions can control the chemical composition of the etching to achieve the conditions in the following embodiments. The response parameters can be stored in the controller 100 using conventional magnetic, optical, or semiconductor memory devices, and read out by the controller 100 from the storage medium inserted therein. Generally, the magnetic field can be magnetized by the equipment supplier. Optical media, such as film or CDROM, provide the required parameters to be read by the controller 100. "This paper rule Chinese National Standard (CNS) A4 specification (210X297 mm) 479290 A7 B7 V. Description of the invention The electric capacity of the control surface to the energy capacity is the same as that of the surface and the surface of the table. The capacity of the table is determined by the DC voltage of the printed surface area of the employee's cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. At this time, the induced energy can generate an electropolymerization source region far from the wafer 80; the plasma source energy can be increased to increase the etching rate, and control the types and number of excited atomic groups; and the bias energy can be changed to make the ions Accelerate in the surface area with high energy or low energy, and then impact the wafer 80 with a predetermined energy. In addition, in addition to the above embodiments of the dielectric layer etching process of the present invention applied to self-aligned contact applications, the following The application of the present invention will be described with another embodiment applied to borderless contact. As shown in the fourth figure, the gate structure 5 2 of the semiconductor substrate 50 has a transistor on the semiconductor substrate 50. And the source / drain region, in a preferred embodiment, a silicide metal 54 is formed on the source / drain region, and different transistors or element regions are separated by, for example, field oxide regions. Areas 5 and 6 are isolated. In general, the application of so-called borderless contact refers to the formation of the conductor pattern of the contact area, which will not only be formed on the source / drain area to be connected, but also at the same time. Straddle the isolation region 56 to increase the process window and further increase the line width of the contacts and wires. The upper photoresist layer 62 is used as a mask, and the dielectric layer 60 is etched. Shape (Please read the notes on the back before filling this page) • Packing · 12 This paper size is applicable to the Chinese National Standard (CNS) A4 specification (210X297 mm) 479290 A7 __B7__ V. Description of the invention ()-'·' ; ^ (Please read the precautions on the back before filling this page) When the contact hole 64 is formed, the dielectric layer 60 is mostly made of silicon oxide or borophosphite glass (BPSG) dream material f, but the isolation area 5 6 same With a silicon oxide material, in order to prevent the isolation region 56 from being damaged by the etching process, a protective silicon nitride layer 5 8 is generally covered thereon; therefore, during the etching of the dielectric layer 60, it is necessary to Provides good etchability compared to the silicon nitride layer 58. In this example, the plasma etching process of the present invention can also be applied, and the reaction conditions of each item can be the same as the above embodiment, and carbon is added to the reaction gas. Fluorine gas and carrier gas, and the plasma etching pressure is about 20 to 30 mTorr, and the same selective addition of oxygen-containing gas such as carbon monoxide or oxygen can be provided to etch the dielectric layer at 60, relative Etch selectivity relative to underlying silicon nitride layer 58. Eco-Luxury is produced in the preferred embodiment by the employee consumer cooperative. The reaction gas in this application example can be further added with argon fluorocarbon gas, such as C Η 2 F 2, CHF 3 in this example. Or a combination thereof, the flow rate is about 10 to 20 sccm in terms of use, and more preferably 15 sccm. With the addition of fluorocarbon gas and the proportion adjustment with respect to fluorocarbon gas, the formation of high-molecular substances in the process of engraving can have better coverage, isotropy, and uniformity, and improve the selectivity during etching. . The present invention is explained in the preferred embodiment as above, and is only used to help understand the implementation of the present invention. It is not intended to limit the spirit of the present invention. Familiarize yourself with this paper from the application of the Chinese National Standard (CNS). > < 297 public directors) 479290 A7 B7 V. Description of the invention () (Please read the notes on the back before filling out this page) After understanding the spirit of the invention, the domain artist will not depart from the spirit of the invention When it can be changed and retouched and equivalent changes replaced, the scope of patent protection shall be determined by the scope of the attached patent application and its equivalent fields. This paper size applies to China National Standard (CNS) A4 (210X 297 mm)

Claims (1)

479290 _ A8 B8 C8 D8 申請專利範圍 1· 一種介電層钮刻製程,至少包含以下步驟: 提供一半導體基材,該基材上具有一介電層;以及 進行一電漿蝕刻,以蝕刻部分之該介電層,該電漿蝕 刻之反應氣體至少包含碳氟氣體及載氣,該電漿蝕刻之壓 力約為2 0至3 〇毫托之間,以提供蝕刻該介電層時、相對 於該介電層之下方膜層之蝕刻選擇性。 2.如申請專利範圍第1項之介電層蝕刻製程,其中上 述之反應氣體更包含一含氧氣體。 3·如申請專利範圍第2項之介電層蝕刻製程,其中上 述之含氧氣體係為一氧化碳及氧氣其中之一。 4·如申請專利範圍第2項之介電層蝕刻製程,其中上 述之含氧氣體使用氧氣時,其流量約為1至 王)S C C m之間。 (請先閲讀背面之注意事項3寫本頁) -裝 、1Τ 經濟部中央揉準局員工消费合作社印製 5 ·如申請專利範圍第2項之介電層餘刻製程,其 述之含氧氣體使用一氧化碳時,其流量約為5 、中 之間。 6 ·如申請專利範圍第丄項之介電層蝕刻製程,其 述之載氣至少包含鈍氣。 、中上 15 本紙張尺度逋用中國國家揉準(CNS ) A4規格(210X297公釐)479290 _ A8 B8 C8 D8 Patent Application Scope 1. A dielectric layer button engraving process includes at least the following steps: providing a semiconductor substrate with a dielectric layer on the substrate; and performing plasma etching to etch parts The dielectric layer, the plasma etching reaction gas contains at least a fluorocarbon gas and a carrier gas, and the plasma etching pressure is about 20 to 30 millitorr to provide relative The etching selectivity of the film layer under the dielectric layer. 2. The dielectric layer etching process according to item 1 of the scope of the patent application, wherein the reaction gas further includes an oxygen-containing gas. 3. If the dielectric layer etching process of item 2 of the patent application scope, wherein the above-mentioned oxygen-containing system is one of carbon monoxide and oxygen. 4. If the dielectric layer etching process of item 2 of the patent application scope, wherein the oxygen-containing gas mentioned above uses oxygen, its flow rate is between about 1 to 300 Cc m. (Please read the note 3 on the back first to write this page)-Printed and printed by the 1T Consumer Affairs Cooperative of the Central Bureau of the Ministry of Economic Affairs 5 · If the dielectric layer is engraved in the process of applying for the second scope of the patent application, its description contains oxygen When carbon monoxide is used for the gas, its flow rate is about 5 to medium. 6 • If the dielectric layer is etched in item (1) of the scope of patent application, the carrier gas contains at least a passive gas. Medium and upper 15 paper sizes, using China National Standard (CNS) A4 (210X297 mm) I 479290 A8 B8 C8 D8 六、申請專利範圍 7 ·如申請專利範圍第1項之介電層蝕刻製程,其中上 述之載氣使用氬氣時,其流量約為2 5 0至4 5 0 s c c m之間。 8 ·如申請專利範圍第1項之介電層蝕刻製程,其中上 述之碳氟氣體至少包含C4F8及C3F6其中之一或其中之組 合0 9 ·如申請專利範圍第1項之介電層蝕刻製程,其中上 述之碳氟氣體使用C4F8時,其流量約為15至21sccm之 間。 10.如申請專利範圍第1項之介電層蝕刻製程,其中上 述之反應氣體更包含碳氫氟氣體。 1 1 .如申請專利範圍第1 0項之介電層蝕刻製程,其中 上述之碳氫氟氣體至少包含CH2F2及CHF3其中之一或其 組合。 1 2 .如申請專利範圍第1項之介電層蝕刻製程,其中上 (請先閲讀背面之注意事項®寫本頁) -裝· 訂 經濟部中央標準局員工消費合作社印製 為 約 量 能 源 漿 -^3 之 時 刻 蝕 漿 電 之 述 至 間 之 特 瓦 上。 中間 其之 ,特 程瓦 製ο 刻00 蝕 2 層至 電 ο 介ο 夕 ο 之1 項為 1 約 第量 ] tb 圍 育 範壓 利偏 專之 請時 申刻 如蝕 •漿 3 1 ί 之 述 16 本紙張尺度適用中國國家揉準(CNS ) Α4規格(210Χ297公釐) 479290 A8 B8 C8 D8 六、申請專利範圍 ^ --1- 經濟部中央標準局貝工消费合作社印裝 1 4 ·如申請專利範圍第1項之介電層蝕刻製程,其中上 述之基材上具有電晶體結構,該電晶體結構具有一應用於 自行對準製程之氮化矽層覆蓋於閘極上,該電漿蝕刻係用 以提供#刻該介電層時、相對於該介電層之下方之氮化矽 層及基材之矽材質的蝕刻選擇性。 1 5 ·如申請專利範圍第χ項之介電層蝕刻製程,其中上 述之基材具有電晶體結構,該電晶體結構具有一氮化矽層 覆蓋於源汲極區域上,該電漿蝕刻係用以提供蝕刻該介電 層時、相對於該介電層之下方之氮化矽層的蝕刻選擇性。 16·—種介電層蝕刻製程,至少包含以下步驟: 提供一半導體基材’該基材上具有一介電層·,以及 進行一電漿蝕刻,以蝕刻部分之該介電層,該電聚蝕 刻之反應氣體至少包含碳氟氣體、含氧氣體、以及載氣, 該電聚#刻之壓力約為2 0至3 0毫托之間,以提供蝕刻該 介電層時、相對於該介電層之下方膜層之蝕刻選擇性。 1 7 ·如申請專利範圍第1 6項之介電層蝕刻製程,其中 上述之含氧氣體係為一氧化碳及氧氣其中之_。 ί 8·如申請專利範圍第、17項之介電層蝕刻製程,其中 上述之含氧氣體使用氧氣時,其流量約為$ c βΒ 王上至5sccm之間。 (請先聞讀背面之注意事' .項 窝本頁) -装· ,ιτ 17 479290 A8 B8 C8 D8六、申請專利範圍 經濟部中央標準局員工消費合作社印製 1 9 ·如申請專利範圍第1 7項之介電層蝕刻製程,其中 上述之含氧氣體使用一氧化碳時,其流量約為5至1 5 s c c m 之間。 2 0 ·如申請專利範圍第1 6項之介電層蝕刻製程,其中 上述之載氣至少包含鈍氣。 2 1 ·如申請專利範圍第1 6項之介電層蝕刻製程,其中 上述之載氣使用氬氣時,其流量約為250至450sccm之 間。 2 2 .如申請專利範圍第1 6項之介電層蝕刻製程,其中 上述之碳氟氣體至少包含C4F8及C3F6其中之一或其組 合。 2 3 .如申請專利範圍第1 6項之介電層蝕刻製程,其中 上述之碳氟氣體使用C 4 F 8時,其流量約為15至2 1 s c c m 之間。 2 4 .如申請專利範圍第1 6項之介電層蝕刻製程,其中 上述之反應氣體更包含碳氫氟氣體。 2 5 .如申請專利範圍第2 4項之介電層蝕刻製程,其中 (請先閲讀背面之注意事項_寫本頁) .裝· 訂 本紙張尺度適用中國國家揉準(CNS ) Α4規格(210Χ297公釐) 479290 A8 B8 C8 D8 六 、申請專利範圍 經濟部中央標率局貝工消費合作社印裝 上述之碳氫氟氣體至少包含CH2^2及CHF3其中之一或其 組合。 2 6 ·如申請專利範圍第1 6項之介電層蝕刻製程,其中 上述之電衆餘刻時之電漿源能量約為9〇〇至1200瓦特之 間。 27.如申請專利範圍第16項之介電層蝕刻製程,其中 上述之電漿勉刻時之偏壓能量約為1〇〇〇至2〇〇〇瓦特之 間。 28·如申請專利範圍第16項之介電層蝕刻製程,其中 上述之基材上具有電晶體結構,該電晶體結構具有一應用 於自行對準製程之氮化矽層覆蓋於閘極上,該電漿蝕刻係 用以提供餘刻該介電層時、相對於該介電層之下方之氮化 石夕層及基材之矽材質的蝕刻選擇性。 29·如申請專利範圍第16項之介電層蝕刻製程,其中 上述之基材具有電晶體結構’該電晶體結構具有一氮化矽 層覆蓋於源沒極區域上’該電漿蝕刻係用以提供蝕刻該介 電層時、相對於該介電層之下方之氮化矽層的蝕刻選擇性。 3 0 · —種介電層蝕刻製程,至少包含以下步驟·· 提供一半導體基材,該基材上具有一介電層;以及 請 先 閲 t 訂 19 本紙張尺度適用中國國家梂準(CNS ) A4規格(210X297公釐) 479290 A8 B8 C8 D8 六、申請專利範圍 (請先閲讀背面之注意事項\^寫本頁) 進行一電漿蝕刻,以蝕刻部分之該介電層,該電漿蝕 刻之反應氣韙至少包含磷氟氣體、碳氫氟氣體、含氧氣體、 以及載氣,該電漿蝕刻之壓力約為2 0至3 0毫托之間,以 提供蝕刻該介電層時、相對於該介電層之下方膜層之蝕刻 選擇性;上述之贪氧氣體係為一氧化碳及氧氣其中之一。 34 ·如申請專利範圍第3 0項之介電層蝕刻製程,其中 上述之含氧氣體使用氧氣時,其流量約為1至5 s c c m之間。 3 2 .如申請專利範圍第3 0項之介電層蝕刻製程,其中 上述之含氧氣體使用一氧化碳時,其流量約為5至15sccm 之間。 3 3 .如申請專利範圍第3 0項之介電層蝕刻製程,其中 上述之載氣至少包含鈍氣。 34.如申請專利範圍第30項之介電層蝕刻製程,其中 上述之載氣使用氬氣時,其流量約為250至450sccm之 間。 經濟部中央標準局員工消費合作社印製 申 如 專 第 圍 範 氟 碳 之 述。 上合 含 包 少 至 體 C 中組 其其 ,或 程一 製之 安中 蝕其 層 6 電F 3 介C 之 項 及 20 本紙張尺度適用中國國家揉準(CNS ) A4規格(210X297公釐) 479290 Α8 Β8 C8 D8 六、申請專利範圍 3 6 ·如申明專利範圍第3 〇項之介電層蝕刻製程,其中 上述之碳氟氣體使用C^8時,其流量約為15至218以顶 之間。 3 7 ·如申凊專利範圍第3 〇項之介電層蝕刻製程,其中 上述之妷氩氟氣體至少包含CH2P2& CHF3其中之一或其 組合。 3 8 ·如申請專利範圍第3 〇項之介電層蝕刻製程,其中 上述之電衆餘刻時之電漿源能量約為9〇〇至12〇〇瓦特之 間0 39·如申請專利範圍第3〇項之介電層蝕刻製程,其中 上述之電漿姓刻時之偏壓能量約為1〇〇〇至2〇〇〇瓦特之 經濟部中央標準局負工消費合作社印製 (請先閲讀背面之注意事項@寫本頁} 40·如申請專利範圍第3〇項之介電層蝕刻製程,其中 上述之基材上具有電晶體結構,該電晶體結構具有一應用 於自行對準製程之氮化矽層覆蓋於閘極上,該電漿蝕刻係 用以提供钱刻該介電層時、相對於該介電層之下方之氮化 石夕層及基材之石夕材質的餘刻選擇性。 4 1 ·如申請專利範圍第3 〇項之介電層蝕刻製程,其中 上述之基材具有電晶體結構,該電晶體結構具有一氮化矽 本紙張尺度適用中國國家梂準(CNS ) A4規格(210X297公釐) 47x9290 A8 B8 C8 D8 六、申請專利範圍 層覆蓋於源汲極區域上,該電漿蝕刻係用以提供蝕刻該介 電層時、相對於該介電層之下方之氮化矽層的蝕刻選擇性。 經濟部中央標準局員工消費合作社印製 22 本紙張尺度適用中國國家揉準(CNS ) A4規格(210X 297公釐)I 479290 A8 B8 C8 D8 6. Scope of patent application 7 · For the dielectric layer etching process of item 1 of the scope of patent application, where the above-mentioned carrier gas uses argon, its flow rate is about 250 to 4 50 sccm. between. 8 · If the dielectric layer etching process of the first scope of the patent application, the above fluorocarbon gas contains at least one of C4F8 and C3F6 or a combination thereof 0 9 · If the dielectric layer etching process of the first scope of the patent application When C4F8 is used for the above-mentioned fluorocarbon gas, the flow rate is about 15 to 21 sccm. 10. The dielectric layer etching process according to item 1 of the scope of patent application, wherein the reaction gas further includes a hydrocarbon gas. 1 1. The dielectric layer etching process according to item 10 of the patent application scope, wherein the above-mentioned hydrocarbon gas includes at least one of CH2F2 and CHF3 or a combination thereof. 1 2. If the dielectric layer etching process of item 1 of the scope of patent application, which is on the top (please read the precautions on the back first ® write this page) At the moment of the plasma-^ 3, the description of the eclipse plasma is on the tween tile. Intermediate thereof, the Patent Cheng tile made ο engraved 00 etch layer 2 to ο dielectric ο evening ο of electrically a 1 by about the amount of application moment when] TB confining incubated range pressure Lee requested partial spot of such corrosion • slurry 3 1 ί Description 16 This paper size is applicable to China National Standards (CNS) A4 (210 × 297 mm) 479290 A8 B8 C8 D8 6. Scope of patent application ^ --1- Printed by the Bayer Consumer Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs 1 4 · For example, the dielectric layer etching process of the first patent application scope, wherein the above-mentioned substrate has a transistor structure, the transistor structure has a silicon nitride layer applied to the self-alignment process to cover the gate, and the plasma The etching is used to provide an etching selectivity of the silicon material relative to the silicon nitride layer below the dielectric layer and the substrate when the dielectric layer is etched. 15 · According to the dielectric layer etching process of item χ in the patent application scope, wherein the above-mentioned substrate has a transistor structure, the transistor structure has a silicon nitride layer covering the source-drain region, and the plasma etching system It is used to provide the etching selectivity of the silicon nitride layer relative to the silicon nitride layer under the dielectric layer when the dielectric layer is etched. 16 · —A dielectric layer etching process includes at least the following steps: a semiconductor substrate is provided; the substrate has a dielectric layer; and a plasma etching is performed to etch a part of the dielectric layer. The poly-etching reaction gas includes at least a fluorocarbon gas, an oxygen-containing gas, and a carrier gas. The pressure of the electro-polymerization is about 20 to 30 millitorr, so that when the dielectric layer is etched, relative to the Etch selectivity of the film layer below the dielectric layer. 17 · If the dielectric layer etching process of item 16 of the scope of patent application, the above-mentioned oxygen-containing system is one of carbon monoxide and oxygen. ί 8. If the dielectric layer etching process of item 17 in the scope of patent application, wherein the oxygen-containing gas mentioned above uses oxygen, the flow rate is about $ c ββ to 5 sccm. (Please read the "Notes on the back" first page of Xiangwo)-Equipment ·, ιτ 17 479290 A8 B8 C8 D8 VI. Patent Application Scope Printed by the Consumers' Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs 19 17. The dielectric layer etching process of item 17, wherein when the above-mentioned oxygen-containing gas uses carbon monoxide, the flow rate is about 5 to 15 sccm. 20 • The dielectric layer etching process according to item 16 of the scope of patent application, wherein the above-mentioned carrier gas includes at least a passivation gas. 2 1 · If the dielectric layer etching process of item 16 of the patent application range, wherein the argon gas is used as the carrier gas, the flow rate is about 250 to 450 sccm. 2 2. The dielectric layer etching process according to item 16 of the scope of patent application, wherein the above fluorocarbon gas contains at least one of C4F8 and C3F6 or a combination thereof. 2 3. According to the dielectric layer etching process of item 16 in the scope of patent application, wherein when the above fluorocarbon gas uses C 4 F 8, its flow rate is about 15 to 2 1 s c cm. 24. The dielectric layer etching process according to item 16 of the scope of patent application, wherein the above-mentioned reaction gas further includes a hydrocarbon gas. 2 5. If the dielectric layer etching process of item 24 of the patent application scope, which (please read the precautions on the back _ write this page first). The size of the binding and binding paper is applicable to the Chinese National Standard (CNS) Α4 specification ( (210 × 297 mm) 479290 A8 B8 C8 D8 6. Scope of patent application The above-mentioned hydrocarbon and fluorine gas printed by the Shell Standard Consumer Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs shall contain at least one of CH2 ^ 2 and CHF3 or a combination thereof. 26. If the dielectric layer etching process of item 16 in the scope of the patent application, the plasma source energy in the above-mentioned moment of electricity is about 900 to 1200 watts. 27. The dielectric layer etching process according to item 16 of the scope of the patent application, wherein the bias energy of the above-mentioned plasma is about 1000 to 2000 watts. 28. If the dielectric layer etching process of item 16 of the application for a patent, wherein the above-mentioned substrate has a transistor structure, the transistor structure has a silicon nitride layer applied to the self-alignment process to cover the gate, the Plasma etching is used to provide the etching selectivity of the silicon material relative to the nitride layer and the substrate below the dielectric layer when the dielectric layer is etched. 29. The dielectric layer etching process according to item 16 of the application for a patent, wherein the above-mentioned substrate has a transistor structure 'the transistor structure has a silicon nitride layer covering the source and electrode regions' and the plasma etching system is used In order to provide the etching selectivity of the silicon nitride layer relative to the dielectric layer under the dielectric layer when the dielectric layer is etched. 3 0 · —A kind of dielectric layer etching process, including at least the following steps: · Provide a semiconductor substrate with a dielectric layer on it; and please read t Book 19 This paper size applies to China National Standards (CNS ) A4 specification (210X297 mm) 479290 A8 B8 C8 D8 VI. Application scope of patent (please read the precautions on the back first \ ^ write this page) Perform a plasma etching to etch part of the dielectric layer, the plasma The etching reaction gas contains at least a phosphorus-fluorine gas, a hydrocarbon-fluorine gas, an oxygen-containing gas, and a carrier gas. The plasma etching pressure is about 20 to 30 millitorr to provide the etching of the dielectric layer. Relative to the etching selectivity of the underlying film layer of the dielectric layer; the above-mentioned greedy oxygen system is one of carbon monoxide and oxygen. 34. If the dielectric layer etching process of item 30 of the patent application scope, wherein the oxygen-containing gas mentioned above uses oxygen, the flow rate is about 1 to 5 s c c m. 32. The dielectric layer etching process according to item 30 of the scope of patent application, wherein when carbon monoxide is used for the above-mentioned oxygen-containing gas, the flow rate is about 5 to 15 sccm. 3 3. The dielectric layer etching process according to item 30 of the scope of patent application, wherein the above-mentioned carrier gas includes at least a passivation gas. 34. The dielectric layer etching process according to item 30 of the patent application, wherein when the above-mentioned carrier gas uses argon, its flow rate is about 250 to 450 sccm. The Consumer Cooperatives of the Central Standards Bureau of the Ministry of Economic Affairs printed a description of the scope of the application. The shanghai contains as little as the body C group, or the Cheng Yi system etched the layer 6 electric F 3 medium C items and 20 This paper size applies to China National Standards (CNS) A4 (210X297 mm) ) 479290 Α8 Β8 C8 D8 VI. Application for patent scope 3 6 · If the dielectric layer etching process of item 30 of the patent scope is declared, where the above fluorocarbon gas uses C ^ 8, the flow rate is about 15 to 218 or more between. 37. The dielectric layer etching process as described in claim 30 of the patent scope, wherein the above argon-fluorine gas contains at least one of CH2P2 & CHF3 or a combination thereof. 3 8 · If the dielectric layer etching process for item 30 of the scope of patent application, the plasma source energy at the time of the above electricity is about 900 to 12,000 watts 0 39 · If the scope of patent application is The dielectric layer etching process of item 30, in which the bias energy at the time of the above-mentioned plasma surname is printed by the Consumers Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs (please first Read the note on the back @write this page} 40. If the dielectric layer etching process of the 30th patent scope is applied, the above-mentioned substrate has a transistor structure, and the transistor structure has a self-aligning process. The silicon nitride layer covers the gate electrode. The plasma etching is used to provide the remaining time selection of the material of the nitride nitride layer and the base material of the substrate when the dielectric layer is engraved. 4 1 · If the dielectric layer etching process of item 30 of the patent application scope, wherein the above substrate has a transistor structure, the transistor structure has a silicon nitride paper standard applicable to China National Standards (CNS) A4 size (210X297 mm) 47x9290 A8 B8 C8 D 6. The patent application layer covers the source-drain region, and the plasma etching is used to provide the etching selectivity of the silicon nitride layer relative to the silicon nitride layer below the dielectric layer when the dielectric layer is etched. Printed by the Central Bureau of Standards Consumer Cooperatives 22 This paper is sized for China National Standard (CNS) A4 (210X 297 mm)
TW88109309A 1999-06-04 1999-06-04 Dielectric layer etching process to improve etch stop problem TW479290B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW88109309A TW479290B (en) 1999-06-04 1999-06-04 Dielectric layer etching process to improve etch stop problem

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW88109309A TW479290B (en) 1999-06-04 1999-06-04 Dielectric layer etching process to improve etch stop problem

Publications (1)

Publication Number Publication Date
TW479290B true TW479290B (en) 2002-03-11

Family

ID=21640991

Family Applications (1)

Application Number Title Priority Date Filing Date
TW88109309A TW479290B (en) 1999-06-04 1999-06-04 Dielectric layer etching process to improve etch stop problem

Country Status (1)

Country Link
TW (1) TW479290B (en)

Similar Documents

Publication Publication Date Title
TW546737B (en) Method of plasma etching organic antireflective coating
TW550661B (en) Method of etching organic antireflection coating (ARC) layers
TW535197B (en) Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics
US7815814B2 (en) Method and system for dry etching a metal nitride
KR101887723B1 (en) Etch process for controlling pattern cd and integrity in multi-layer masks
TW538479B (en) Methods and apparatus for selective plasma etch
TW578235B (en) Post-etch treatment for a dielectric etch process
TW538476B (en) Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
KR101611938B1 (en) A method for controlling cd of etch features
JP5238704B2 (en) Method and system for dry etching hafnium-containing materials
KR101889107B1 (en) FORMATION OF SiOCl-CONTAINING LAYER ON EXPOSED LOW-K SURFACES TO REDUCE LOW-K DAMAGE
TW200411766A (en) Method for fabricating an ultra shallow junction of a field effect transistor
TW380285B (en) Methods for reducing plasma-induced charging damage
KR20090084766A (en) Method for etching silicon-containing arc layer with reduced cd bias
JP2010516059A (en) Reduction of line end shortening during etching
TW493231B (en) Improved etching methods for anisotropic profile
TW589403B (en) Mechanism for bow reduction and critical dimension control in etching silicon dioxide using hydrogen-containing additive gases in fluorocarbon gas chemistry
KR100376001B1 (en) Polysilicon / Polyside Etching Method for Fine Gate Stacking
TW413866B (en) Method of etching doped silicon dioxide with selectivity to undoped silicon dioxide with a high density plasma etcher
KR100595866B1 (en) Self-aligned contacts for semiconductor device
TW468226B (en) Improved methods and apparatus for etching a conductive layer to improve yield
US6329292B1 (en) Integrated self aligned contact etch
US8282844B2 (en) Method for etching metal nitride with high selectivity to other materials
JP3862035B2 (en) Semiconductor device and manufacturing method thereof
TW400571B (en) Self-aligned contacts for semiconductor device