TW465211B - Digital communications processor - Google Patents

Digital communications processor Download PDF

Info

Publication number
TW465211B
TW465211B TW88110109A TW88110109A TW465211B TW 465211 B TW465211 B TW 465211B TW 88110109 A TW88110109 A TW 88110109A TW 88110109 A TW88110109 A TW 88110109A TW 465211 B TW465211 B TW 465211B
Authority
TW
Taiwan
Prior art keywords
processor
data
data stream
packet
queue
Prior art date
Application number
TW88110109A
Other languages
English (en)
Inventor
Thomas B Brightman
Andrew T Brown
John F Brown Iii
James A Farrell
Andrew D Funk
Original Assignee
Port Corp C
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Port Corp C filed Critical Port Corp C
Application granted granted Critical
Publication of TW465211B publication Critical patent/TW465211B/zh

Links

Description

4652 1 1 A7 B7 五、發明說明(1 ) 相關申請案參考 本專利申請案申請於下列美國專利臨時申請案之前: • 60/084,705, Brown, et al·,Programmable packet switch, filed 5/8/98 ;與 • 60/105,823, Brown, et al,, Digital communications processor, filed 10/27/98. 發明背景 1 ·發明範圍 本發明一般關於數位封包網路,以及更特別地關於使用 此網路之交換機》 2.先前技藝描述:圖1 封包與協定 數位系統間的通訊一般藉由封包。圖1中i i 3顯示一種 封包。封包爲一簡單的位元序列,其意義由一協定所決 定。協定定義處理封包之數位裝置如何轉譯封包中的位 元。不論何種協定,大部分封包具有—頭端115,其指示 如何根據協定而處理該特定封包’以及一酬載11 7,爲封 包進行通訊之實際資訊。封包也可以具有一尾端119,可 經濟部智慧財產局員工消費合作社印製 簡單地指示封包的結束,但也可包含封包在傳^處理期 間發生錯誤時允許檢測與/或更正錯誤的資訊。封包可具 有固定長度或可變長度,取決於所定義的協定。下面的討 論中,頭端Π5與尾端119將被稱爲協定資料,因爲轉譯 這些内容的方式完全由協定所決定,且酬載ιΐ7之内容將 稱爲酬载資料^某些協定之封包通赍 匕遇吊破稱馬碼框或細胞。 -4- 本紙張尺度適用中國國家標準(CNS)A4規格-- 46 52 1 Α7 B? 五、發明說明(2) 封包以許多不同的層級而用於數位系統之通訊。因此, 數么系統中一層級之封包群的酬载可以爲肖高層級之封 包。如圖!中137所示。IP封包121爲一種根據ιρ協定所 轉#夂封包。:tP封包121具有—ιρ頭端123與—可變長产 IP酬載125 頭端123所包括之資訊爲圩酬載125之^ 度。當IP封包121傳送於一實際的網路中,其運送於運輸 封包127之封包流135中的酬載。每—運輸封包a?具有 其本身的頭端⑴、酬載卜與尾端⑴。這裡所指的 運輸封包爲ISO七層模型之鏈結層中的封包。運輸封包可 具有固定或可變長度,取決於鏈結層所使用的協定。 經濟部智慧財產局員工消費合作社印^^ 處理運輸封包之裝置依封包中之頭端129與尾端丨33的 指不而運作,且並不檢查酬載1 3 1中的内容。當運輸封包 到達其目的地時,酬載被遞送至系統所欲的部分,在此情 形爲根據ip協定所操作之部分,且此部分處理113頭端1 所指π之IP封包12丨。當然,ίρ酬载125可以爲另一更高 層的封包。例如,其可以爲一作爲解密器用途的封包,且 該封包之酬載可以爲—加密後之】ρ封包丨2 i。在此情形, 處理IP封包121之部分傳遞酬載至解密器,解密器將加密 後的IP封包121解密並將解密後的Ip封包送回負貴處理ιρ 封包的部分以做更進一步的處理D該處理當然包括將解密 後的IP封包送至另—目的地,且若與該目的地的通訊是藉 由運輸封包1 2 7之協定,則負貴處理I P封包的部分將提供 解密後的I P封包至產生封包流的部分,且解密後的丨p封包 將被運送於運輸封包127之酬載。 -5- 本纸張尺度—中關雜格⑵G κ 297公;|7 A7 B7 蜂b! 5 2 1 t丨 4652 1 1 五、發明說明(3 ) 封包交換 當封包作爲相隔遙遠之數位系統間的通訊時,封包移動 於連接系統之數位網路上。在實質層上,數位網路可應用 任何媒介以將訊號傳送於兩裝置間,例如乙太、導線、或 光纖。封包是藉由封包交換而按路線遞送於傳輸路徑間。 封包交換根據一般包含於封包頭端之資訊而按路線遞送封 包。 如—般人所料,每一種協定具有其各自的按路線遞送法 則。例如,I P協定使用邏輯路由:〗p封包的每—來源與 目的地具有一邏輯IP位址,且欲送至給定目的地的ιρ封包 在其=端具有該目的地的邏輯1?位址。頭端並未指示目的 地的實質位址。IP封包交換必須轉譯ιρ位址成爲實質位 址,以取得封包至目的地之至少一部分路線,且也必須產 生一到達實質位址之運輸封包流135,载送Ip封包作爲其 酬載1 3 1。因此,I P節點ι〇9(η)是位於乙太網路[八^ i〇5(a) 上的乙太節點l〇7(n),且連接至LAN 1〇5(a)之ιρ封包交換 必須產生一到達乙太節點I〇7(n)之乙太封包流,其載送^ 封包作爲其酬載,以反應—定址於Ip節點1〇9(n)之Ip封 包0 一典型的封包交換示於1〇1。封包交換被連接至多 個實質媒介1 0 6,藉由該封包交換1 〇丨可以接收並傳送資 料。此媒介的一例爲光纖電纜或電導體製之電境。每—此 種媒介106具有其各自用以定義資料透過媒介傳送的協 定;例如,—種廣泛使用透過光纖電纜而傳送資料的協定 -6 - 本纸張尺度適用中國國家標準(CNS)A4覘格(210 X 297公釐) ------------------ (請先間讀背面之注項再本頁) 訂----- 線 經濟部智慧財產局員工消費合作社印製 A7 B7 經濟部智慧財產局員工消費合作社印製 6, 5 2 1 1, 五、發明說明(4 爲so面協定。圖β,媒介⑽(a..m)爲使用麵ET協定 疋-光纖H而媒介1〇6 (η·_ζ)爲電導體電境。於媒介層 上的封包,本文中料媒介封包,具有其酬㈣輸封包。 就咖7層模型而t,媒介封包爲實質層封包。於交換機 ⑷’傳送及接收於域電纜上的運輸封包是根據使用於 ATM廣域網路之ATM協定所製作的封包,而於電導 體電境上傳料及接收㈣輸封包是根據使用於區域網路 109之Ethernet^協定所製作。在很多情形,運輸封包具 有ip封包作爲其酬載,且在那些情形中,封包交換i〇3按 路線遞送^封包至IP節點109。如上所述,其藉由決定ιρ 封包應移至其g的地上的媒介106⑴來進行,並根據媒介 所需的協疋產生一封包流,其具有運輸封包流與媒介一同 使用作爲其酬載’且這些依序具有1?封包作爲其酬載。因 此,若封包交換機103接收一來自WAN lu之到達ιρ節點 109(11)的1?封包,且1?節點1〇9(11)位於乙太網路1^]^1〇5(£1) 上之乙太網路節點107(n),則封包交換機1 〇 3必須產生一 媒介106(h)所需型式的封包流,其酬載爲一到達乙太網路 節點107(n)之乙太網路封包流,依序載送〗p封包作爲其酬 載。 交換機1 〇 3因此必須能完成下列工作: •讀取輸入封包流,該封包流具有輸入媒介之協定所需的 型式’並檢索運輸封包中的酬載以及其他種類封包中的 酬載; •將ATM WAN 111上所接收之運輸封包按路線遞送至a丁% &張尺度適用中國國家標準(CNS)A4規格(210 X 2耵公釐)
4 6 521 I A7 B7 五、發明說明(5 ) WAN 111上的其他目的地; 將乙太LAN 105上所接收之運輸封包按$線遞送 包交換機103相連接之乙太LAN的其中之一上的j封 的地; 乃~目 •藉由IP位址按路線遞送所需的I p封包。 •產生輸出之封包流,其具有輸出媒介協定所需型式,以 及依序包含其他種類封包作爲其酬載之運輸封包。 此種路由需要從一種運輸封包轉譯爲另一種運輸封包。 例如,若一IP封包從ATM WAN lu中送達,且具有其乙 太LAN H>5⑷中的目的乙太節點1〇9⑷,封包交換機⑼ 必須從ΑΊΜ運輸封包中的酬載抽出ιρ封包,且將其放入 乙太封包中的酬載,送達乙太節點1〇7(n)。 此外,封包交換機通常用以執行安全功能,例如減波、 加密/解密、或擾頻/解擾頻。這裡顯示封包交換機1〇3位 於一私用網路i 〇 4與一公用網路1 〇 2間的邊界。.每一〗p封 包121之頭端包含封包之來源1{>位址與目的Ip位址,以及 私有網路104之安全政策’禁止來自公用網路1〇2之具有 某種至私用網路1 〇 4之來源位址的I P封包進行存取,並禁 止來自私用網路104之具有某種至公用網路102之來源位 址的I P封包進行存取。交換機丨〇 3藉由比較其來源位址與 一欲阻擋之來源位址列表而對每一輸入丨p封包進行滤波, 且若輸入封包爲表上所列’則將其排除。交換機1 〇 3以一 相似的方式對輸出封包進行濾波。當考慮加密/解密時, 封包交換機1〇3可從一公用網路102中接收一 ip封包,其 本紙張尺度適用中國國家標準(CNS)A4規格(210 χ 297公釐) ! I -裝 i I ) (請先閱讀背面之注产v_項Αν ϋΓ本頁) 訂. -線_ 經濟部智慧財產局員工消費合作社印製 46521 7
AT B7 經濟部智慧財產局具工消費合作社印製 五、發明說明(6 ) 酬載爲一做爲私用網路“斗之”位址的加密後lp封包。在 此情形,封包交換機! 〇 3將加密後的〖p封包進行解密,並 將其送至其在私用網路J 〇 4中的目的地。相似地,封包交 換機103可接收一IP封包,其將被藉由公用網路1〇2而^ 至屬於私用網路1 〇4中的目的地,且可以將丨p封包加密, 並在透過公用網路1〇2而發送其他”封包之前,將其置於 另一 IP封包中作爲酬裁。 、 封包交換所引發的問題 對一工程師來説,封包交換的設計?ί發了許多問題。從 先前討論中明顯可知,封包交換必須執行複雜的動作 如定出輸入封包流中的酬載位置並產生輸出封包流,按路 線遞送於運輸層及更高層,轉譯封包中的資訊,遽波及加 密/解密。封包交換必須能快迷且具有高呑吐量地完成這 些動作。封包交換也必須能處理各種服務,其範圍從e mail到數位電視,乃至射包電話,其中e-mail僅需要在送 出之後經一段合理的時間f以f去 了](以小時叶)内到達,而數位電視 中的封包必須在一段固定的去 u疋的時間内到達其目的地,至於封 包電話則不僅嚴格限制兩封〶間 』了巴間隔時段,而且限制封包從 其來源至目的地在網路中的穿梭總時間。 現代的電子裝置中,藉南姓Ω 一 精由特殊目的硬體的使用已達成了 高速、高呑吐量、且滿足時間的限制,同時複雜的工作已 藉由可程式處理器所處理。芙
愚·於特殊目的硬體的裝置一般 快速,但筇貴、不能變更、R 且播法進行複雜的處理;基於 可程式處理器的裝置一般便貪、 且 可憂更、且能夠進行任何 本紙張尺度適用中固國家標準(CNS)A4 裝.----------1-----線! f-i·先閱讀背面之注項再乂本,) -9- 46 52 1 Α7 Β7 經濟部智慧財產局員工消費合作社印製 五、發明說明(7 ) t要的處理,但較慢。 问速封包交換因此須基於特殊目的硬體。如我們所預 期,此種封包交換具備快速、高呑吐量 '且能夠滿足時間 勺限制,但卻也筇貴、不容變更、且無法執行複雜的功 犯,例如濾波或加密/解密。此外,每一種運輸協定具有 其所抬的特殊硬體,且基於這項理由,變更一使用於高速 父換中的運輸協定必須變更交換機的特殊目的硬體。 、低速封包交換是基於可程式處理器。再次地,如我們 所預期,迢些交換機相對地較便宜,具有能力執行任何需 ^複雜度的功能,且處理變更運輸或其他協定時僅需修改 私式然而,基於可程式處理器的封包交換不具備特殊目 的硬體所製作之封包交換的高速 '高吞吐量、或滿足時間 限制之能力。 我們所而的封包又換是基於可程式處理器的封包交換特 性,必須具備可變更、低成本、且能夠執行複雜的功能, 但也能滿足時間P艮制且提供高速與高吞吐量。纟此所述之 數位通訊處理器的一項目的是提供此種封包交換。 發明概述 本發明藉由提供一種包括數個資料流處理器、一流脈絡 處理器、、-俾列管理器、與一緩衝器處理器之積體電路處 理資料流而克服前述一般封包交換與装置的問題。 -接收資料流的資料流處理器從資料流中抽出控制資 訊,將其提供至脈絡處理器,轉譯資料流之脈絡爲所需之 結果,使用此脈絡處理器所提供的結果以處理資料流。其 -10- 本紙張妨剌中國國家標毕(CNS)A4規格⑵〇Τ2·97公髮) ..-------------裝--- <猜先閱讀背面之注立0_項再':^、本頁) 訂-_
Mr --線. 4 6 52 1 1 Α7 _ Β7 五、發明說明(8) 經濟部智慧財產局員工消費合作社印一^ 中資料流欲進行更進一步地傳送,資料流處理器提供輸入 資料流中的酬載至緩衝器管理器以儲存於一緩衝器,並送 —編排佇列命令至佇列管理器。编排佇列命令包括—至少 含一識別緩衝器之標籤的描述符號,以及—對於仔列的仲 列指示,其傳送於資料流中’由資料流處理器所讀取。佇 列處理器將描述符號排列於適當的佇列中。當傳送資料々 處理器將描述符號從佇列中取出時,其使用標籤以取得緩 衝器中的酬載,並使用酬載,加上控制資訊作爲所需的輸 出資料流β描述符號完全由資料處理器上執行的程式所定 義’且於是’佇列管理器提供—種—般的機制,用以按順 序遞送資訊於一接收資料流與—傳送資料流間。 資料流處理器包括一接收處理器、一傳送處理器、— 制資料處理器'區域記憶體、及—提供接收處理^、傳 處理器、與緩衝器管理器間以及區域記憶體與緩衝器管 器間之DMA取存的DMA引擎。屬於每—資料流處理器_ 區域記憶體與屬於缓衝器管理器及佇列管理器之區域記1 體皆爲一單一全域位址空間的部&,且可藉由任何使用/ 域=址空間的裝置進行讀或窝。當_資料流處㈣正以 —資料流時,接收處理器與控制資料處理 ,._fc. J Μ卜歹丨J ; 式處理資料流:傳送處理器接收資料流,抽出資科流 控制資訊並將其遞送至控制資料處理器,且直接 取酬載至緩衝器管理器。當傳送處理器正處理資料;: 下-那分時’控制資料處理器使用脈絡處理器以對二 直接記憶體存取之部分的任何脈絡資訊進行處埋,並 控 送 .I t I I -------裝 i I (請先閱讀背面之注項再.,:¾本頁) 丁,. ^° -線- 11 - 本纸張尺度適用中國國家標準(CNS)A4規格(21〇了197公釐) 4 6 5 2 A7 B7 經濟部智慧財產局員工消費合作社印裂 五、發明說明(9 ) 含直接記憶體存取之酬载的描述符號之编排佇列命令至传 列管理器。一稱爲資料範圍的資料結構簡化控制資料處理 器與接收處理器之間的資訊交換。傳送處理器與控制資料 處理器間的交互作用本質上爲相同,但具有相反方向移動 的酬載。 資料流處理器包括序列資料流處理器與至少一平行資料 流處理器。平行資料流處理器可用以連接積體電路至其他 相同型式的積體電路、至匯流排、或至—交換組織。 序列資料處理器可高度地組織。藉由全域位址空間上的 暫存器所組織。每一序列資料處理器可接收與/或傳送分 離的資料流,或序列資料處理器群組可匯聚以協同處理一 資料流。一序列資料處理器的1/〇接聊可组織爲符合不同 物理媒介之電子設備,且也可組織爲—匯聚中的所有序列 資料流皆接收相同的輸入。一接收處理器或—傳送處理器 内的各種裝置可按需求而啓動或關閉,以處理特殊種類^ 接收或傳送的序列輸入流,且接收或傳送處理器也 告 已處理過的資料流。 ^ 熟知此項技藝的人士閲讀過以下關讯士找 ^ . 卜關於本發明的詳細説明 ”圖式之後,將明白本發明的其他目的與優點,丈圖式簡述 ’、c ,"宁: 圖1爲一網路中封包交換之方塊圖;圖2爲-包括本發明之數位訊號處 層方塊圖; 』匕人挾又问 圖3爲一本發明之數位通訊處理器之高層方塊圓. '12 本纸張尺度適用中國國家標準(CNS)A4規格(2W x 297 ?J~)------- 請先閱讀背面之;i^cv項再ν.Ή本頁) 裝 訂: ,β I— r— - 4S521V μ ___ B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(10) 圖4爲一數位通訊處理器中通道處理器之高層方塊圖; 圖5爲一全域位址空間圖; 圖6爲一通道處理器之區域記憶體圖; 圖7爲一通道處理器所接收之封包的處理流程; 圖8爲一通道處理器所輸出之封包的處理流程; 圖9顯示接收與傳送資料範圍; 圖10爲一接收處理器421之詳細方塊圖; 圖1 1爲一接收位元组處理器之方塊圖; 圖12爲一傳送處理器427之詳細方塊圖; 圖13爲通道處理器之一叢集的方塊圖; 圖14爲通道處理器之一叢集的區域與共用記憶體之方槐 圖; 圖15爲一執行處理器313之方塊圖; 圖〗6爲一组織處理器3 〇 3之方塊圖; 圖17爲一尺父與!^組織資料處理器之詳細方塊圖; 圖18顯示一藉由連接兩DCPsk進行的交換; 上圖19顯示一藉由連接多個㈣至一交換組織所 交換; 3 。圖2G顯示如何藉由連接—Dcp與其他型式的數位交換邏 輯至一父換組織而進行的交換; 圖21顯示-較佳具體實施例中列表.記憶體2〇7之細節; 圖2 2顯示TLE 3 01的内部架構; 圖23顯示暫存器儲存·與控制儲存2215之細節; 圖24顯示由TLE 301所執行的命令; 13- 本紙張尺度賴中國®家標準(CNS)A4規格(210 X 297^^ J-------------裝 Λ).,. {請先閱讀背面之注項本頁} ----訂-----
J 線ί ί. η 465211 A7 ____B7_____ 五、發明說明(11 ) 圖25顯示一接收通道處理器3〇7(i)與—傳送通道處理器 307(j)如何協同交換一運輸封包序列; ° 圖26爲一叢集内之RxSDPs 421的區聚實例; 圖27爲一叢集内之TxSDPs 427的匯聚實例; 圖2 8顯示一環狀匯流排訊息; 圖29顯示通道處理器連繫qme .3 0 5 ; 圖3 0顯示應用於一較佳具體實施例之編排佇列命令; 圖3 1顯示於一較佳具體實施例中的件列資料結構; 圖3 2顯示於一較佳具體實施例中的多重播送資科結構; 圖3 3爲一較佳具體實施例中MCL 3 123之細節; 圖3 4顯示用以管理彳宁列的各種组態; 圖35顯示QME 305之擴展介面; 圖3 6顯不计劃擴展介面之細節; 圖3 7顯示計劃擴展介面上之訊息的時序; 圖3 8顯示缓衝器管理之一邏輯全貌; 圖3 9顯示BME 3〇5命令之細節: 圖4 0顯示BME 305之硬體的細節; 圖4 1顯示SDRAM 229之内容的細節; 圖4 2顯不環狀匯流排介面的細節; 經濟部智慧財產局員工消費合作社印製 圖4 3顯示一基於實現全域匯流排3 1 9與酬載匯流排3 1 7 之匯流排結構; 圖4 4顯示圖4 3中匯流排結構上的長與短運算; 圖4 5顯示實現全域匯流排3 1 9與酬載匯流排3 1 7之細 節; -14- 本紙張尺度適用中國國家標準(CNS)AJ規格(2W >= 297公釐) 4 6 52 A7 B7 五、發明說明(12 圖46顯示組織接腳邏輯443之各種组態的細節;以及 圖4 7顯示用以组織接腳與接收及傳送處理器的。 式圖:中的參考數字具有三或更多位數:右邊兩位數爲圖 工餘位數所指不的參考數字。因此,具有參考 203疋項第一次出現於圖2中的2〇3。 詳細插述 。以下詳細描述將開始於一包括本發明之數位通訊處理 器’其數位封包交換之結構與操作的概觀,接著爲數位通 訊處理器之結構與操作的概觀,収隨後呈現數位通訊處 理器之元件的結構與操作之細節。 —包括數位通訊處理器之數位封包交換:圖2 圖2爲一封包交換機2〇1之方塊圖,其藉由—實現本發 明又數位通訊處理器的數位通訊處理器積體電路所建 立。積體電路20 1具有下列外部裝置的介面: •最多16對205(0._i5)之序列輸入2〇4與序列輸出2〇6,用 以根據運輸協定而將其發送或接收; •一 3 2位元輸出與3 2位元輪入至選擇性的數位交換裝置 (介面2 2 1 ); • PCI匯流排介面225至一選擇性的主處理器227 ; • 1 2 8位元寬的介面2 2 8至一 s D RAM缓衝器記憶體 229 ; • 一64位兀寬的介面至一SRAM轉譯列表記憶體“?;以 及 • 3 2位元寬的介面至一作列記憶體2 1 3。 接奢關於這些介面更詳細的描述,數位通訊處理器2 3 -15- 本紙張尺度適用中國國家標準(CNS)A4規格(2】0 X 297公爱) .-I1IHIIIHI! -11 (請先聞讀背面之注項再/ii馬本頁) ---訂--- -線· 經濟部智慧財產局員工消費合作社印製 4652 Α7 Β7 五、發明說明(13〉 可將程式設計爲使得位於—單—DCp 2〇3中的序列輸入與 輸出可用於許多不同的媒介與運輸協定。,DCp 2〇3上的 網路被變更時,Dcp 2〇3可改寫程式以處理新的網路安 排。可楮由連接若干序列輸入或輸出至高速協定的傳輸媒 ;1而掌控间速協疋。在—較佳的具體實施例中,媒介與運 輸協定包括 • 1 0百萬位元组的乙太; • 1 〇 〇百萬位元組的乙太: • 1十億位元組的乙太; • Τ1/Ε1 介面; • Τ3/Ε3 介面; • 0C-3c介面;以及 •0C-12c 介面。 DCP 203於輸入2〇4接收媒介封包並從輸出2〇6輸出媒介 封包。一媒介封包接收於一輸入2〇4與傳送於—輸出2〇6 之間的時間所發生的事件是取決於〇 C p如何程式設計。 DCP 203中程式設計的方式包括: •每y輸入具有一接收處理器,且每一輸出具有一傳送處 理器,這些處理器的程式可各別設計以處理不同型式的 經濟部智慧財產局員工消費合作社印製 媒介封包、運輸封包、及爲運輸封包中的酬載之封包; •輸入與輸出可被匯聚; •附屬於一封包流之狀態上的操作是可程式控制的;實例 爲位址轉譯與錯誤更正碼處理; •於D C P中封包之來源與目的地間的關係是可程式控制 的:以及 -16- 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 χ 2耵公 46 52 1 1 Α7 _ Β7 五、發明說明(14) •仗一封包來源遞送至一封包目的地的資訊是可程式控制 的,爲目的地上轉譯的方式。 對於一典型的封包交換應用中,DCP 203由程式設計爲 如下操作:當一輸入204中接收每一媒介封包時,Dc;p 203儲存來自媒介封包之酬載的資料於一緩衝記憶體2 2 9 中的一緩衝器2 3 1 ;此儲存資料在此稱爲一協定資料單元 或PDU ;在許多情形,p d U爲一運輸封包,是媒介封包之 酬載的部分。當一媒介封包被輸出,DCP 203從一緩衝器 23 1中檢索PDU,對其進行任何必要的修正(例如,變更 運輸封包中的路由資訊或變更運輸封包種類),並對媒介 封包增加協定資料。 經濟部智慧財產局員工消費合作社印製 DCP 203使用轉譯列表記憶體2〇7中的轉譯表2〇9按路線 遞送運輸與高層封包。一旦按路線遞送了封包,Dcp2〇3 對緩衝器23 1放置一描述符號2丨7,其包括佇列記憶體 2 1 3中一仔列2 1 5之尾端封包的p 〇 U,輸出2 〇 6欲輸出封 包的PDU。一般,每一佇列215附屬於—單—輸出2〇6, 但於一輸入2 0 4所接收之封包可置於任何佇列2〖5的尾 端,因此於一輸入204所接收之許可封包需透過多個輸出 206而輸出。-封包也可被多重播送,亦即,编排於一個 以上的佇列2!5。DCP 203接著從附屬於一輸出2〇6之佇列 的,端中取出描述符號217,並輸出由描述器所識別之缓 衝器2 3 1的内容至仔列的輸出2 〇 6。 DCP 203也可從-選擇性的數位交換组織接收封包資料 或對其提供封包資料,如221所示。交換组織可爲另1 -17- 本紙張尺度適用中0國家標準(CNS)A4規格(2〗〇χ297公釐〉 4 6 5 2 1 1 A/ ___ _ B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(15) 似封包交換機201之封包交換機,或可爲另一能夠按路線 遞送數位資料流之裝置。例如,封包交換機2 〇丨可與其他 封包交換機相連接至一交叉開關或甚至至一匯流排。接收 自介面221之封包資料或輸出至介面221之封包資料基本 上如上述接收於一序列輸入204之封包。最後,DCP 203 可藉由P C I匯流排2 2 5從選擇性的主機2 2 7接收封包資料 並提供封包資料至選擇性的主機227。 外部控制介面包括GPIO介面2 2 3與P C I匯流排介面225。 GI>IO介面2 2 3爲一多用途介面,用以監視並控制外部系統 元件,例如LEDs、非消逝性記憶體、物理層序列傳輸與接 收元件、以及電源供應器。P C I匯流排介面2 2 5進行DCP 203與一主處理器之間的通訊,其可控制交換系統2 且 也可執行鬲層操作’例如接收於系統2 〇 1之封包内容上的 存取檢查。 詳細的操作實例 相關技藝描述實例將用以更詳細地顯示封包交換機2 〇 j 之元件如何程式設計以按路線遞送封包。爲了舉例説明, 序列對205⑴之序列輸入204(i)接收一SONET封包流,其具 有一 ATM運輸封包流作爲其酬载β ATM運輸封包流具有 一到達IP節點109(n)之IP封包作爲其酬載,位於乙太LAN 105(a)所屬之裝置l〇7(n)上。乙太LAN 105(a)被連接至序列 對205(j)之序列輸出206(j)。由於封包交換機2 0 1被用以按 路線遞送I P封包,DCP 203已被程式設計爲掃描序列輸Λ 204(i)上之輸入運輸封包所包含I ρ封包之頭端的酬載。當 -18 - 本纸張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ----------------裂--- f靖先閱讀背面之注^1項^'_..各本頁) 訂: _ it '線. 46 52 1 1 A7 __-________B7______ 五、發明說明(ie) 發現一 IP封包頭端時,DCP 203開始將酬載從ATM運輸封 包送至缓衝記憶體2 2 9中之一缓衝器標籤2 3 3所指示的缓 衝器2 3 1。若I P封包較緩衝器長,則應用額外的緩衝器。 當I P封包正轉移至緩衝記憶體2 2 9時,DCP 203處理I p 封包之頭知中的資訊以決定Ϊ p封包如何按路線遞送,然後 按路線遞送IP封包。頭端資訊之處理是使用轉譯列表記憶 體207中的轉譯表。在此情形必須執行兩種轉譯:Ip封包 之頭端中的I p g的地位址必須被轉譯爲裝置1〇7(n)之乙太 位址’其上設定具有目的地位址之I p節點,且裝置1 〇7(n) 之乙太位址必須被轉譯爲一佇列記憶體2〖3中佇列的識 別’序列輸出206(j)從中輸出乙太封包。轉譯表的其中之 元素21 l(i)從I p目的地位址(ϊρΑ)至乙太位址(ENA)之轉 移示於轉譯表209(a)。 經濟部智慧財產局員工消費合作社印製 DCP 203使用來自π>封包之頭端與轉譯表2〇9(a)中的資訊 以產生一 IP封包之描述器207。描述器中包括乙太位址與 包含封包之缓衝器231的缓衝器標籤233。dcp 203接著將 描述器207置於佇列215(j)之尾端221,從序列輸出2〇6⑴ 將封包輸出。當描述器20 7到達佇列215⑴之頭端時,Dcp 203取出包含運輸封包之緩衝器23ι的内容,並將該内容 插入具有適合序列輸出206(j)之媒介協定的封包流中。這 些媒介封包具有乙太運輸封包作爲其酬載。使用資訊描述 器207,DCP 203將裝置l〇7(n)之乙太位址給予乙太運輸封 包。乙太運輸封包之酬載依序爲缓衝器標籤指示之緩衝器 中所儲存的I P封包。 -19 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公楚) 46521t A7 ___ ___ B7 五、發明說明(17) 這裡須注意的是DCP 203理當同時對1 6個輸入序列流與 1 6個輸出運輸封包流執行上述或變化後的操作,且在某些 情形爲透過PCI介面227而同時轉移資料流於其本身與介 面2 2 1中一數位開關之間,且/或其本身與—外部主機2 2 7 之間。此外,如上所解釋,在許多情形中封包交換運作是 由嚴格的時間限制所支配。如下面將作的更詳細解釋,設 計DCP 203之一項關键是提供Dcp 2〇3内之資料路徑與記憶 體結構,具有已描述之運作種類所要求的速度與延遲特 性。 DCP 203之結構:圖3與5 圖3爲一 DCP 203之内部結構的一高層方塊圖。出現於圖 3之圖2的元件具有與圖2相同的參考數字。開始於發送及 接收運輸封包之序列輸入及輸出205,每一序列配對2〇5 被連接至其本身之可程式控制的通道處理器3 〇 7,其處理 來自該配對的序列輸入與至該配對的序列輸出。因此較佳 具體實施例中具有16個通道處理器3〇7。對於非常高速的 運輸協定’最多4個通道處理器307可合併於一通道處理 器叢集,示於309。 經濟部智慧財產局員工消費合作社印糾^ ·--------------裝--- <請先閱讀背面之注4^項1/,^·本I) -線· 組織處理器3 0 3類似於通道處理器,除了其處理接收自 介面2 2 1及提供至介面2 2 1之平行資料。列表查照引擎 3 0 1使用轉譯列表記憶體2 〇 7中的列表而進行位址轉譯。 佇列管理引擎3 0 5管理描述器中的佇列2丨5。在某些具體 實施例中,佇列被儲存於DCp ic 203中的記憶體;其他具 體實施例中,佇列記憶體2 1 3爲一分離的外部記憶體。緩 -20- 本紙張尺度適用中國國家標準(CNS)A‘l規格(210 X 297公g ) 4 »52 1 f A7 -----... B7 _ 五、發明說明(18 ) 衝器g理引擎3 1 5官理緩衝記憶體2 2 9中的緩衝器2 3工。 執行處理器3 1 3初始化並維護其他元件中的資料,管理具 有選擇性外邵主機227之PCI匯流排介面、gpio介面,並執 行所需的高層處理。執行處理器3 13之程式與資料是儲存 於SDRAM 229。執行處理器313、通道處5里器3〇9、與組織 處理器303均使用丁1^301、(3则3〇5、與81^315之功能 以處理封包與/或碼框,且被將集合稱之爲封包處理器。 乂而這裡需指出一點爲,一封包處理器可不僅用以處理封 f,也可處理其他資料流,並考慮爲一般目的位元/半字 節/位元組或(組織處理器之情形)3 2_位元字流處理器。 DCP 203之所有處理元件爲可程式控制的。通道處理器 3 〇 7爲個別程式控制以處理不同種類的媒介封包、運輸封 包 '及運輸封包酬載,組織處理器3 〇 3爲程式控制以處理 應用於不同交換裝置之資料。表儲存器2〇7中的列表爲可 讀寫’且列表查照引擎3 〇 1可程式控制以進行不同種類的 列表查照。佇列管理引擎3 〇 5可程式控制以建立不同數目 的佇列,並使用佇列中不同大小的描述,緩衝器管理引擎 3 1 5可程式控制不同大小的緩衝區域,區域中具有不同緩 衝大小。最後,XP 3 13爲一般目的之處理器,並可程式控 制以執行任何功能。當Dcp 2〇3被初始化時,元件之程式 被載入。程式碼可藉由外部主機227载入SDRAM 229,或 儲存於BME 3 15所管理之位址空間的部分之外部PR0M。 XP 3 13在這兩種情形將程式碼載入元件的記憶體。 數位通訊處理器2 0 3之匯流排與記憶體結構,同時應用 -21 - 本紙張尺度酬悄㈣辟(CNSM4祕 <請先閱讀背面之注^項再Γ.^本頁) 裝 fe. _ ---訂--- --線 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印剩衣 A7 B7 五、發明說明(19) 列表查照引擎301、佇列管理引擎305、與緩衝器管理引擎 3 1 5作爲共享資源,促使DCP 203可滿足封包交換之速度 與時間限制。除了列表查照引擎3 0 1之外,數位通訊處理 益· 2 0 3之所有兀件共用一單一全域位址空間321。每一封 包處理器具有其本身在全域位址空間中的區域記憶體,且 可取存其他封包處理器之區域記憶體,該區域記憶體屬於 全域位址空間32 1且記憶體屬於BME 315與QME 305。每一 封包處理器可對其本身的區域記憶體進行直接存取,並藉 由一 3 2 -位元全域匯流排3 1 9對其他元件的區域記憶體進 行存取。此外,组織處理器3 0 3具有其本身至佇列管理器 305的路徑304。 圖5提供一全域位址空間3 2 1之概觀。全域位址空間具 有第一部分504,由通道處理器307(0.· 15)之區域記憶體 501所構成。部分504更進一步對於通道處理器之每一叢 集309分割爲叢集記憶體503。一給定之通道處理器307(i) 具有對其本身的區域記憶體進行最快速地存取,對其叢集 中其他通道處理器之區域記憶體進行次快速的存取,該叢 集一同構成其叢集記憶體5 0 3,且對於全域位址空間3 2 1 中的其他部分存取最慢。其區域記憶體爲全域位址空間 3 2 1之部分的其他元件爲组織處理器3 〇 3,其區域記憶體 可見於505,QME 305之區域記憶體可見於5〇7,BME 315之 區域記憶體可見於5 1 3,XP 3 13之區域記憶體可見於5 1 7。 共用全域位址空間的處理器一般可使用全域位址空間進行 處理器間的通訊’例如,處理器可設定信號於全域位址空 -22 - 本紙張尺度適用中國固家標準(CNS)A4規格(210 x 297公釐) ;-------------裝--------訂ί (請先閱讀背面之注*0項再,ί萬本頁)
Kai UH ME 1 465211 A7 經濟部智慧財產局員工消費合作社印製 B7__五、發明說明(2〇) 間以協調其運作。爲了產生並使用此信號,較佳具體實施 例中的處理器具有—「測試並設定位元」指令。其他可於 全域位址空間中獲得的資訊包括Q Μ E區域記憶體5 0 7中的 佇列狀態資訊505、缓衝器管理引擎區域記憶體5 1 3與全域 組態暫存器5 1 9中的緩衝狀態資訊5 15、以及;X Ρ區域記憶 體5 1 7中的系統介面組態資訊5 2 1 .。最後,QME 305將一 由封包處理器所讀取之佇列的佇列狀態資訊寫入封包處理 器之區域記憶體中。 接著圖3,每一元件之區域記憶體藉由酬載匯流排3 1 7 而被耦合至緩衝器管理引擎315。其爲一 128-位元寬的匯 流排,操作於四循環猝發以轉移最多6 4位元組的資料於 SDRAM 229與其他元件之間。透過酬載匯流排3 17轉移的 資料包括: •由執行處理器3 1 3所使用的程式與資料; •執行處理器3 1 3所用以组織DCP 203之資料; •欲轉移於SDRAM 229與一封包處理器之間的協定資料單 元; •緩衝器標籤2 3 3 ;以及 •由封包處理器所排列與解排列之描述器。 SDRAM 229與區域記憶體之間的轉移是藉由一直接記憶 體存取(DMA)機制所完成。進行轉移之元件提供/用以轉 移的DMA指令至DMA機制,且DMA機制接著不須要來 自元件的更進一步干涉而執行轉移。此安排允許協定資料 單元的轉移與其他由一元件的處理可平行存在,如此大量 -23- 本紙張尺度適用令國國家標準(CNS)A4规格(210 X 297公釐) ..-------------裝--- N)--*. (請先閱讀背面之注項^—知本頁) 訂: .L_ 線. 46 52 1 1 A7 B7 五、發明說明(21 ) 經濟部智慧財產局員工消費合作社印製 地增加運作之速度與DCP 203之呑吐量。 列表查照引擎與封包處理器全藉由環狀匯流排3u 而相連接。環狀麼流排3 11爲64位元寬且其連接的節點間 爲時間上多工。在任何給定的瞬間,每—這些元件被分配 有^至5個環狀匯流排時槽。每—時槽可載送64位元訊 息。因爲匯流排在其連接的節點間爲時間上多工,且每一 節點具有-預定最大數目的時槽,如此保證一訊息可在一 固定的時間内從環狀匯流排3丨i之一節點移至另一節點。 在了較佳之具體實施例中,執行處理器313使用環狀匯流 排訊息以组織並讀取表儲存器2〇7中的列表,且封包處理 器使用環狀匯流排訊息以提供資訊至列 行轉譯,且列表查照引擎301使用環狀匯流排、== 轉譯的結果至封包處理器。任何轉合至環狀匯流排川的 裝置可將環狀匯流排訊息送至任何轉合至環狀匯流排3ΐι 的裝置’以及從任何耦合至環狀匿流排3u的裝置接收環 狀匯流排訊息,因此在其他且碑音站如七仏八他~ 貫她例中,環狀匯流排訊 息可例如被用以協調與一構成叢集3〇9之通道處理器3〇7 的運作。 DCP 2〇3之元件合作實例 繼續圖之實例,其細節由圖3所述,atm封包流被 接收於輸入線2〇4(丨)上^輸入線2〇4〇)屬於通道處理器 307⑴。當ΑΤΜ封包到達時,通道處?里器3〇7⑴開始一系 列的DMA轉移,首先將封包轉移至通道處理器術⑴之區 域1己憶體,㈣轉移至通道處理器3D7⑴擁有之緩衝器標 -24 ^紙張尺度滷用中國國家標準(CNS)A4 (210 x 2971^ “-------------裝--- (請先閱讀背面之注t項本頁) 訂: -線. 1..., 465211 A7 B7 五、發明說明(22) 籤2 3 3所指示的一緩衝器23 !。接著,通道處理器3〇7(丨)掃 描IP封包之ATM封包的酬載。當其發現一 ίρ封包的開始 時,通道處理器3〇7(i)從I Ρ封包的頭端中抽出1?封包的目 的地位址,並產生—包含目的地位址之訊息給予列表查照 引擎3 0 1。在此情形,g的地位址指示連接至乙太 LAN 105(a)之裝置i〇7(n)上的ip節點1〇9(n)。乙太LAN 105(a)接收序列輸出206(j)所輸出之封包。通道處理器 307(i)接著將訊息置於其環狀匯流排3丨丨中時槽的其中之 一。當通道處理器307(i)接收訊息的回覆時,其中回覆包 含裝置107(n)之乙太位址與序列輸出2〇6⑴所服務之佇列的 數目m,其產生一描述器217(1〇,至少包含缓衝器的乙太 位址與緩衝器標籤2 3 3。通道處理器3〇7(i)接著藉由酬載 匯友排3 1 7將一編排作列命令寫入一屬於通道處理器3〇7(i) 之信箱中。編排佇列命令至少包括描述器2丨7(k)與佇列數 目m。佇列管理引擎3 〇 5藉由將描述器2丨7(k)置於佇列 215(m)之尾端22 1以回應命令。 序列輸出206(j)屬於通道處理器3〇7(j),其中佇列管理引 擎3 0 5提供來自佇列2 1 5(m)之頭端2 1 9的描述器。其藉由 透過酬載匯流排3 1 7以將一指示佇列2i5(m)之拆解佇列命 令寫至其信箱而完成。佇列管理引擎3 〇 5藉由透過酬載匯 流排3 1 7以將佇列2 1 5(m)之頭端2 1 9上的描述器2 1 7提供 至通道處理器307(j)而回應拆解佇列命令。 最後,佇列215(m)之頭端2 1 9上的描述器2 1 7爲描述器 217(k)。一旦通道處理器307⑴具有描述器217(k),其使用 -25- 本纸張尺度適用ΐ國國家標準(Ci\S)A4規格(210 X 297公爱) ;-------*-------裝--- (請先閱讀背面之注項^,'為本頁) 訂: 線_ 經濟部智慧財產局員工消費合作社印製 46 52 1 A7 B7 五、發明說明(23) 經濟部智慧財產局員工消費合作社印製 插述器217(k)中的缓衝器標籤2 3 3以開始—j p封包的d μ a 轉移,從緩衝器23 1轉移至通道處理器307(j)之區域記憶 體。當IP封包到達時,通道處理器3〇7(j)產生一乙太運輸 封包流,其定址於乙太裝置1〇7(n)且具有Ip封包作爲其酬 載,並將乙太封包流輸出至序列輸出2〇6⑴。封包中的乙 太位址當然來自描述器217(k)。 DCP 203之匯流排與記憶體架構之優點 由先前所述明顯可知,DCP2〇3之匯流排與記憶體架構 允沣一封包處理器進行封包頭端的處理、協定資料單元轉 移、以及平行編排侍列與拆解㈣;再者,不、$㈣流排 不僅提供不同的路徑,並且提供符合其上所執行運作之延 遲而求的路徑。因此,對時間最敏感的運作爲轉譯封包頭 端中的資訊,因爲路由必須在轉譯結果獲得之後才能進 仃。由於每一封包處理器具有環狀匯流排3丨丨上的時槽, 每一封包處理器具有一段存取列表查照引擎3 〇 1的保證時 間,於是,轉譯的時間限制可獲得滿足。 另方面,封包處理器與SDRAM 229之間的協定資料單 凡轉移需要高頻寬的猝發,正好由DMA轉移透過區域記 隐姐與SDRAM 229之間的酬載匯流排3丨7所提供。緩衝器 管理引擎3 i 5與-通道處理器3()7⑴之緩衝標籤的轉移以 及仵列管理引擎3 0 5至一通道處理器3〇7(i)之描述器的轉 移也同樣是具有時效性的,因此也由酬載匯流排317所進 行。 幸又不具時政性的運作可由全域位址空間3 2丨讀與寫所完 -26 - 本紙張尺度刺中關家標準(CNS)A4規格⑵Q X 297公釐) 請 先 閱 讀 背 之 注 t 裝 訂 線 4652 1 A7 B7 五、發明說明(24) 成。此等讀與是取料μ於㈣ 位址。最少的讀寫時間是對於給定處理器本身"止土間纪 空間的區域部分’次少的讀寫時間是處:=划 器的叢集3〇9,最長的讀寫時間是處理哭 於二定處理 器的叢集3 0 9。 时勢於给定處理 事實上除了 TLE 301(外,Dcp如之所μ ^ :位址空間32卜使得處理器交互通訊容 二、二王 處理器313可簡單地藉由將資料寫入其全域位 :二 的部分而相始化且/或重新組織其他元件,—封:2』 3 0 7可簡單地藉由從屬„些裝置之全域位址空間 中讀取狀態資訊而獲得關則宁列管理引擎3Q5管理^ ⑴、緩衝器管理引擎315管理緩衝器231之狀態資訊, 或其他位於其叢集3 〇 9之封包處理器的狀態,並且處理器 可藉由全域位址空間中的信號而協調彼此的運作。一接^ 封包處理器可更進一步使用全域位址空間於某些應用中, 將接收之協定資料單元直接寫入輸出協定資料單元之傳送 封包處理器的區域記憶體。執行處理器313最後可使用全 域位址空間以決定每一個處理器的狀態,藉此該執行處理 器3 13可分享全域位址空間。 經濟部智慧財產局員工消費合作社印製 全域位址空間運作非常頻繁,以特殊的硬體來支援。例 如’组織處理器3 0 3具有其本身對於佇列管理引擎3 〇 5之 全域位址空間進行私有的存取,且因此不需要打擾全域匯 液排3 1 9而能夠獲得佇列狀態資訊。相似地,每一封包處 理器對於其全域位址空間之部分中的QME 3 05内之其信箱 -27- 本紙張尺度適用_國國家標準(CNS)A4規格(210x 297公楚) A7 46521t 五、發明說明(25 ) 具有狀態位元,且這些位元直接連接至仔列管理引擎 3 0 5,致使每一封包處理器可不需要打擾全域匯流排319 而能夠決定其信箱之狀態。 封包處理器之細節 以下首先將詳細描述通道處理器3〇7(〇··η),然後描述組 織處理器3 0 3,最後描述執行處理器3 1 3。 通道處理器3〇7(i)之概觀,·圖4與6 圖4爲一通道處理器307⑴之元件的方塊圖。如圖3所 不,通道處理器307(i)藉由序列輸入2〇4(^接收序列封包輸 人,藉由序列輸出206⑴提供序列封包輸出,被連接至環 狀匯流排3 1 1且具有其時槽,並連接至酬載匯流排3丨7與 全域匯流排3 19。醒聚路徑4 3 3與43 5以及叢集路徑#^ 與4 3 9允許通道處理器3〇7⑴與其叢集3〇9中其他通道處理 器307進行匯聚,以處理極高速的傳輸媒介。 經濟部智慧財產局員工消費合作社印製 於一高層中,通道處理器3〇7(i)具有三元件:通道處理 器精簡指令運算核心(CPRC)4G1,爲—般目的之處理器, 用以控制其他元件的運作及存取全域位址空間321,序列 資料處理器(SDP) 420 ’進行關於接收來自序列輸入2〇4⑴ 的封包與輸出封包至序列輸出2〇6⑴的處理,以及〇 μ a ^ 擎4 1 1,藉由全域醒流排3丨7處理通道處理器丨)與 BME 315或QME 305(間的資料轉移。SDp 42〇與通道處理 器精簡指令運算核心401均藉由環狀匿流排介面415而連 接至環狀匯流排311。聊42()具有兩次元件:處理輸入封 包(RxSDP 421與處理輸出封包的TxSDp似。 -28- 本紙張t關家縣(CNS)A4祕⑽ 46 52 1 1 A7 B7 五、發明說明(26 ) 經濟部智慧財產局員工消費合作社印製 接著關於CPRC401的細節,CPRC40i爲一 一般目的微處 理機,其應用一組爲人熟知的MIPS1指令集。其可於環狀 匯流排3 11上收發訊息並與SDp 42〇共用兩暫存器檔案。 抽取空間4 17用以儲存SDP 420從輸入封包中所抽取的協 定資料以供CPRC 40 1使用,而合併空間419用以將CpRC 4〇1 所提供之協定資料儲存至SDP 420以產生輸出封包。 CPRC具有四種脈絡,即獨立的暫存器檔案组。cpRC 4〇1 可切換於脈絡間,以程式或硬體中斷回應一 BREAK命令。 脈絡之順序是根據其數値而定’脈絡〇具有最高的優先順 序,且脈絡3具有最低順序。每一脈絡具有一脈絡輸入暫 存器及一脈絡返回暫存器;當一脈絡遭變更時,於目前脈 絡中欲執行的下一個指令之位址被儲存於目前脈絡之脈絡 返回暫存器’繼續以儲存於新脈絡之脈絡輸入暫存器中的 位址上之指令來執行。 有五種系統事件可造成脈絡交換: •主機重置 ,非可遮蔽中斷 •偵錯中斷 •使用者中斷1 •使用者中斷2 主機重置事件與偵錯中斷事件之脈絡輸入暫存器的設定 是系統所定義的;而其他事件則爲可程式控制的。 指令記憶體4 0 3包括CPRC 40 1之執行碼。其僅可由CPRC 401與0卩307(〇所屬叢集3 09之其他〇?3 307中的匸?11匚5進行 -29- (請先閱讀背面之注項tii禽本頁) 裝 —:L1 ---訂ί •線 本紙張尺度適用中國國家標準(CNS)A4規格(2Ιϋ X 297公g ) 經濟部智慧財產局員工消費合作社印製 46 52 1 1 A7 B7 五、發明說明(27) 讀寫。在一較佳具體實施例中,依下列方式將執行碼載入 IMEM 403 :首先,執行處理器3 1 3藉由全域匯流排3 1 9而 將執行碼載入DMEM 407 ’然後CPRC 401將執行碼從 DMEM 407轉移至 IMEM 403。 DM.EM 405爲通道處理器307(i)之區域資料記憶體。其用 以供CPRC 401進行區域儲存以及藉由酬載匯流排4 1 3進行 直接記憶體存取資料。DMEM 405、抽取空間4 Π、及合併 空間4 1 9皆爲全域位址空間3 2 1的部分,且可藉由全域匯 流排3 1 7而對通道處理器307(i)中叢集309之其他通道處理 器307以及DCP 203中的其他處理器進行存取。除了 DMEM 405以外,在一較佳具體實施例中所實現的記憶體 元件爲要求FIFO 406、MUX 407、全域匯流排介面4 13、以 及酬載匯流排介面4 1 1。M U X 4 0 7在R X S D P 4 2 1、 TxSDP 427、酬載匯流排介面411、與要求FIFO 406之間對 DMEM 405進行多工存耳又。要求FIFO 406依序允許耦合至 全域匯流排3 1 9之CCP 203中的其他處理器對DMEM 405進 行存取,由CPRC 401對DMEM 405與全域位址空間3 2 1進 行存取,以及由CP 3 07(i)中叢集309之通道處理器307内 的其他CPRC,s 401對DMEM 405進行存取。Mux 407、 DMEM 405、以及酬載匯流徘4 1 1 一同製成DMA引擎 4 4 1,藉由酬載匯流排3 1 7執行SRAM 229與CPRC 40 1與 SDP 420之間的DMA運作。 以上的配置暗示著RxSDP 42 1、TxSDP 427與酬載匯流排 介面4 1 1對DMEM 411具有第一優先存取,而全域匯流排 -30- 本紙張尺度適用中國囿家標準(CNS)A4規格(210 X 297公釐) ~~
-I I-----------裝·----- - 訂1—!線 — I .) fj. (請先閱讀背面之注4^_項^_肾本頁) V 46 52 1 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(28) 介面413、CPRC 401與其他CPRCs 401必須爭奪剩餘的存 取。該配置因此對SDp 420與DMEM 405之間以及dmeivj 4〇5 與SDRAM 229之間的協定資料單元之dma轉移給予第一 優先權》 繼續説明序列資料處理器4 2 〇之元件的細節,RxSDp 42 j 專用輸入封包流之處理。其從輸入流中抽出包含協定資料 的欄位,並藉由環狀匯流排介面4丨3而提供欄位的内容至 環狀匯流排3 1 1,或藉由抽取空間4 1 7而提供攔位的内容 至CPRC 401。其藉由DMA轉移而提供來自封包流中的協 定資料單元至DMEM 4〇5。RxSDP 421具有三個主要的次元 件:接腳邏輯443,接收表示傳輸媒介中之封包流的物理 訊號,碼框支應處理器4 0 7,定位封包流中的媒介封包與 運輸封包,以及位元組處理器453,從運輸封包及其酬載 中抽出協定資訊,同時經由路徑4 2 5而將運輸封包送上 DMEM 405。位元組處理器4 5 1可將抽出之協定資訊置於 柚取空間4 1 7中並/或藉由環狀匯流排介面4丨5而置於一環 狀匯流排訊息。
TxSDP 427專用以產生一輸出運輸封包流,運送TxSDp 427 藉由DMA而從DMEM 405獲得之協定資料單元。爲了完成 此工作,其將CPRC 40 1已置於合併空間4丨9中的協定資料 合併至協定資料單元。TxSDP 427中的元件在功能上可與 RxSDP 421中的元件相容。因此,位元組處理器4 5 3操縱 運輸封包中的協定資料及其酬載’瑪框支應處理器4 4 9提 供媒介封包所需的協定資料,接腳邏輯4 4 5將資料放入物 -31 - 本纸張尺度適用中國國家標準(cns)a4規格(210χ297 -----裝 i I (請先閱讀背面之注ο項秦ί^寫本頁) 訂.. --線- A7 B7 經 濟 部 智 慧 財 產 局 員 工 消 費 合 社 印 製 4652 1 五、發明說明(29 ) 理媒介輸出所需的型式中。 SDP 420之更進一步相關的特徵爲循環路徑4 4 1與匯聚路 徑4 3 3與4 3 5。循環路徑4 4 1允許儲存於DMEM 405中的封 包回到RxSDP 421以進行更進一步的處理,並輸出至 DMEM 405。匯聚路徑4 3 3允許一叢集3 〇 9中的所有 RxSDPs 421接收相同輸入資料,且匯聚路徑4 3 $允許 丁xSDP 427接收從CP 3〇7⑴所屬叢集中的其他cps 3〇7内之 TxSDPs所輸出的資料。 通道處理器3 〇 7之運作例:圖2 5 圖25顯示一接收通道處理器3〇7(i)如何與傳送通道處理 器307⑴協調於一屬於接收通道處理器3〇7⑴之輸入2〇4⑴ 上接收一包含運輸封包序列之媒介封包流,並於一屬於輸 出傳送通逍處理器307(j)之輸出2〇6⑴上輸出一包含運輪封 包流之媒介封包序列,其酬載爲通道處理器307⑴所接收 之運輸封包㈣載。接收與傳送之媒介封包與運輸封包理 當屬於不同的協定。 接收之媒介封包被接收於通道處理器中的 R:SDP 42 i。RxSDP 421從運輸封包及其酬載中抽出 料至抽取空間4 1 7,製作運於4+ —以〇 ' 表邛連輸封包的DM As協定資料置元 藉由DMEM 405與酬载匯流排 平兀 瓜徘3 1 7而运至BME 3 I 5,其胳枰 定資料單元置於SDRAM 229 t f + 料留--r a 、人。 T日7,皮衡斋2 3 ί,其中協定資 科早兀可見於2 5 0 3。同時,搞士南 貝 呼通埂處理器3 〇 7 ( Π中的 CPRCMtH使用協定資料以製 * 衣,F 钿迷益217,遞交$
305以藉由酬載匯流排3丨 至QME 17進仃編排佇列(這裡未顯示藉由
-32
經濟部智慧財產局員工消費合作社印製 iS52 1 1 at B7 — —___ -- -— -— 五、發明說明(30 ) 環狀匯流排3 1 1將某些協定資料送至TLE 301以進行轉 譯)。當CPRC 401發送欲被編排佇列之描述器2 1 7時, CPRC 401指示將其編排佇列於一佇列2 1 5之尾端,佇列的 頭端是由傳送通道處理器3〇7(j)所讀取。QME 305將描述 器207編排佇列於指定佇列2 1 5之尾端。 當通遒處理器307(j)從佇列2 1 5之碉端將一描述器2 0 7拆 解佇列時,QME 305藉由酬載匯流排3 1 7將其送至通道處 理器307(j)。通道處理器307(j)使用描述器207以製作封包 流之協定資料,欲輸出並將協定資料置於合併空間4 1 9。 其接著起始一 DMA運作,將協定資料單元2503從 SDRAM 229中的缓衝器2 3 1藉由酬載匯流排317與DMEM 405 而轉移至序列資料處理器420。這裡,TxSDP 427增加協定 資料以因應產生一輸出206(j)之媒介封包流2505的需要, 其運送來自204(i)所接收之封包的協定資料單元25〇3。 區域記憶體5 0 1之細節:圖6 圖6顯示通道處理器307(i)之區域記憶體5 〇 1。如先前所 不’所有區域記憶體501 (i)可由共用全域位址空間3 2 1之 數位通訊處理器203的任何元件進行讀或寫。 資料範圍625(0)與625(1) 如上所述,RxSDP 42 1將輸入封包流直接記憶體存取送 至SDRAM 229,且同時從輸入封包,流中抽出協定資料並將 其 k供予 CPRC 401 進行處理,當TxSDp421&SDRAM229 中接收輸出協疋資料單元時,其同時接收來自CpRC 4〇丨之 協疋資料並將其置於輸出封包流中的適當位置。對於一給 33 - ΙΙΙΙΙΙΙΙΙ — —! — ' I I I I I I I — li — 1 — ll — I '1 (請先閱讀背面之注項再v‘^本頁) 表纸張尺度朝 t ® p (CNS)A4(210 x 297公釐) 46 52 1 1 A- -- B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(31) 定的運輸,包,則處理牵涉兩步驟。在一輸入封包方面爲 •抽出協定資料並將協定資料直接記憶體存取至SDRAM 229; 以及 •於CPRC 401中處理協定資料。 於通道處理器307中,這兩步驟爲流管式運作:在輸入 封包流的情形中,CPRC 4〇1處理從先前協定資料單元中所 抽出的協定資料,同時RxSDP42U^封包流中抽出協定資 料且目前來自封包流中的協定資料進行直接記憶體存取。 處理傳輸也是以相同的方式,以TxSDp 421傳送目前的協定資料單元,同時CPRC 401處理欲包括下一協定資料單元 的協定資料。 藉由資料範圍625(0)與625(1)使流管式運作得以達成。這 些爲可看見且可由CPRC 401進行存取,與SDp 42〇控制運 作以及CPRC 401與SDP 420間的交互作用之資料結構。一 給定的資料範圍625(i)於資料範圍事件暫存器632中包含 一組資料範圍暫存器6 2 4與一組旗標63。資料範園暫存 器624更進一步由一Τχ資科範圍M丨與—Rx資料範園643 所組成。Rx資料範圍64 3於第一步驟中接收RxSDp42i& 輸入封包流中所抽出的協定資料,且cpRC4〇i於第二步驟 中處理協定資料·,相似地,Τχ資料範圍⑷於第一步驟中 接收CPRC 401處理以輸出封包流之協定資料,且τχ5ϋρ427 於第二步驟中輸出來自Tx資料範圍643之協定資料。 除了提供封包處理的流管式運作之外,資料範圍⑴也 提供CPRC 40 1上執行程式的一制式介面至SDp,s d 請 先 閱 讀 背 面 之 注
I %裝 頁 訂 線 -34 4652 1 1 B7 經濟部智慧財產局員工消費合作杜印製 五、發明說明(32 ) 目前所接收或TxSDP 427目前所傳送之封包流中。在一封 包流需要更進一步處理的應用上,資料範圍的數目可以增 加。例如,牵涉處理一輸入流之RxSDP處理,儲存DMEM 405 中所造成的P D U,使用循環路徑4 4 1以再次處理儲存於 DMEM 405中的P D U,以及將最後P D U直接記憶體存取至 SDRAM 229可牵涉四個資料範園。 執行於CPRC 401上的程式決定那一資料範圍是目前由 SDP 420所使用。當SDP 420正抽出協定資料並合併來自資 料範圍625(0)之協定資料時,CPRC 401處理資料範圍621(1) 中的協定資料。當SDP 420完成資料範圍625(0)時,其通知 CPRC 401且CPRC 401設定資料範園625(1)致使SDP 420可 開始對其工作,並開始自行工作於資料範園62 1(0)上。 繼續資料範圍625(i)之内容的更詳細部分,Rx資料範圍 643包括抽取暫存器601,其包括RxSDP 601所抽出的協定 資訊,RxCB 633,其包括將RxSDP 42 1所接收之封包直接 記憶體存取至SDRAM 229所需的資訊,以及RX狀態6 3 5, 其包括關於RxSDP 42 1之狀態資訊,包括是否完成工作中 之封包的直接記憶體存取。T X資料範圍6 4 1包括類似進行 封包傳輸的暫存器。合併暫存器603包括欲與輸出封包合 併的協定資料,TxCB 633包括將TxSDP所欲傳送之封包從 SDRAM 229進行直接記憶體存取所需的資訊,以及包含關 於TxSDP 427之狀態資訊的T X狀態,包括是否完成工作中 之封包的直接記憶體存取。 控制方塊暫存器6 1 1 -35- <請先閱讀背面之注^0項本頁) 裝 訂: .線_ 本紙張尺度適用中國國家標準(CNS)A4規格(2〗〇χ 297公釐) 46 521 1 A7 _____B7 五、發明說明(33 ) 控制方塊暫存器6 1 1爲一組四個暫存器,控制cpRC 4〇1 與SDRAM 229之間的DM A轉移。—WrCB 6 10控制從 CPRC 401 至 SDRAM 229 之 DMA轉移,且一 RdCB 控制 〇]^八轉移至€?11〇401。 環狀匯流排控制6 1 7 這些暫存器爲環狀匯流排介面4丨5之部分。其允許 RxSDP 421與CPRC 401發送環狀區流排與cpRC 4〇丨上的訊 息’並接收環狀匯流排上的訊息。4個暫存器供發送訊 息,8個暫存器供接收cp 307(i)所發送之訊息的回覆,以 及一供接收未經請求之訊息的暫存器佇列。 SONET頂端位元6 i 2 該暫存器包含CP 307(1)欲輸出之封包的s〇NET頂端位 元0
RxSDP控制 6 1 3 與 TxSDP控制 6 1 5 這些暫存器包括控制RXSDP 421與丁?^1:)1> 427運作之個別 的參數。 CP模式暫存器625 該暫存器包括控制CP 307⑴運作之參數。 SDP模式暫存器627 該暫存器包括控制SDP 420運作之參數。 佇列狀態6 2 1 佇列狀% 62 1包含關於通道處理器3〇7⑴之位3〇5 中的仏釦之狀態資訊,以及關於通道處理器307(i)欲讀取 足仔列狀態的資訊,指示通道處理器3〇7(〇之信箱狀態的 _ -36- 本紙―中 請 先 閱 讀 背 面 之 注
頁 訂
讓 經 濟 部 智 慧 財 產 局 員 工 消 費 合 -it 社 印 t 4 B 5 2 1 1 A7 B7 五、發明說明(34 ) 暫存器之硬體直接由QME 305所控制。對暫存器進行讀與 窝因此不會產生全域匯流排3 1 9上的傳輸量。3藉 由酬載匯流排3 1 7對欲由通道處理器3〇7(i)讀取之佇列的 狀態進行直接記憶體存取至DMEM 405。 事件時序6 2 0 此暫存器包括一事件時序,可由執行於CPRC 4〇丨中的軟 體設定及啓動·,當時序到期,CPRC 401之事件機制回應— 事件結果。 循環計數暫存器6 1 9 循環計數暫存器6 1 9包含一計數器値、一時脈分割値、 與一c C啓動位元。CPRC 40 1可設定計數器値、時脈分割 値與C C啓動位元。時脈分割値指定計數器相*scpRC 4〇1 之時脈的增加率。當CPRC 401設定C C啓動位元時,計數 器開始執行;當CPRC 401清除C C啓動位元時,計數器停 止執行。目前的計數器値並不受設定或清除c C啓動位元 所影響。 事件暫存器6 3 1 此暫存器包含指示一 CPRC 401必須回應之非同步事件是 否已發生之旗標。包括兩類事件:一般事件,其旗標位於 暫存器6 3 0,以及關於資料範圍6 2 5之事件,其旗標位於 資料範圍事件暫存器632。 所有暫存器,一CPRC 401也可在其區域資料記憶體4〇5 中存取資料,並可設定如上所述之SDRAM 229與區域資料 記憶體4 0 5間以及S D P與SDRAM 229間藉由區域記憶體 -37- 本纸張尺度通用中國國家標準规格(21〇 X 297公釐) -------------農— 請先閱讀背面之注t項声ί^本頁) 訂. -線 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作钍印製 4 6 5 2 1 1 A? B7 五、發明說明(35 ) 405所進行之DMA轉移。 CPRC 401、RxSDP 421與TxSDP 427之協調實例的細節: 圖7-9 圖7提供RxSDP 421與CPRC 401如何設計程式以交互處理 輸入封包之一概觀。流程圖7 0 1不僅顯示執行該動作,而 且RxSDP 421、CPRC 401、與QME 305執行該動作。開始於 703 ’ 一輸入封包由 RXSDP 421 (705)所讀取。RxSDp 421 之讀取爲何是取決於封包中資料的位置。rxSDP 42丨必須 處理二種資訊:協定資料單元、必須由TLE 3〇1轉譯之協 定資訊、以及必須由CPRC 40 1處理之協定資訊。如方塊 7〇7所示’ RxSDP 421使用DMA以轉移協定資料單元,同 時藉由DMEM 405將其讀取至SDRAM 229 ·,如709所示,
RxSDP 421使用環狀匯流排311以發送一含必須由TLE 3〇1 轉譯之協定資訊的訊息至TLE 301 ;如7 1 1所示,最後, RxSDP 421使用抽取空間60丨以轉移cpRC 4〇1需要處理之 協定資訊至CPRC 401。 於方塊713,CPRC 401使用其所接收自rxSdp 421之資訊 及其接收自TLE 301之回應RxSDP 421的訊息以決定應對運 輸封包進行何種處理。若運輸封包爲無效,肇因於其内容 已被污損或因其酬載是來自一受到封包交換機濾除的來 源,則CPRC 401遮蔽該封包棄置。爲了反應遮蔽(包含於 DMA時所增加的尾端),DMA引擎停止傳送,且BME315 釋放接收封包的緩衝器2 3 1。 若運輸封包有效’ CPRC 401使用其接收自TLE 301之資 -38- 本紙献度賴中關規格⑵g χ撕公度) ;丨!丨丨丨丨丨1丨丨丨_^i I (請先閱讀背面之注0項再^-本頁) 訂· --線· 4652 1 1 . A/ __________ B7 五、發明說明(36 ) 訊以及抽取空間6 0 1中的資訊以決定來自封包之協定資料 欲放入的佇列2 1 5,以及產生該佇列之一描述器2〖7。接 著’於步驟7 5 1,其將一包含描述器之编排佇列命令與件 列的數目置於協定資料單元欲放入的酬載匯流排3 i 7中。 QME 305藉由將描述器217放入適當的件列215中以反 應編排佇列命令。如方塊717、7 19與721所示,共有三大類 的佇列,取決於讀取佇列之DCP 203的元件:*ΧΡ處理器 3 1 3所讀取之佇列;由一通道處理器3 〇 7所讀取之佇列; 以及由组織處理器3 0 3所讀取的佇列。在由χρ處理器3 i 3 所讀取之佇列方面’對應於描述器的協定資料單元可以前 往主機2 2 7 ;在由组織處理器3 〇 3所讀取的佇列方面,對 應於描述器的協定資料單元前往—交換組織。 這裡應指出的是因爲RxSDP 421 ' CPIlC 401與QME 305皆 爲獨立操作的處理器,因此流程圖7 〇丨所示之處理可平行 進行。RxSDP 421與CPRC 401之流管式運作已説明過;此 外,CPRC401並不須要等待QME3〇5之回應cpRC4〇i的佇 列命令。 ,經濟部智慧財產局員工消費合作社印製 繼續詳述關於資料範園6 2 5在CPRC 401與尺以]3? 421之 交互作用中的角色,接收資料範園6 4 3之細節示於圖9。 以Rx狀態暫存器6 3 5爲開始,該暫存器指示RxSDp 421與 CPRC4G1之間交互作關狀態;該暫存器具有四項有關的 攔位·· OWN欄位935由硬體所設定;位元指示RxSDp 421或 CPRC 401目前是否正使用!^狀態6 3 5目前所屬的資料範 圍625 45:1^0 93 7爲六個握手位元’由{^_421與 -39- 4 G 5 2 1 1 A7 經濟部智慧財產局員工消費合阼、Fi中 B7五、發明說明(37 ) C PRC 401在程式控制下設定與重置。Busy 941由RxS DP 941 所設定並指示RxSDP 421是否忙碌中。Tx狀態639之功能 與内容相似於R X狀態6 3 5。 RxCB 633於直接記憶體存取輸入封包期間支配RxSDP 421 與DMA引擎44 1之間的交互作用。當CPRC 401擁有屬於 RxCB 633之資料範圍625⑴時,其設定RxCB 633,且當 RxSDP 421與CPRC 401依序爲資料範園625(i)之擁有者時, RxSDP 421使用RxCB 033以繼續直接記憶體存取輸入封 包。大部分RxCB 633中的欄位包含各種需要用以執行DMA 的位址資訊。缓衝池數目909、BTAG 933、與Offset 931 — 同將DRAM 229中的位置指定給目前正寫入由RxSDP 42 1所 接收之封包的DMA引擎441。 DRAM 229被分割成緩衝池,稍後將作較詳細的解釋。 BTAG 933爲池中緩衝器的缓衝器標籤2 3 3,且offset 931爲 目前資料欲寫入緩衝器的位移。當.D Μ A引擎4 4 1寫入資 料時,其更新offset 93 1。DMEM DMA位址9 0 7爲從目前 DMA引擎441直接記憶體存取資料至DRAM 229之 DMEM 405中的1 6 -位元組資料線之位址。Txrcy位址9 0 5 與Rxrcy位址903爲當RxSDP 421從DMEM 405中再循環資 料時,其使用之特殊位址。 Txrcy位址905將DMEM 405線指定予目前由DM A引擎 44 1對TxSDP 427所窝入的資料,而Rxrcy位址9 0 3將 DMEM 405線指定予目前RxSDP 421窝人的資料。因此在其 寫入SDRAM 229之前或之後,這些位址允許RxSDP 421再 -40 - 1------------ 裝--- <請先閱讀背面之注ο項秦ik本頁) 訂· .線. 本紙張尺度適用中國國家標準(CNS)A4規格(2〗0>=297公釐> 4 經濟部智慧財產局員工消費合作社印®ί 52 11 A? _B7五、發明說明(38 ) 循環封包。DMEM位元組位址9 0 1爲DMEM 405中的線,供 目前RxSDP 421寫入資料。 RxDBCTL 913 包含支配 CPRC 401、RxSDP 421 與 DMA 引 擎4 4 1之間交互作用的狀態欄位: • Avail 929指示RxCB 633是否可使用; • NR 927指示DMA引擎44 1在放棄轉移之前所應進行至 DRAM 229之轉移的要求數目; • Error 925指示目前由RxCB 933所進行的轉移期間是否已 發生錯誤; • Own 921指示RxSDP 421寫至DMEM 405的線中以及DM A 引擎411從DMEM 405線中讀取是否目前由RxSDP 421寫 入或由DM A引擎41 1讀取; • EO 917由RxSDP 421所設定,當其遭遇欲窝入DMEM 405 内線之資料中的一封包指示器之尾端時; • ST 915爲SDP 420之目前狀態; • BCTL狀態9 1 9爲酬載匯流排3 1 7之目前狀態;以及 • Length 9 11由RxSDP 42 1所設定。其爲RxSDP 42 1正寫入 QMEM 405内線中的資料長度。 TxCB 637本質上與RxCB 633相似,除了支配DMA轉移 爲相反方向及具有對應該方向之糊位以外。 當 RxSDP 421 設定 OWN 位元 93 5、L2 Done 937、或 Rx 狀 態暫存器6 3 6中的LI done 939 '或RxCB 633中的Avail位元 9 2 9時,其結果爲一至CPRC 401的中斷;產生中斷的動作 是由RxSDP所在範圍内之資料範圍事件暫存器6 3 2中的位 元所指示當設定發生問題時。相同的配置被使用於T X資 -41 - ”-------------裝--- (請先閱讀背面之注項¾本頁) 訂· 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 4652 1 1 A7 經濟部智慧財產局員工消費合作社印製 B7五、發明說明(39) 料範圍6 4 1中對應的位元。 起初,CPRC 401已設定資料範圍625(0)且對RxSDP 421 給予擁有權。CPRC 401立即擁有資料範圍625(1)。當一封 包到達時,RxSDP 42 1抽出協定資料並將其寫至抽取空間 暫存器601(0)。其發送一包含需要轉譯之協定資料的訊息 至Txmsg 645(0)中的TLE 301。查照的結果將出現於一 RxResp暫存器647(0,i)中。當此過程持續中,RxSDP 421開 始將協定資料單元寫至11父匚3 633(0)所指定之〇“£1^ 405中 的線。當全部的線已被接收,RxSDP 421設定RX狀態6 3 5 中的擁有者位元,以指示CPRC 401現在已擁有資料範圍 625(0),設定RxCB 633(0)中的擁有者位元92 1以指示 DMA引擎411現在可讀取其已寫入的線,並產生一自動交 換RxSDP 421至資料範圍910(1)之訊號。RxSDP 421接著決 定資料範園625(1)之擁有者位元9 3 5以判斷CPRC 401是否 仍控制它。若CPRC 40丨已控制,則RxSDP 42 1在其開始處 理下一封包之前先等待,直到CPRC 401交出資料範圍 625(1)之控制。處理同上述,除了 RxSDP 421使用資料範 圍625(1)之資源代替資料範圍625(0)。 當RxSDP 421正處理接收資料範圍625(1 )時,CPRC 401 則處理接收資料範圍625(0)。其測試並/或移除RxSDP 421置 於抽取空間暫存器601 (0)之協定資料,測試RxCB 633(0)以 決定DMA至SDRAM 229是否已無錯誤地完成,並設定 RxCB 633(0)以供RxSDP 421下一次使用。其接著使用將 RxSDP 421置於抽取暫存器ό 0 1之協定資料,以及任何接 -42- — I丨1丨! 裝i — 請先閱讀背面之-;±.0項14,.1^本頁) 線_ 本紙張尺度適用中國國家標準(CNS)A4規格(210 >= 297公釐) 經濟部智慧財產局員工消貲合作社印" 4 6 5 2 1 1 A7 ______ B7 五、發明說明(4〇 ) 收自RxRsp結構647(0,i)中之TLE 301的轉譯,以產生一欲 窝至SDRAM 229之資料的描述器’且將描述器放入通道處 理器3 0 7之QME 305中的信箱。當全部完成時,其設定擁 有者位元935(0)以使得RXSDP 421可再次獲得接收資料範 圍625(0)。若擁有者位元935(1)被設定,顯示RXSDP 421已 完成接收資料範圍625(1),CPRC 401以上述相同於接收資 料範圍625(0)的方式處理接收資料範圍625(i)。 圖8提供一 CPRC 401與TxSDP 429如何於一傳送運輸協定 流中交互作用的概觀。傳送遠較接收簡單,因爲輸出封包 僅需被組譯並傳送,不需轉譯。傳輸上大部分工作是由 CPRC 401所完成。開始於803,CPRC 401首先執行迴路 805 ;亦即,其檢查接收來自qME 3〇5之佇列狀態資訊以判 斷一仔列217中是否有一描述器欲由通道處理器3〇7(丨)所 讀取。如8 0 7所示,若有一個,則CPRC 401將一拆解佇列 命令置於酬載匯流排3 1 7上並從QME 305中接收描述器, 同樣是藉由酬載匯流排3 17。CPRC 401接著依照欲傳送 (811)之封包所需而使用描述器中的資訊以設定合併暫存器 603,使用描述器中的缓衝器標籤以設定Τχ控制方塊暫存 器637中的暫存器,將緩衝器中的内容從sdraM 229轉移 至TxSDP 427(813) ’且然後啓動轉移(815)。當狀態915或 EOP 917顯示轉移之終結時,cpRC 401釋放TxSDP 427及其 他牽涉轉移(817)的資源。TxSDP 427與CPRC 401以相同於 RxSDP 421與CPRC 4〇1之型式輪替使用資料範圍625(〇)與 (1) °因爲資料範圍之間的輪替是由CPRC 401所執行之程式 本紙張尺度適用中國國家標準(CN:S)A4規格(2】〇x 297公釐) ^)/.. \J. (請先閲讀背面之注項14/^-本頁> 裝 4- 經濟部智慧財產局員工消費合作社印裂 4bb21 1 A7 ------ -B7五 '發明說明(41 ) 加以控制’此程式可執行任何當i Μ 、J WH· 1士 1 j布要配置之TxS]DP 427與 RxSDP 421間的資料範圍所表示之資源。 RxSDP 421之細節:圖1 〇與! 1 RXsDP42mTxSDP 427對每—通道處理器如⑴提供一 可程式設計的介面,介於序列輸入2〇4⑴、序列輸出 2〇6(〇、與通道處理器307(i)的其他元件之間。一給定的序 列輸入204⑴可因此被程式設計爲適當地處理屬於給定協 定,媒介與運輸封包,且一給定的序列輸出2〇4(j)可被程 式设計爲輸出屬於给定協定之媒介與運輸封包。每一SDp 421 或427具有其本身的微程式儲存及獨立的暫存器設定。 圖10爲RxSDP 421之一方塊圖。元件爲—處理器序列與 FIFOS,其轉換序列輸入成爲平行輸入,並先以媒介封包 層處理輸入,然後以運輸封包層或更高層處理。某些處理 器被特定用以處理特殊的協定。可程式設計—直接旁通路 徑以允許資料直接旁通任何處理器與/或FIF0s。概觀上, 元件如下: •可組織的物理邏輯443,接收來自物理層的序列輸入並 將其轉譯以產生一 1 0 -位元碼流。 •接腳介面204(i) ’接收來自物理層的1 〇 _位元碼。 • 8b/10b解碼1001,從接收來自接腳邏輯443之10-位元碼 中產生位元組; •小的FIFO 1003 ’其爲一非同步pIF〇(佇列),含有不同之 可程式設計的讀取與寫入時脈。寫入時脈執行於輸入資 料流所需的頻率,而讀取時脈則執行於CPRC 40 1的速 (請先閱讀背面之注0項Θ、寫本頁) 裝 訂- -線· -44 - 本紙張尺度適用中國國家標準(CNS)A4規格(2KU 297公髮) 465211 A7 B7 五、發明說明(42 ) 度°在一較佳的具體實施例中,nF〇 1〇〇3爲八個9_位元 字深。 •接收位元處理器1〇〇5,進行圖樣匹配以及其接收自小 FIFO 1003之位元組流上的櫚位抽取,其具有透過路徑 1005而前往抽取空間4丨7之抽取欄位。 *接收SONET碼框器1〇〇7,處理SONET碼框。其將碼框中 的資料擾頻,從碼框中移除協定資料,檢查同位偵錯, 並藉由路徑l〇〇g將協定資料寫入抽取空間4 1 7。 •接收同步處理器1009,處理ATM細胞。其求取細胞邊 界’移除協定資料,開始一含協定資料的T l E運作,將 酬載解擾頻,並由路徑丨019將協定資料寫入抽取空間 4 1 7 〇 •大的接收非同步FIF0 l〇U,爲一非同步FIF〇。在一較佳 具體實施例中,FIF0 1011爲64個10-位元字深。FIF01〇n 主要用以籌劃一A T Μ細胞,其中的V PI / V CI由T L E 3 0 1 查表獲得’且用以提供高速接收封包之彈性。 •接收位元組處理器1013於微碼控制下操作以進行圖樣匹 配及9 -位元字資料上的攔位抽取。 直接旁通路徑1015是由多工器1〇〇2、1〇〇6、1〇14、與ι〇ι〇 所實現。多工器1010也實現再循環路徑441。直接旁通與 再循環路徑可藉由設定S D Ρ模式6 2 7中的位元而動態再組 織。資料可藉由RXSDP控制6 1 3中的暫存器而直接旁通 CPRC 401與位元處理器1005、同步處理器1〇〇9、或位元組 處理器1013之間。 如先前所説明’通道處理器307可被匯聚而處理非常高 -45- 本紙張尺度適用中國國家標準(CNS)A4規格(2l〇x四7公釐) I丨!丨!1丨丨I -裝i I (請先閱讀背面之注項& ;寫本頁> 訂- -線· 經濟部智慧財產局員工消費合阼^沪逆· 4652 1 A7 B7 經濟部智慧財產局員工消費合作社印φι衣 五、發明說明(43 ) 速的資料流。當匯聚進行時,匯聚通道處理器操作如—波 管式運作,匯聚通道處理器的每一部分依序處理資料的— 部分。一匯聚之RxSDPs 421間的協調是藉由標記匯流排 1027、1017與1028而達成。例如,在一區聚十,—啓動的 Rx位元處理器1005將只有在其已由標記匯流排1〇〇4所標 記時才處理輸入資料流。由於用以處理—輸入流之 RxSDP 421的元件随著輸入流的種類而變化,因此需要若 干的標記匯流排。 可組織接腳邏輯4 4 3之細節:圖4 6與4 7 可组織接聊邏輯4 4 3可以兩種方式组織: •提供一輸入流至一叢集的其中—、二、或四個SDps 420, 或從中接收一輸入流;以及 •藉由欲傳送之輸入流或輸出流,於媒介所需之不同的物 理介面中進行。 第一種组態爲一種元件,允許一叢集3〇9中通道處理器 3 0 7之E聚處理非常高速的輸入或輸出流;第二種組態允 許DCP 203不需要於DCP 203外部增加装置而與不同傳輸媒 介一同使用以調適接收自媒介的訊號供DCP 203使用。這 兩種組態均由一通道處理器之區域記憶體内的暫存器所控 制。該暫存器可由通道處理器本身或χρ 3 13所控制。 圖46爲一表4601,顯示一DCP 203中的叢集309如何被 组織以接收並產生遵照RMII ' OC-3、DS1 ' DS3、GMII、 TBI與OC-12標準之岸列資料流。行4603列出叢集中的每— 通道處理Is之I / Ο接聊;行4.6 0 5指示接聊的一般目的;因 -46- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公楚) .... .. (請先閱讀背面之注rv.項本頁) •裝 _ 1 --丨訂ί —線 46 52 1 1 Δ. A/ ____ B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(44 ) 此,在每—通道處理器中,1/〇接腳〇與1是對於時脈訊 號’而剩餘接腳則是對於資料。剩餘行顯示接腳如何使用 於每一媒介中:行4607指示其如何使用於RMil ; 4609指示 其如何使用於OC-3 ; 4611指示其如何使用於DS3 ; 4615指 示當叢集中的兩通道處理器被用以接收資料且兩通道處理 器被用以傳送,其如何使用於GMII ; 4617指示當通道處理 器用於相同型式時,其如何使用於TBI ; 4619指示其如何 使用於OC-12,其中一叢集中的通道處理器分別爲傳送器 與接收機。 各種媒介需要不同種類之!/〇接腳的驅動器與接收機; 因此’可组織的接腳邏輯4 4 3中之每一 I /〇接腳具有一三 態驅動器、一 TTL驅動器、以及一 PECL驅動器。有了例 如OC-3之媒介,其使用PECL邏輯,I/O接腳對被組織成不 同的配對’如行4609所示。 圖47顯示接腳模式暫存器4701與SDP模式暫存器4713。 每一通道處理器307具有每一這些暫存器的其中之一。暫 存器4701決定如何組織通道處理器之I / 〇接腳。Data Cnfg bits 4 7 03爲四位元,決定ί / 〇接腳是否將由—三態驅動 器、一TTL驅動器、或一 PECL驅動器所驅動。Rxcik Mux 4705與TxClk Mux 4707個別指定那一接聊用以載送接 收及/或傳送時脈訊號^ Rx資料致能47〇9指定那—接腳將 用以接收資料。Tx資料致能4711最後指定那一接腳將用 以傳送資料。 SDP模式暫存器4713包含控制RxSDP 421之那一元件啓 -47 - 本紙張尺度適用中國國家標準(CNS)A4規格(^10>=297公釐) ~ -· 4 6 5 2 1 11 經 濟 部 智 慧 財 產 局 消 費 合 作 社 印 製
B7 五、發明說明(45 ) 動、再循環電路之何部分啓動、及何種匯聚目前被用於通 道處理器309所屬之叢集的位元。具有一通道處理器之 丁乂80? 427的相似暫存器。1^£11位元4715指示通道處理器 之RxSDP 421是否被啓動;位元4717指示其位元組處理器 1013是否被啓動;位元4719指示其位元组處理器ι〇〇5是否 被啓動;位元4721指示Rx Sonet碼框器1〇〇7是否被啓動; 位元4723指示Rx sync處理器1009是否被啓動。下兩位元供 再循環控制,包含指示再循環至位元组處理器1 〇 13之位元 .4 7 2 5,以及從抽取空間4 1 7再循環至位元處理器1 〇 〇 5之位 元4729。匯聚模式攔位4731爲一指示叢集中是否沒有匯聚 之雙位元攔位、雙向匯聚(即兩通道處理器接收及兩傳 送)、或四向匯聚(所有四通道處理器爲接收或傳送)。 SDPs中之處理器的實現:圖1 1 圖1 1顯示SDPs中之處理器如何實現。詳細顯示於此之處 理器爲RxByte處理器1013,但Rxbit處理器1〇〇5中的處理器 與receive synch處理器1 〇〇9相似。Sonet碼框器1〇〇7爲一可 組織之狀態機,其使用一類似圖1 I中的處理器來實現。 如1^17又1107中所示’尺』>^處理器1013接收來自大 FIFO 1011之外部輸入。RxByte處理器1〇13可藉由乂⑽1123 而提供外部輸出至環狀匯流排介面4i5、抽取空間417、或 缓衝器1025,其依序提供協定資料單元至DMEM 4〇5。内 部連至RxByte處理器ι〇π之元件包括: •控=儲存U01,其包含由處理器1〇13所執行之微碼,以 及藉由提供L制處理器! 〇! 3之其他元件的控制訊號(虛線) -48 - 本纸張尺㈣㈣關家鮮(CNS>A4規格(2Γ〇_χ 297公 A7 46521i _ B7__ 五、發明說明(46 ) 以反應目前定址之微指令。控制儲存丨101可藉由Χρ 313 而載入。 •下一位址邏輯1105,其藉由選擇控制儲存丨1〇1中欲執行 的下一微指令以反應來自控制儲存丨i 〇 5之控制訊號與來 自狀況碼多工器1121之輸入,以及内容-可定址記憶體 (CAM 1117)。 “ & •計數器1109與一般暫存器ιι15。計數器保持目前進行之 處理中封包内位元位置之追蹤。總共有四個8位元計數 器。1109(0·.3)可組織爲2個1 6位元計數器,且由計數突 至下一位址邏輯1105之輸入所示,微碼可指定取決於計 數器値的動作。一般暫存器1115爲六個8_位元暫存器, 用以儲存處理時所使用的資料。 • CAM(内容可定址記憶體)U17: CAM爲用於圖樣匹配之 一記憶體。每一CAM中的細胞包含一圖樣,且當資料被 呈現至CAM,其E配於儲存在一 CAM細胞中的圖樣 時,CAM輸出CAM中細胞的位置。微碼可指定取決於 CAM之輸出値的動作。CAM 1117*cpRC 4〇1與χρ 313所 載入。CAM中共有64個9-位元字,且CAM可被程式分 割爲最多16個「邏輯CAMs J 。 經濟部智慧財產局員工消費合作社印#·/π • CRC 1111爲一用以執行循環冗餘檢查之特殊硬體。可包 含其他特殊硬體以作爲對封包進行解擾頻。 • AL U 1119爲一結合高速旋轉器之8_位元alu。 由前述可知,XP3U設定Rx位元组處理器1〇n以藉由載 入CTL儲存110〖與CAM 1117而進行操作。cpRc扣丨以― 重置訊號而停止及啓動位元组處理器1〇丨3。 -49- 本纸張尺度漣用中國國家標準(CNS)A4規格(210 X 297公爱 4652 A7 一 五、發明說明(47 經濟部智慧財產局員工消費合作fi,;pt 一旦位元组處理器1013被設定運作,便將其所接收之每 一位元組呈現予CAM m7。若一指示運輸封包之開始的 情況符合,則控制邏輯1105開始執行用以處理運輸封包之 微碼。計數器被設定,且位元组處理器1013依照CAM U7 與計數器値之更進一步相匹配的指示而處理封包。可以由 微碼中(圖樣 '遮蔽、長度)或(位移 '圖樣、遮蔽、長度) 指定匹配,其中位移爲封包中的位移,遮蔽指示「不考 慮」位元,而長度指示匹配之位元數目。協定資料由運輸 封L中抽出且透過路徑1019而到達抽取空間417或環狀匯 流排4面4 1 5,且協足資料單元被抽出並透過路徑4 2 $而 送至位元組緩衝器丨0 2 5,從那裡直接記憶體存取至 DMEM 405之一線中。抽取是由微碼中(位移、長度、暫存 器位址)所指定,纟中位移爲封包中的位移,長度爲欄位 中欲被抽取的位元長度,而暫存器位址爲欄位欲儲存之— 般暫存器1115中一暫存器的位址。 RX位元處理器1 〇〇5之細節 繼續爲RxSDP 421之元件所執行的功能細節,R X位元處 理器1005類似RX位元组處理器1〇13,除了其具有一線性 回饋移位暫存器而取代CRC 1111。線性回饋移位暫存器可 組織爲長度達3 2位元,且具有與資科流有關的多項式與位 置。線性回饋移位暫存器被用以產生切碎値或其他封包内 容値^ Rx位元處理器1105處理RxSDp421S最低層所接收 之位元組流。因此,其可程式設計爲檢測11〇1^碼框與無 效序列、移除充填零、於一〇C_3資料流中求取STS碼框、' 請先閲讀背面之注ο項一<3^本頁) 裂 _ -線. 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)' 4652 1 1 Α7 Β7 五、發明說明(48 ) 經濟部智慧財產局員工消fb乍i 以及求取並删除輸入乙太碼框之前文。 接收SONET碼框器1007之細節 接收SONET碼框器1007處理SONET碼框^碼框器1〇〇7可 從Rx位元處理益1 005中接收碼框,或藉由bypass 1 q 1 5直接 從接腳介面204(i)中接收碼框。至s〇NET碼框器1007的輸 入包括由連接至接脚介面204(i)之物理層介面晶片所回復 的接收時脈碼框同步訊號,以及包含於碼框中的8位元資 料。一SONET碼框包括運輸頭端與一包含碼框酬載的同步 酬載包封(SPE)。包含於運輸頭端者爲一指向同步酬載包 封之指示器》同步酬載包封包括路徑頭端位元組。 接收SONET碼框器1〇07對S0NET碼框中的位元組進行解 擾頻、同位元檢查、並藉由路徑1〇〇8將運輸頭端窝入抽取 S間。接收SONET碼框器1〇〇7更進一步將STS指示器進行 轉譯以求取S P E。求得之後,其檢查同位元,並將s p E之 路徑頭端寫入抽取空間。SPE中的酬載更進一步依酬載種 類所需而遞送至RxSDP 421之元件中。例如,若酬載爲 ATM細胞’其被遞送至接收同步處理器丨〇〇9。在較佳之 具體實施例中,接收S0NET碼框器1〇〇7並未拆解spE酬載 之多工。 接收同步處理器1 〇〇9之細節 接收同步處理器1 〇〇9專用以處理a τ Μ細胞。一 A T Μ細 胞包含5 3位元組。5位元组爲頭端,包含一虛擬路徑指示 器、一虛擬通道指示器、—酬載型式指示器、一細胞漏失 先後和示器、一般流程控制資訊與—頭端錯誤檢查位元。 -51 - 本紙張尺度刺巾_家標準^ (請先閱讀背面之注 裝i I 本頁) 訂: --線· 4652 1 1 A7 ------------B7 _ 五、發明說明(49 ) 剩餘48位兀組爲酬載。接收同步處理器1〇〇9藉由應用頭端 錯誤檢查連續至5位元組序列,取序列中第五位元组作爲 序列中前面4位元組的頭端錯誤檢查位元,以決定一位元 組流是否爲一 ATM細胞流。若頭端錯誤檢查失敗,則接 收同步處理器1009繼續嘗試,若頭端錯誤檢查成功,則接 收同步處理器1009已發現Α τΜ細胞。若其發現一列中細 胞的可程式設計數目,則其同步於一 Α τ Μ細胞流。其繼 續同步直到一連續的頭端錯誤檢查可程式設計數目失敗, 這指示同步處理器1〇〇9不再同步於一 αΤΜ細胞流。 當接收同步處理器1009同步於一 ATM細胞流時,其剖析 ATM細胞之頭端並輸出頭端内容至抽取空間。接收同步 處理器1009更進一步藉由將其解擾頻而處理酬載,並藉由 附加一狀態位元組至酬載,致使當細胞的頭端檢查失敗 時,其可以被捨棄。一般來説,從接收同步處理器1〇〇9輸 出之酬載前往大的FIFO 1011,大的FIFO 1〇11具有足夠的 冰度以允终T L E在需要更進一步處理酬載之前查得vpf VCI。 接收位元組處理器1 0 1 3之細節 經濟部智慧財產局員X.省腎η 接收位元組處理器1013可程式設計爲執行若干功能: •其掌控SONET上PPP之HDLC逃離序列; •其進行32-位元CRC檢查,包括乙太與AAL5之碼框檢查 序列; •當通道處理器307(i)已與其他處理器相匯聚以處理 1000BASE-X十億位元Ethernet時,接收位元組處理器 -52- 本紙張尺度適用中國國家標準(CNS)A4規格<210x 297公Μ ) 46 52 A7 B7 五、發明說明(50 ) 經濟部智慧財產局員I.有Arhtt ^ 1013進行乙太定義符號之辨認;使用再循環路徑,接收 位元組處理器1013可與其他處理器相匯聚以處理τ】與 T3資料速率。循環路徑也允許多通道HDLC之處理及加 密/解密;以及 •當接收位元組處理器1013檢測出碼框終結時,其將—狀 態字寫入抽取空間。 •接收位元組處理器10 13從一 A T Μ細胞之頭端中抽出 VPI/VCI ’並藉由一環狀匯流排311而將一包含虛擬路徑 指示器與虚擬通道指示器之訊息送至TLE 301。TLE 301 藉由送一訊息回到通道處理器307(i)以反應指示具有VPI-VCI結合之A Τ Μ流的輸出佇列。 接收位元组處理器1013處理9 -位元字《其運作已詳細描 述過。 元件之協調實例 以下例中,假設RxSDP421之接脚介面2〇4(i)被連接至一 光纖電纜,傳送於上的酬載資料是使用S Ο N E T協定。 S ONET碼框中的酬載資料爲A Τ Μ細胞,且頭端具有一特 殊V Ρ I / V C I配對之A Τ Μ細胞中的酬載爲一 I ρ封包。 RxSDP 421已程式設計爲從SONET碼框中抽出ATM細胞並 處理A Τ Μ細胞。 來自SONET碼框中的位元組首先前往rX位元處理器 1005 ’ Rx位元處理器1005將其送至SONET碼框器1007上。 R X位元處理器1005也撿測碼框的開始並發送一訊號指示 其到達接收SONET碼框器1007。接收SONET碼框器1007進 行解擾頻’同位元檢查,並將酬載資料定位於SONET碼框 -53- 本纸張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐} i丨!丨丨丨丨1丨丨丨*裝il (請先閱讀背面之注ο項A.!, it本頁) 訂- -線' 46 52 1 1 A7 (-------—_ B7五、發明說明(51 ) 經濟部智慧財產鬲員r.肖 中。ATM細胞之酬載前往接收同步處理器刚9,其檢測 ATM細胞:讀取其頭端、並將其中的資訊送至抽取空間 4 17。接著’ ATM細胞之酬載前往&位元組處理器 1〇13,其將ATM細胞之VPi/vcm對送至tle遍進行轉 譯:並從包含於ATM封包之酬載中的任何封包之頭端讀 取資訊至抽取空間4 1 7。 TxSDP 427之細節:圖12 TxSDP 427與RxSDP 421運作相反:也就是其&SDRAM 229 中接收一協定資料,並依其目的地所需的型式增加必要的 協定資料以輸出協定資料單元與物理介面至接腳介面2〇6〇 所連接處。再次地,運作爲階層式的,運輸封包之協定資 料被增加於協定資料之前作爲媒介封包。圖12顯示TxSDP 427之細節。協定資料單元藉由路徑4 3 I而從DMEM 405到 達16位元组緩衝器1229,由丁义3716處理器1213讀取;協定 資料藉由路徑4 2 9而從合併空間4 1 9前往Tx SONET碼框器 1207與Tx位元處理器1205及TxByte處理器1213。至 RxSDP 421之再循環路徑是位於441 ;多工器1206、1204與 1202實現直接旁通路徑1215 ^匯聚路徑1223允許一給定的 TxSDP 427增加媒介協定資料至一運輸封包流,該運輸封 包流是由給定之TxSDP 427相匯聚的其他TxSDPs 427所產 生。當一給定的TxSDP 427爲一匯聚的部分時,TxByte處 理器1213之輸出由TxByte標記匯流排1225上之標記所控 制。TxSDP 427之元件類似於RxSDP 427之名稱相似的元 件,除了其功能爲增加協定資料至一協定資料單元流,而 -------------裝— 請先閲讀背面之注^2>項.^'寫本頁) 0 訂: -線- -54 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 4 6 5 2 1 1 A7 B7 五、發明說明(52 ) % % 非將其抽出。功能上不同之—結果爲TxSDp 427&無提供 用以發送環狀匯流排訊息。TxStatus 639*TxCB 637具有 相似於Rx資料範圍643之對應元件的功能,除了方向;同 之外。TXSDP州615中的暫存器更進—步允許cpRc 4〇丨與 TXSDP427進行通訊,且SDP模式627中的暫存器組織直 接旁通路徑。 元件如下所列,依輸出處理的順序排列: • TX位元組處理器1213可程式設計爲&DMEM 4〇5中讀取 一協定資料單元,並實現攔位插入、删除與置換。了欠位 兀组處理器1213也可程式設計爲藉由預先決定ATM頭端 於48-位元組的協定資料單元而產生ATM細胞,並選擇 性地擾頻細胞内容。當沒有協定資料單元須要傳送時, Tx位元組處理器1213產生閉置atm細胞。 •大的非同步FIFO 1211爲64字深及9位元寬,提供處理器 12 13所執行之攔位插入與删除之彈性需求。pip〇〗2 u以 核心時脈速率寫入,且可以核心時脈或序列時.脈速率讀 取〇 • SONET碼框器1207產生SONET碼框器,其中TxByte處理 器1213之輸出爲酬載。 • T X位元處理器1205爲一智慧型平行轉序列處理器。於程 式的控制下’其實現接收資科之欄位插入 '删除與置 換5輸入資料爲8位元寬,且輸出資料每次爲1、2、或4 位元,取決於物理介面。處理器丨2〇5包含--般目的線 性回饋移位暫存器。 •小的FIFO 1203 :資料以核心時脈速度寫入此fifo ,並以 55- 本紙張尺度適用中國國家標準(CNS)ZVl規格(210 x 297公釐 (請先閱讀背面之項Λ.—f窝本頁) 裝 _ ^i ί ? 46 52 1 1 A7 B7 -——- 11 .-. -一 . 五、發明說明(53 ) 序列時脈速度從中讀取。FIFO爲8字深及9位元寬。 •8b/10b編碼器1201進行資料之8b/10b编碼。 處理器1213、_1207、與1205爲可程式控制,且具有與如 上所述之Rx位元組處理器1013相同的一般内部結構。 藉由一實例來説明元件之協調,其與RxSDP 42 1所使用 者相反:輸入爲一 IP封包之協定資料單元;輸出爲一 SONET碼框,其具有ATM細胞作爲其酬載,ATM細胞依 序具有IP封包作爲其酬載。IP封包最後儲存於SRAM 229, 從SRAM 229直接記憶體存取至DMEM 405 :需要用以形成 AT Μ細胞與SONET碼框之協定資料位於合併空間4 1 9中。 I P封包以4 8 -位元組資料量從DMEM 405中讀取;Tx位元 組處理器1213對每一 48-位元組資料量產生一 ATM頭端, 且生成之ATM細胞前往大的FIFO 1211,由SONET碼框器 1207將其讀取。SONET碼框器1207包裹ATM細胞成爲 ATM碼框的酬載,並增加所需的s〇net協定資料。 SONET碼框接著輸出至T X位元處理器1205,T X位元處理 器1205將其序列化並輸出至小的FIF〇 12〇3,從這裡前往 编碼器1201,再從那裡至接腳介面2〇6(i)。 使用具有PDH電話通訊之通道處’理器30? 經濟部智慧財產局員工消費合作社印製 長途電話服務供應業者使用數位電話線路遞送長途電話 已有多年。在這些系統中,通話連接之電話所產生的音頻 訊號被數位化成爲1 -位元组取樣點,且來自許多通話的數 位取樣點與取樣點的遞送資訊被多重播送於電話線路上。 然而取樣點與其遞送資訊可視爲非常簡單的封包,這些 -56-本纸張尺度適用中國國家標準(CNS)A4規格(2WX297公釐) 465211 A7 B7 五、發明說明(54 ) 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 系統中沒有階層式的封包,且接收埠與傳輸埠爲固定。於 是’並不需要查照表、描述器佇列、或SdraM 229中封包 的緩衝益。接收通道處理器3〇7(i)反而可從這事實中獲得 利益’其與傳送通道處理器(』)共用全域位址空間3 2 1,且 簡單地將每一取樣點寫入傳送通道處理器3 〇 7 (〗)之 DMEM 4〇5中的一佇列,傳送通道處理器3〇7(j)中的 CPRC 401管理此仔列。 通道處理器3 07之匯聚.·圖13、u、26_27 如先刖所述,通道處理器3 〇 7安排於四通道處理器3 〇 7 之叢集309中。叢集中通道處理器之配置允許其相匯聚, 致使其可以—快於單一通道處理器3 0 7之儘可能的速度進 仃接收或傳送。在一較佳具體實施例中,匯聚被用以傳送 及接收oc-12c與十億位元乙太協定。有了 〇c_12c協定,叢 集中的其中兩個四通道處理器可用以接收資料,且其他雨 個可用以傳送資料’或可以使用兩個叢集,一個用以接收 資料’另-用以傳送資料…十億位元乙太協定,可使 用兩叢集,其中之—叢集中的四通道處理器被^根據協 定進行接收’另—叢集中的四通道處理器被用以 進行傳送。 在此以接收匯聚一詞代表匯聚—群通道處理器而接收/ 協定;相對應地,匯聚一群通道處理器以傳送一记Α θ 傳送匯聚一詞代表。龙拉收PJ甩由含 2 .疋疋以 定中接…1 每一通道處理器從協 疋中接收所有輸人,但僅處理其部分而已。在 中,每-通道處理器接收協定之輸出部分,並輸出其要: 57- 本^張尺度刺中關家標準(CNS)A4規格⑵Q χ挪公爱 請 先 閲 讀 背 之 注 v. 項 I裝 訂 線
J
I 46 52 1 A7 B7 經濟部智慧財產局員工消費合作杜印製 五、發明說明(55 ) 通道處理器提供輸出至傳輸媒介之部分。叢集中通道處理 器之序列I/O接腳以一種型式連接,致使叢集中的所有通 道處理器接收相同的序列輸入。其也可能組織匯聚通道處 理器致使其全邵皆由相同的時序所控制。以共用記憶體中 的旗標所實現之標記最後被用以協調叢集中通道處理器之 運作。匯聚是藉由設定叢集中所屬之通道處理器的組態暫 存器而完成。 圖1 3爲一允許較佳具體實施例中之匯聚的配置細節。顯 示出四通道處理器307(』,0._3)之一叢集3〇9(』)。至一叢集的 輸入疋依下列方式匯聚:給定2 8個叢集I / 〇接腳13 0 1,每 一通道處理器307(j,k)具有7個I/O接腳CPP(0..6)。叢集I/O 接腳1301(0)爲通道處理器I/O接腳13〇3(〇,〇),CLP 1301(2) 爲 CPP 1303(0,1),如此一直到 CLP 1301(27),爲CPP 1303(3,6)。 接腳交互連接致使於任何CLP 130 1(0)、CLP 1301(7)、 CLP 1301(14)、與CLP 1301(21)之輸入同時於所有cpp 1303(0,0)、 1303(1,0)、1303(2,0)、與1 303(3,0)上接收。輸出是藉由圖 1 2所示之細胞/碼框匯聚路徑丨223而匯聚。如圖中所示, 來自每一TxSDP 425(j,i)之輸出1441、1223被連接至叢集中 每一其他之TxSDPs 427的多工器1208,且一給定的 TxSDP 425(j,i)可因此接收來自叢集中任何其他TxSDPs 427 之大FIFO 2122的輸出,並可於大FIFO 1023之後的裝置中 處理輸出。一給定RxSDP 421或TxSDP 427與其叢集之關係 如何是由SDP模式627中設定位元所決定。 每一通道處理器307更進一步具有一時脈多工器1 307, -58 - (請先閱讀背面之注ο項一i:食本頁) 裝 訂: .一 ;線_ 本紙强尺度適用中國國家標準(CNS)A4規格(210x297公釐)
^6521 II 1 A7 " ----------- 五、發明說明(56 ) 允許其從11個時脈輸入中選擇其中之_。時脈輸入之其中 八個、外邵全域時脈輸人13G9(G..7)作爲數位通道處理器 1C 203之外部時脈;時脈輸人之其中兩個、哪Lc )⑴爲 全域時脈輸入,其由一通道處理器所回復,並提供至其他 通道處理器’其中之一輸入、區域時脈i3i3作爲數位通道 處理器1C 203之區域時脈。 經濟邹智慧財產局員Η消費合作社印製 通道處理器中-叢集所進行處理㈣調是藉由三组標記 環而達成:TxSDP標記環⑴5協調來自叢集打傭⑽内 之TxByte處理器ι213的輸出。僅有含標記的TxSDp ο? 輸出至大FIFO 1211。如圖10所示,提供於RxSDp42l中的 裝置是由三標記環、Rx位元處理器1〇〇5之環1〇27、Rx同步 處理器1017之環1〇17、與rx位元組處理器1〇13之環1〇28所 決定。RxSDP 421中具有一標記環且被啓動的裝置僅在其 已被標記後才提供輸出。所使用的標記環取決於RxSDp "I 中所啓動的裝置。環中TxSDPs 427與RxSDPs 42i之標記被 遞送並藉由TxSDPs與RxSDPs所執行之微碼進行測試。通 道處理器標記環1315包含藉由匯聚中的通道處理器而對全 域匯流排3 1 9進行寫入存取。僅有目前具有環1315之標記 的通道處理器才可對全域匯流排3 1 9進行窝入存取。通道 處理器標記環1315藉由叢集中通道處理器所共用之叢集記 憶體5 0 3内的旗標而實現。 匯聚更進一步由圖14所示一叢集中區域與共用記憶體的 配置所支援。此配置的結果爲叢集記憶體5 0 3。叢集記憶 體503包括叢集3 09 (j)中每一通道處理器(〇. .3)之通道處理 -59- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) A7 經濟部智慧財產局員工消費合作社印製 4 6 5 2 1 1 ___B7_____ 五、發明說明(57 ) 器區域記憶體5 0 3。每一通道處理器記憶體503(j,i)包括序 列資料處理器之通道處理器3 0 7 (j,i )記憶體1 4 0 3、 DMEM 405、匯流排控制記憶體1405、CPRC 401之記憶體 1407、與指令記憶體4 0 3之部分。叢集中的每一通道處理 器307藉由叢集路徑439進行對叢集中每一其他通道處理 器内.之DMEM 405的存取。對於其他通道處理器中 DMEM 405之存取須I週期的延遲。指令記憶體可纟皮组織於 當通訊處理器2 0 3被初始化時,致使指令記憶體共用於所 有四通道處理器成爲共用IMEM 1409,或可被分割於所有 四通道處理器(IMEM 403)。當指令記憶體被组織爲共用 IMEM 1409時,叢集中的每一四通道處理器被给予每週期 一次、以一固定的循環順序而進行對共用IMEM 1409的存 取。共用IMEM 403允許一通道處理器或叢集的有關程式 設計員對於通道處理器的較大程式與個別通道處理器的增 加彈性兩者之間取得平衡。 匯聚通道處理器之協調實例:圖2 6與2 7 當匯聚通道處理器正處理十億位元乙太時,接收機爲四 通道處理器之一叢集3〇9(i),且傳送器爲四通道處理器之 一另叢集309(j)。圖2 6顯示如何組織RxSDPs 421(i,〇..3),圖 27顯示如何組織丁%8〕1^ 427〇,0"3)。叢集3〇9(丨)與3〇9(_〇 皆被組織爲致使傳送器中的其中之一通道處理器之接收時 脈爲兩叢集之主接收時脈。傳送叢集中的所有通道處理器 選擇外部全域時脈1309中的訊號作爲十億位元乙太時脈。 叢集中CPs 307内之CPRCs 401間的同步是藉由叢集記憶體 -60- 本纸張尺度適用中國國家標準(CNS)A4規格(210x 297公釐) ί請先閱讀背面之注項良ί、寫本頁)
4652 1 1 A7 Β7 五、發明說明(58 經 濟 部 智 .慧 財 產 局 員 X 消 費 合 作 社 印 η 503中的旗標而達成。 RxSDPs之匯聚:圖2 6 如圖2 6所示,每一 RxSDPs被組織爲致使解碼器1〇〇1 ' Rxbit處理器1005、Rxbyte處理器1〇13以外的處理器均直接 旁通。解碼器1001提供一 3-位元同步漏失輸出26〇3至 CPRC 401。所接收tRxbit處理器1005的輸入是由標記匯流 排1027所控制,而Rxbyte處理器1〇13之輸出是由標記匯流 排1028所控制。因此,只有當Rxbit處理器具有標記時,其 將所處理小FIFO 1003之内容的結果輸出至大FIF〇 1〇π,且 相似地,只有當Rxbit處理器具有標記時,其輸.出所處理大 FIFO 1011之内容的結果。 在較佳的具體實施例中,當每一通道處理器具有標記 時,其接收十億位元乙太資料的一碼框,且當其接收碼框 後,其將標記遞送至叢集中的下一通道處理器並處理其正 接收的碼框。如先前所述,資料處理的一結果爲一資料的 描述器。通道處理器透過全域匯流排3丨9而將—編排佇列 命令窝至其佇列管理引擎3 〇 5中的信箱5丨丨,且佇列管理 引擎3 0 5藉由將描述器編排佇列以反應該命令。以共用記 憶體中旗標所實現的標記最後被用以藉由接收叢集之元件 而管理全域匯流排之存取,致使當接收叢集中的一給定通 道處理器具有標記時,其可寫至全域匯流排3丨9。 TxSDPs之匯聚:圖27 圖27顯示輸出叢集中的TxSDps 427(j,〇 3)如何設定。圖 中可知被啓動之TxSDPs(jil,>3)僅有部分爲Τχ位元組處理 本紙張尺度剌W國家標準(CNS)A4規格⑵〇: (請先閲讀背面之注.MV..項'本頁) 裝 訂—. -線 61 - 經濟部智慧財產局員工消費合作社印製 -----------B7__ 五、發明說明(59 ) 器1213、大FIFO 1223、與匯聚路徑1223。輸出流之剩餘的 處理是由TxSDP(j,〇)所進行,其中Τχ位元處理器12〇5與编 碼器1201及Τχ位元組處理器1213被啓動。當一給定的 TxSDP 427(j,k)於標記匯流排丨225上具有標記時’其丁乂位 元组處理器1213透過大打1;>0與匯聚路徑1223而將資料輸出 至TxSDP 427(j,0),然後選擇多工器1228中的適當輸入, 並於多工器1208之後的已啓動處理器中處理輸入。 在組態2701中,叢集中的每一通道處理器3〇7依序輸出 十億位元乙太資料的—碼框。如先前所述,一通道處理器 3 〇 7藉由發佈一拆解佇列命令至QME 3〇5而開始一傳輸, 以獲得欲被傳送之碼框的協定資料單元之一描述器。c p 標記環1315被用以確定傳送叢集中的通道處理器獲得正確 順序的描述器。只有當通道處理器具有c p標記環13 15中 的標s己時’傳送叢集中的一通道處理器才可存取全域腫流 棑3 I 9以提供一拆解佇列命令至佇列管理引擎3 〇 5。—呈 一通道處理器具有欲輸出資料之描述器時,其便可開始處 理其Τχ位元组處理器1213中的資料。只有當丁叉位元組處 理器1213具有標記環1305所提供之標記時,資料才可從Τχ 位元組處理器1213中輸出。來自Τχ位元組處理器1213中的 輸出透過匯聚路徑1223前往TxSDP(j’O),自此輸出。再次 地’該配置允許叢集中一給定的通道處理器處理其欲輸出 的碼框,同時於傳送叢集中的其他通道處理器正將其碼框 輸出。 OC-12c之叢集運作基本上如上所述,除了於—叢隹中的 -62- 尺度適用中國國家標準(CNS)A4規格(210 x297公釐) ------------ --- (請先閱讀背面之注0^項".、寫本頁) 丁·. β. -線. 4 6 5 2 1 t A7 B7 五、發明說明(60 ) 四個通道處理器之兩個被組織用以接收,兩個被组織用以 傳輸。標記環使用如上所述,除了當〇C-12c被用以傳送 AT Μ細胞,標記環ii〇 17控制接收通道處理器中的接收同 步處理器1009。 執行處理器(XP) 313之細節:圖15 XP 3 13爲實現MIPS IV指令组之一般i的CPU。其執行數 位通訊處理器2 0 3之下列功能: •重置及初始化DCP 203 ; •载入程式至通道處理器3〇7及组織處理器3〇3,並設定其 運作之參數; •建立並維護列表查照引擎3 〇 1所使用之轉譯表2 〇 9,並設 定列表查照引擎3 0 1中的暫存器; •處理例外; •執行DCP 203之即時操作系統;以及 • 一呈現時,連繫主機227。 連繫主機227包括提供一具有可變大小之視窗的主機 2 2 7至全域位址空間3 2 1,且可以包括處理χρ 3 1 3從主機 227接收或傳送至主機227之封包。 圖1 5爲XP 313之一方塊圖。XP 313具有許多相同於通道 處理器3 0 7之元件。類似通道處理器’其耦合至環狀匯流 排311、酬載匯流排313、與全域匯流排319。基本處理元件 爲XP RISC核心處理器1501,其類似CPRC 401。具有一連 至環狀匯流排3 1 1之介面I 5 1 5、兩組區域資料記憶體〖5〇7 與1508、一連至酬載匯流排317之介面1511、與一連至區 -63- 本紙張尺度適用中國國家標準(CNS)A4規格(2!0χ297公釐) --------.1 丨丨 ί* 裝 i I <請先閱讀背面之注項一^寫本頁) 訂· --線. 經濟部智慧財產局員工消費合作社印制衣 46 52 1 1 A7 ____ B7 五、潑^明說明(61 經濟部智慧財產局員工消費合作社印製 域匯流排3 1 9之介面1513。DMEM 1507與DMEM 1 508皆透 過酬載匯流排介面15 11而可進行存取,並且確實分離酬載 匯流排3 1 5上之節點。只有DMEM 1 507可透過全域匯流排 介面1513而進行存取。未呈現於一通道處理器之χρ 313中 的介面包括一般目的I/O介面1517 ' PROM介面1525、與 P C I介面1 523 ^指令記憶體1 503具有三個元件:可載入指 令記憶體1503 '指令唯讀記憶體1 504、與指令記憶體載入 器1506,其包含XPRC 1501執行從DRAM 229中載入 IMEM 1503之程式。由χρ RISC核心1501所執行之碼與用 於執行碼之資料是儲存於SDRAM 229中,且透過DMA從 SDRAM 229 移至 XP RISC 核心 1501 所需之 DMEM 1507、 DMEM 1508、與IMEM 1503。類似通道處理器 307,XP 313 可存取全域位址空間321。XP/CP組態暫存器1517爲XP 313 中全域位址空間3 2 1之部分。 執行處理器3 1 3所執行之功能的細節 執行處理器313藉由發送一重置訊號至每一通道處理器 3 0 7以反應於數位通訊處理器2 〇 3所接收之晶片重置訊 號。之後,XP 3 13開始執行初始碼。初始碼可事先藉由 PCI介面1523與全域匯流排3 1 7而載入SDRAM 229,或其 可包含於一耦合至PROM介面1521之選擇性外部prom。初 始碼載入每一通道處理器之IMEM 403,同時共用一叢集中 的IMEM 1409,載入RxSDP 421與TxSDP 427所執行之程 式’以及載入組態資訊至全域位址空間3 2 1之暫存器中。 —旦數位通訊處理器2 0 3被初始化,χρ 3 13執行數位通 -64 本紙張尺度適用中國國家標準(CNS)AJ規格(210 X 297公:g ) 請 先 閱 讀 背 之 注 Ϊ, 蓄裝 訂 線 五、發明說明(62 ) A7 B7 經 濟 部 智 葸 財 產 局 員 工 消 費 合 社 印 製 訊處理器2 0 3之一即時操作系統’支援網路監視協定,並 處理通道處理器所通知的例外。χρ 3丨3更進一步使用其對 於全域位址空間3 2 1之存取以管理通道處理器、組織處理 器303、佇列管理引擎305、與緩衝器管理引擎3 15。其使用 連至環狀匯流排3 1 1之介面以管理列表查照引擎3 〇】。一管 理功能被插入且删除轉譯表2 0 9中的轉譯表元素2丨!:另一 爲管理統計表。管理轉譯表209與緩衝器管理引擎315给 予XP 3 13組織DCP 203中輸入與輸出埠之間關係的能力。 其中具有一主機227,XP 3 13給予主機檢視DCP 203之全 域位址空間’且可讀取TLE 301所管理之列表。3丨3可 更進一步伺服爲一封包收發機以供接收來自主機2 2 6之封 包及送往主機2 2 6之封包。例如,主機2 2 7可爲一網際網 路協定節點,且可因而接收或傳送網際網路封包。如—封 包收發機,XP 313本質上與一通道處理器操作於相同的型 式’除了其I / Ο介面爲一 p c I匯流排。 組織處理器303 :圖16-20 如圖3所示’组織處理器(FP) 3〇3管理一數位通訊處理器 2 0 3與一交換組織2 2 2間的介面==交換組織2 2 2被用以進 行多個例如通訊處理器2 〇 3之交換裝置間的通訊。圖【9中 1 9 0 1顯示多個DCPs 203(1 ..X)如何被輕合,每一個藉由其 FP 303⑴而耦合至交換組織222。於屬於一 DCP 203⑴之 序列輸入204(i,j)上所接收之封包可透過ρρ 303(i)與交換組 織22 2而按路線遞送至其他dcp (k),其接收於FP 303(k)並 輸出於一序列輸出2〇6(k,l)。 ilf — — !-裝 i I {請先閱讀背面之注ο項一{寫本頁) .1. 訂. -線· -65 本纸張尺度朝令國國家標準(CNS)A4規格 4 6 5 2 11 A7 --------- B7 五、發明說明(63) 資料通過組織2 2 2成爲組織碼樞。—組織碼框的精確型 式將隨交換组織而變化,但组織碼框—般具有如圖18所示 之部份: •組織頭端1803包含组織222用以按路線遞送之資訊,以 及組織2 2 2内的流程控制; •碼框頭端1805包含輸入組織碼框〗8〇丨至組織2 2 2之來源 裝置的資訊’提供至接收來自組織222之碼框的目 的裝置;以及 •酬載1807爲來源裝置所接收來自網路的酬載,且欲藉由 目的裝置輸出至網路。 如以下將進行的更詳細解釋,組織處理器3〇3可程式設 計爲處理不同種類的組織碼框。在—較佳具體實施例中, 組織碼框3 0 3可程式設計爲處理具有—固定長度(fl刪)之 碼框。其長度可從32位元組至丨2 8位元组。 從先前討論中可明白一組織處理器3 〇 3與一通道處理器 307基本上具有相同的功能,除了其接收來自交換組織 222之輸入並提供輸出至交換組織222,而非序列埠。該 不同處具有重要的結果。首先,交換I織222接收平行的 經濟部智慧財產局員工消費合作社印製 輸入並提供平行的輪出,取代序列輸人與輸出。輸人或輸 出的寬度取決於交換组織;在一較佳的具體實施例中,组 織處理器3 0 3可程式設計爲每時脈週期輸出寬度爲8、16戋 32位元之資料。 第二,組織處理器3 〇 3必須以較通道處理器3 〇 7更高的 速率處理資料。其中一項理由是輸入與輸出爲平行而非序 -66- 本纸張尺錢帛巾關緖準(CNS)A4 (2J〇 ~297 ^^7 4 G 5 2 1 Α7 Β7 經濟部智慧財產局員Η消費合作社印製 五、發明說明(64 ) 列;另一理由爲組織處理器2 2 2與其他裝置共用,組織處 理器3 0 3可從交換組織2 2 2中接收資料並將其提供至交換 組織2 2 2之速度會同時影響所有那些裝置的速度與呑吐 量。爲了達到需要的操作速度,組織處理器3〇3被實現爲 一對有限狀態機。於一較佳具體實施例中的有限狀態機與 具有下列性質之組織碼框丨80丨一同運作: •碼框具有一預定的長度; •資料之前爲一固定長度组織頭端1 8〇3 ; •交換组織藉由組織頭端中的一目的位元遮蔽而實現多重 播送(同時遞送一封包至超過一目的地); *填塞資訊可藉由一簡單的狀態機而從组織頭端中抽出; 以及 •於相關组織碼框1 8〇 1之一序列中的第一組織碼框1 80 1之 關係爲決定性的。 組織處理器3 0 3之細節:圖1 6 圖16爲組織處理器3〇3之一方塊圖;可立即明白其一般 相似於圖4,顯示一通道處理器。類似於一通道處理器 3 0 7 ’組織處理器3 〇 3耦合至酬載匯流排3 1 7、全域匯流 排3 19、與環狀匯流排3 11 ;其可因此提供協定資料單元至 SDRAM 229或從SDRAM 229接收協定資料單元,可對全域 位址空間3 2 1進行存取,並可提供訊息至列表查照引擎 3 0 1或從列表查照引擎3 〇 1中接收訊息。主要有三個項不 同處: • Rx組織處理器1617與Tx组織處理器1621籍由32~位元匯 流排1619與1623而連接至組織2 2 2 ; -67- 本紙張尺度適用中固國家標準(CNS)A4規格(210 X 297公釐) (請先間讀背面 之注項'c 裝—« .寫本頁) · -線· J* A7 B7 4 6¾¾¾111 五、發明說明(65) •組織控制引擎1601並非一完全可程式控制之RISC處理 器,但代替兩可參數化的狀態機·· r X組織控制引擎 1604,其處理組織處理器3 0 3中接收之碼框丨80丨,τ X組 織控制引擎16〇2,其處理欲由組織處理器3〇3中輸出之 碼框1801 ;以及 •組織控制引擎1601具有直接連接1625與1627至仵列管理 引擎3 0 5,藉以達到對佇列管理引擎3 〇 5進行存取,其 較藉由全域匯流排3 1 9所進行的存取具有較少的暫態變 化。 組織處理器303之操作一般相似於一通道處理器307。 取決於交換組織2 2 2,組織碼框1 80 1以8、16、或3 2 -位元 資料量接收於Rx組織資料處理器1617。RX組織資料處理 器1617從酬載1807中分離頭端1803與1 805。頭端中某些資 執前往抽取空間1613,可由R X組織控制引擎16〇4使用; RX組織資料處理器161 7使用其他資訊以產生一 TLE 3 0 1之 訊息;此藉由環狀匯流排介面1 6 1 1與環狀匯流排3 1 1送至 TLE 301。協定資料單元透過]VIUX 1605、DMEM 1603、與 酬載流排3 1 7對SDRAM 229中一緩衝器23 1⑴之直接記 憶體進行存取。RX组織控制引擎1604使用缓衝器23 1 (i)之 緩衝器標籤233、抽取空間15 13中的頭端資訊、與接收來 自TLE 3 01的資訊,以反應R X組織資料處理器16丨7所發送 的環狀匯流排訊息,產生協定資料單元之一描述器217 ; 使用私用連接1625至佇列管理引擎3〇5,組織控制引擎 1 6 Ο 1執行一描述器的編排佇列運作。 -68^ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公楚) --------- -----裝--- ... (請先閱讀背面之注广>項严:、;寫本頁) 訂丨 -線- 經濟部智慧財產局員工消費合作社印製 A7 4652 1 1 ____ B7 __ 五、發明說明(明) 傳輸處理是由Τ X组織控制引擎1 6 02所完成。Τ X引擎 1 602從佇列2 1 5中讀取描述器,其佇列管理引擎3 0 5維護 交換组織2 2 2可到達之指定目的地的描述器2 1 7。组織處 理器3 0 3從佇列的頭端讀取描述器。對於每一描述器,其 使用描述器中的資訊以設定合併空間1 6 1 5,合併空間 1615含有需要製作描述器之緩衝器標籤2 3 3所指定之資料 的組織碼框1 80 1其頭端1 803與1 805的資訊,並使用描述器 之緩衝器標籤2 3 3以起始一透過酬載匯流排3 1 7、 DMEM 1603、與MUX 1605而進行從緩衝器記憶體229直接 記憶體存取至Τ X組織資料處理器162 1,然後使用合併空 間16 1 5中的資訊以製作頭端1 803與丨8〇5,以及使用直接記 憶體存取後的協定資料單元以製作酬載。當T x組織資料 處理器1621製作組織碼框1 801時,其透過匯流排1623將其 以8、16、或;32位元資料量輸出至交換組織222。
Rx组織資料處理器丨7丨7與ΤX組織資料處理器丨62 1之細 節:圖1 7 圖1 7爲Rx组織資料處理器1717與Tx組織資料處理器 1621之一方塊圖。以r X组織資料處理器丨7 z 7爲開始,r X 組織資料處理器1717包括一耦合至輸入資料匯流排丨619之 輸入FIFO 1708、一組織頭端轉譯器17〇7、一頭端酬載分離 态Π03、一酬載HF0 17〇5、一頭端抽取器與轉譯器1701。 酬載FIFO 1705透過匯流排1616而耦合16〇5,頭端 抽取器與轉課器ποι藉由路徑1614而耦合至抽取空間 1613,以及藉由路徑1616以耦合至環狀匯流排介面1611。 -69- 紙張尺度剌中國國家標準(CNS)A4 ·,(_21ΰ χ撕公&-—- --------------裝--- (請先閱讀背面之注0*項>- ί、寫本頁) 訂: -線. 經濟部智慧財產局員工消費合作杜印製 46 52 1 1 A7 _________B? _ 五、發明說明(67 ) 元件 1701、1703、與 1707採用與 RXSDP 421 及TxSDP 427 所 使用之相同的可程式設計微序列器來實現。 R x组織資料處理器1617之運作一般相似於RxSDP 421, 除了未進行序列對平行資料轉換。接收自交換.組織2 2 2之 一組織碼框1801的位元組首先前往FIFO 1708 ’其允許组織 處理器3 0 3與組織222以不同時脈速率執行。交換组織 222寫至FIFO Π08之尾端,同時组織頭端轉譯器17〇7從 FIFO 1708之頭端讀取。組織頭端轉譯器17〇7讀取组織頭 知1803並輸出組·織頭端18〇3之選擇部分至抽取空間1613。 下一處理階段爲頭端酬載分離器1,其將碼框頭端1 g〇g 自酬載1807中分離,並將酬載送至FIF〇 17〇5,由此直接記 檍體存取至緩衝記憶體229。FIFO 1705大至足以容納酬載 直到可能進行對DMEM 1603的直接記憶體存取。碼框頭端 1808接著前往頭端抽取器及轉譯器丨丨,其轉譯頭端並輸 出來自頭端的資訊至抽取空間1613與/或環狀匯流排介面 1611。 經濟部智慧財產局員工消費合作社印製 T X組織資料處理器1 62 1具有三個可程式設計元件與兩 FIFOs。有了 R X組織資料處理器,可程式設計元件可使用 微序列器來實現。可程式設計元件包括頭端產生器丨7〇9, 其使用組織控制引擎1601已放入合併空間16 1 5中的資訊以 產生碼框頭端1 8 0 5 ’頭端與酬載合併17 11,其將頭端1 8 0 5 以及透過路徑1620而從緩衝記憶體2 2 9進行直接記憶體存 取的酬载1 8 0 7加以合併’以及組織頭端產生器m 5,其產 生組織頭端1803,並在碼框1801輸出至交換组織222之前 -70- 本纸張尺度適用令國國家標準(CNS)A4覘格(210 X ‘297公釐) 46 52 1 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(68 ) 將其增加至碍框1 80 1。FIFO 17 17允許組織處理器3 0 3與交 換组織222以不同速率執行,且fif〇 1713提供存取 DMEM 1603時之處理延遲所需的彈性。 使用DCP 203之交換系統的组態:圖! 9與2 〇 組織處理器3 0 3允許一 DCP 203能輕易與任何遵守以上 設定限制之能夠發送與接收碼框丨8〇丨的交換元件進行交互 作用。圖1 9與2 0顯示許多可能之组態的其中三種。组態 1901,其中眾多dCPs共用—交換組織2 2 2已詳細討論過; 組態1905 ’不具有分離的交蟓組織;取代爲透過其組織處 理器30 3而相互連接兩DCPs 203以產生一交換。此—系統 可藉由連接若干DCPs 203之组織處理器而擴充至一匯流 排’並提供一標記環或某些用以管理藉由傳送Dcps 203至 匯流排之存取的其他機制。於組態2〇〇丨中,不僅眾多的 DCPs 203連接至交換組織22 2,而且非dcps 203所處理之 線介面2003的非D C P邏輯2002也如此。此一组態200 1可用 以將現有的裝置整合至一應用DCPS 203的交換系統。 列表查照引擎3 0 1與轉譯表記憶體2 〇 7之細節:圖2丨_24 先前指出,列表查照引擎3 執行轉譯表記憶體2 〇 7中 轉譯表2 0 9上的列表查照運作,以反應環狀匯流排3丨〗上 接收來自通道處理器307、组織處理器303、與執行處理器 3 1 3之訊息,並送回環狀匯流排對於接收訊息之裝置的操 作結果之訊息。
圖2 1爲轉譯表記憶體2 〇 7之—較佳具體實施例的細節。 轉譯表記憶體2 0 7使用6 4 -位元寬流管式猝發串靜態R A M -71 - 本紙張尺度適用中國國家標準(Ci\TS)A4規格(210 χ 297公楚) (請先閱讀背面之注Ο-項《、寫本頁) 裝 •線- 4652" A7 I ---- B7 五、發明說明(69 ) 模組。記憶體2 0 7被分割爲八個表池21 〇〖(〇. _7)。表池可以 爲不同大小,且可分割爲不同大小的表元素,—給定表池 中的所有表元素必須爲相同大小。圖2丨顯示兩個此種表元 素:鏈結表元素2IU與資料表元素2Η9β 一表池21〇1更進 —步被分割爲連續表元素所組成的元件表2 1〇6。圖2 i顯示 兩此一種表:表池2101(1)中的鏈結表21〇7與表池2i〇1(7) 中的資料表2117。每一表元素具有元件表21〇6中的索引, 指示所屬*’因此’ LTE 2111具有一鏈結索引21〇9,且 DTE 2119具有一資料索引2114。一元件表2106中之一元素 的定位是藉由將其索引乘上表池中元素的大小,並將結果 加上其元件表2106中開始之位置。 元件表2106 —般具有兩種型式·鏈結表與資料表。兩種 元件表2106與資料表中之資料所附屬的鑰匙一起使用。例 如,一轉譯表209可轉譯一 ATM封包中頭端之VPI/VCI配 對成爲接收A T Μ封包之描述器的佇列記憶體2 1 3中仵列 2 1 5的數目。VPI/VCI配考·爲鑰匙,且由餘匙所定位的資料 表元素2119包含佇列的數目》—搜尋演算法決定鑰匙如何 使用於轉譯表中。一鏈結表包含其他索引表元素或資料表 元素之索引;其與欲轉譯以定位資料表元素211 9之鑰匙一 同使用。 從一鏈結表元素被用以定位其他元素之事實中可以預 期,鏈結表元素2111包含控制資訊2U3與鏈結資訊2115。 控制資訊2113與欲轉譯的鑰匙一同使用以決定鏈結資訊 2115欲接著那一索引。控制資訊2113與鏈結資訊2115之精 -72- 本紙張尺度適用t國固家標準(CNTS)A4規格(210x297公釐) p:m ------------*裝i ! (請先閱讀背面之注Ci項户\寫本頁) 訂- -線 經濟部智慧財產局員工消費合作社印製 4652] A7 B7 五·、發明說明(7〇 ) 確的性質是由搜尋演算法搜尋轉譯表21 09中鏈結表2 107之 所屬而決定。資料表元素2丨19包含鑰匙212〇與資料2121。 當轉譯之鑰匙匹配於鑰匙2120時,元素2119中的資料2121 包含鑰匙的轉譯,例如,VPI/VCI配對之佇列數目。 —轉譯表2 0 9由一搜尋演算法數目2125指定於一較佳具 體實抱例中。搜尋演算法數目識別一資料結構2 124,其包 含指定轉譯表之元件表2106的虛擬表數目2127,以及一演 算法指定器2129,指定欲與轉譯表20 9使用的搜尋演算法 之種類°虛擬表數g藉由一數目,其TLE 301分辨元件表 之一表指標2105以識別一元件表2106。虛擬表數目之使用 使其得以於表記憶體2 〇 7中保留比目前通道處理器與组織 處理器所使用之更多元件表2106,且可簡單地變更虛擬表 數目所表示的表指標21〇5而將元件表21〇6換成另一個。例 如’執仃處理器3 I 3可建立一新的元件表,同時通道處理 器與组織處理器正使用一给定的元件表,然後可以藉由簡 單地發送一含writereg命令24丨5之環狀匯流排訊息以將給 疋表換成新表’ writereg命令2415變更關於表指標2105之 虛擬表數固的TLE 301中暫存器内的表指標2105。 濟 部 智 .慧 財 產 局 員 工 消 費 合 作 社 印 製 '-°足轉譯表209最多可由四個元件表2106所组成。其 中之70件表必須爲一資料表2117 ;其他則爲鏈結表 2107 °顯示於圖21之轉譯表209具有兩元件表:鏈結表 2107與資料表2117。元件表由其轉譯表描述器2124中的虚 擬表數目所識別。 藉由切碎演算法所完成之轉譯表可伺服爲一轉譯表 ___ -73- 本纸張尺度適 1中國ii^~(CNS)A4^ 4652 A7 B7 五、發明說明(71 ) 經濟邹智慧財產局員工消費合作社印制衣 2 Ο 9如何用以轉譯一輪… 争鑰匙成爲貧料之實例。切碎演算法爲 人所熟知。其工你;趾 ^ ,_ 乍疋將一長位元串對應至一短位元_。在 ::位7C串爲一鑰匙,短位元串爲一表元素之一索 ——切碎⑨算法可與僅包含資料表元件2⑴之轉譯表2 :同使用。當建立資料表2117時,資料表元素2u9包含資 料疋輪匙被切碎’且鑰匙之資料表元素㈣碎演算法於索 引⑴中產生,否則於索引i之後第—個可獲得的索引。切 碎至ί!!1之鑰匙將在以下稱爲key⑴。當key⑴被提供至 切碎戌算法,切碎演算法送回資料索引21丨4(〇。對應_ 之DTE 2119位於索引2114⑴,在此情形則搜尋終止,或具 有一切碎衝突,即超過—鑰匙被切碎至相同索引。在此 情形,資料表2117可建立爲致使切碎至相同索引⑴之鑰匙 的DTES具有接著2114(i)之索引,因此搜尋演算法開始於 索引2114(i),並比較鑰匙與以下資料表元素2丨〖9中的鑰匙 2120 ’直到其發現一輪匙與鑰匙2 12〇相匹配,或直到其到 達資料表2117之終結而未發現任何匹配時,此情形其宣告 缺乏匹配。若需要更咼的速度,則一鏈結表可隨索引2丨14 之LTEs —同建立用於衝突發生時,當衝突發生後,索引可 應用於鏈結表。鏈結表接著給予D T E中索引所對應之元素 的索引。 列表查照引擎3 01執行搜尋表2 0 9上的搜尋及維護運作 以反應環狀匯流排訊息。列表查照引擎3 Ο 1使用各種演算 法進行搜尋,包括切碎演算法、二元trie演算法、以及 Patricia trie演算法。列表之维護是使用搜尋表元素索引來 -74- 本紙張尺度適用中國國家標準(CNS)A4規格(2】〇 x 297公叙) --------------裝i Γ (請先閱讀背面之注項严'、.寫本頁) 訂-- ft* ,線 A7 B7 經濟部智慧財產局員工消費合作社印製 五 '發明說明(72 ) 完成。一般,指定表維護運作之訊息來自執行處理器 3 13° 環狀匯流排訊息:圖2 8 TLE 30 1與其他DCP 203之元件間的所有交互作用是藉由 環狀匯流排3 1 1上的訊息而完成;χρ 3丨3使用環狀匯流排 訊息以建立並維護轉譯表2〇9,封包處理器使用環狀匯流 排訊息以將欲轉譯的項目送至TLE 301,且TLE 301使用環 狀匯流排訊息送回轉譯結果。圖2 8顯示—較佳具體實施例 中的環狀匯流排訊息。訊息2801具有兩主要的元件,資料 2817與控制2803。資料可以爲64位元之任何型式的資料。 當一環狀匯流排訊息送達TLE 301時,資料28 17包含一 TLE命令。TLE 301執行命令並將遞送至TLE命令之來源 的環狀匯流排訊息其資料2817中的結果送回。控制28〇3具 有下列欄位: 八 • M欄位2805由硬體設定,指示訊息長於㈧位元,且因此 包含於連續插槽中的訊息序列; • TY欄位2807指示訊息的型式;共有四種型式: 空的:環狀匯流排插槽中未包含訊息; _訊息爲一指示; 訊息爲一確認; 訊息爲一要求; 訊息爲一回應。 • LEN欄位2809指示資料Μη中訊息的長度; • S E Q攔位2 8 11爲一序列數目,可以由 J 乂田發迗器設定,致使 -75- 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公复) -------------裝— Λ""y \._/ (請先閱讀背面之注項/·, V寫本頁) JB. 訂· -線- 4652 1 f A7 經濟部智慧財產局員工消費合作社印裂 __________ B7 1 ' __ >1^^__五、發明說明(73) 可決定反應訊息的順序; • DEST欄位2813指示環狀匯流排311上的裝置,爲訊息的 目的地:以及 〜 • SRC欄位281 5指示來源裝置。 指示與確認訊息型式被簡單使用以決定連接至環狀匯流 排之裝置的環狀匯流棑介面是否正運作中;若—裝置接收 一來自其他裝置的指示訊息,則其送回確認訊息至該裝 置。當環狀匯流排之一裝置需要其他裝置替發送裝置執行 一項操作時,則其發送一要求訊息至另一裝置;當其中裝 置已執行該操作,其使用一結果訊息以將結果送回發送裝 置。 因此,有了一列表查照,欲執行列表查照之通道處理器 發送一要求訊息,其中要求型式爲通道處理器指定其本身 爲來源且TLE 30 1爲目的地。資料2817包含供操作之T L E 命令,且SEQ 2811可設定爲一値,允許通道處理器識別回 應訊息。TLE 301藉由執行訊息之τ [ £命令並以一回應訊 息發送執行結果至通道處理器以回應要求訊息。結果位於 資料2817中,TLE指定其本身爲來源且通道處理器爲目的 地’且SEQ 28 11具有其含要求訊息之値。 圖24列出當透過環狀匯流排311而接收命令時之反應列 表查照引擎301的列表査照操作之命令。每一命令在表中 具有一列;第一行指定命令的内容,第二行爲其⑺數 目,第三行爲其送回的資料,而第四行爲命令的實施。列 表查照是使用FindR命令2409來完成;剩餘的命令被用以 -76- 本纸張尺度適用中國國家標準(CNS)A4規格(210 x297公釐) --------------裝—— (請先閲讀背面之注rv項^;V寫本頁)
it — I — ή^Γ. I 線· -If h m . 4652 1 A7 B7 五、發明說明(74)
建丘並維護轉譯表209、初始化列表查照引擎3〇卜 TLE是否運作。 ~ A 該命令可更進-步依其如何於表中定位元素及其如何於 定位内容上執行操作來進行分割。鑰匙命令2423使用鑰匙 以定位元素: • Find命令2405取一鑰匙與一演算法數目作爲引數,使用 轉譯表2 0 9與演算法數目所指定的搜尋演算法以對資料 表儿素搜尋鑰匙,並且若未發現鑰匙的元素時,則送回 資料元素2119之内容或一錯誤; • FindW命令2407取鑰匙、演算法數目、欲寫入資料、與位 移及長度指定器作爲引數;其使用鑰起與演算法以求取 鑰匙之資料元素2119,並將長度所指定之資料的長度寫 至元素中,其開始於位移所指定之元素中的位置; • FindR命令2409與FindW取相同的引數,但讀取資料的長 度’其開始於自瑜起之元素2119的位移,並將其送回。 索引命令2421與2425使用虛擬表數目與索引以定位无件 表2106中的元素。屬於群2421之命令從命令所指定之元素 中讀取資料並將資料寫入命令所指定之元素中;屬於群 2425之命令修正命令所指定之元素中的資料: 經濟部智慧財產局員工消費合作社印製 • Write命令2401具有一指定一元件表2106之虚擬表數目、 一指定一元件表中一元素的索引、欲寫入之資料、一指 定欲寫入資料之部分的遮蔽、開始寫入之位移' 與欲寫 入之資料的長度作爲其引數;其依命令所指示寫入資料 • Read命令2403除了遮蔽以外具有相同的弓丨數;其於指定 表之指定元素中的指定位置上讀取資料,龙送回資料; -77- 本紙張尺度適用中國固家標準(CNS)A4規格(2Ι〇χ297公釐) 五、發明說明(75〉 • XOR命令2411使用命令中的資料進行一 運作與一 CRC計算,該命令中的資料是位於指定表之指定元素中 的指定位置之資料上;在CRC計算的情形中,其送回 CRC。 • Add命令2423增加命令中之資料至指定表之指定元素中 的指定位置之資料。 暫存器命令2427讀(24丨7)與寫PCQTLE 301中的暫存 器;暫存器由暫存器位址所指定。這些命令被用以初始 TLE 301,含有需要定位轉譯表、元件表21〇6、與搜尋演 算法之碼的資訊’並用以簡單地將脈絡資訊窝入Tle 3 〇 1或 從TLE 301中讀取。
Echo命令2419簡單地將命令中的資料送回發送器;其用 以檢查環狀匯流排3 1丨及附屬裝置之環狀匯流排介面是否 工作正常。Nop命令242〇爲一執行時不做任何工作之命 令。 TLE 301執行以下基本迴路: 1 .從環狀匯流排中讀取—命令; 2 .執行命令;以及 3 .藉由環狀匯流排以送回結果。 經濟邹智慧財產局員工消費合作社印製 其中命令爲一列表中的操作,執行命令的步驟包括: a) 決定表元素中的索引;以及 b) 執行表元素中指定位置之資料上指示的操作。 有了包含鑰匙之命令,則決定表元素之索引的步驟包 括: -78- 本紙張K度剌中國國家標準(CNS)A4規格⑵〇 X 297公髮"7 465211 A7 經濟部智慧財產局員工消費合作社印製 五、發明說明(76) i.將鑰匙轉譯爲一第一索引値; ί i.取得儲存於索引値所指定之趟母士 _ 得#表元素中的給说· iii. 若鑰匙與取得之鍮匙相符, ' iv. 若不相符’則根據搜尋演算法計 — 步驟ii ;以及 京引’則彺 v _執行索引値所指定之表元素中 一 京肀储存的資料上之操作。 圖2 2顯示列表查照引擎3 〇丨之内 Π卟木構p 一定址於 2—:Γ3二1ΪΓΓ流排訊息被接收於環狀匯 2201中U的命令由命令處理器22()3與列表查照 30 i之其他元件進行處理。需進行處理之資訊被儲存於暫 存器儲存2205,且演算法之程式被儲存於控制儲存郎。 圖23顯示暫存器儲存2205與控制儲存2215之細節。爲了 討論之目的,考慮暫存器儲存22〇5中四類暫存器演算法 組態暫存器230〗 ' 表組態暫存器2311、虛擬表组態暫存器 2341、與訊息脈絡暫存器2391。演算法組態暫存器 於表209中使用於命令之演算法數目,以及控制儲存221\ 中的切碎碼2S23。每一表2〇7具有一目前由TLE 3〇1所使用 之漁算法组態暫存器(ACR) 2301 ’且ACR 23〇l(i)之索引爲 其演算法數目3125。一單一 ACR 2301⑴示於圖23。一給定 的ACR 2301包含组成表20 7之元件表的虛擬表數目。 LVT1 2325爲第一索引表之虛擬表數目;LVT2. 2327爲第二 索引表之虚擬表數目;LVT3 2329爲第三索引表之虛擬表 數目;最後,DVT 2333爲資料表之虛擬表數目。 HASHF#233 1爲用於搜尋虛擬表之切碎函數的數目。 -79- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 請先閱讀背面之注Ci項!{:/寫本頁) i裝 訂·
Mr --線· 4 6 5 2 1 1 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(77 ) 元件表組態暫存器23 11描述SRAM 207中元件表2106。每 一元件表2106具有一 CTCH 231 l(i),表之CTCR 2311的索引 爲表之物理表數目2343。每一 CTCR 2335指示其表之型式 2335、表之元素的大小2337、與SRAM 207中表之開始的位 移2339。最後,VTCRs 2341描述目前使用之虛擬表。每一 虛擬表數目2127具有一 VTCR 2341,且一給定虚擬表數目 之VTCR 2341(i)包含目前由VTCR 2341(i)之VT#2127所指定 的元件表之物理表數目2323。爲了交換一給定VT#2 127所 表示的元件,所有需要進行的工作便是變更對應VT#2127 之VTCR 2341 中的 PT#2323。 訊息脈絡暫存器23 19包含與目前列表查照引擎3 〇 1所處 理之環狀匯流排訊息有關的資料。共有四個此種訊息脈络 暫存器;因此,TLE 30 1可同時處理四個環狀匯流排孰 息;等到訊息儲存於輸入FIFO 2202或於環狀匯流排3 η本 身。圖2 3僅顯示一訊息脈絡暫存器,訊息脈絡暫存器 2319(k)。每一訊息脈絡暫存器2319中具有三種資料:來自 環狀匯流排訊息之訊息資訊2321,處理資訊2327,於列表 查照引擎3 0 1中環狀匯流排訊息之處理期間產生並使用, 以及結果2329 ’包含目前處理結果。當處理完成後,—環 狀匯流排訊息中的結果將送回處理訊息之來源。訊息資説 2321包括命令的種類、與命令一同發送的資訊、環狀匯流 排訊息之來源的處理器、以及一訊息的序列數目。處理資 訊2327包括一演算法碼2323中的程式計數器、欲由搜尋所 檢索之最後鏈結表元素2111的内容、欲擷取之下—鏈結表 -80- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐〉 -----丨—丨丨丨J丨-裝--- (請先閱讀背面之注Ο-項He, J寫本頁) 訂, -線. 4652 1 1 A7 *__B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(78 ) 元素2111的S RAM 207中之位址。結果2329包含來自命令之 執行的結果資訊。在一 FindR命令的情形中,結果將包含 資料爲指定從資料表元素2119中讀取關於鑰匙之命令,或 若鑰匙中沒有發現資料表元素2119則爲一空値。 回到圖 2 2 ’ 元件 2203、2207、2213、2209、2211、與2219 藉由已對控制儲存22 1 5與暫存器儲存2205進行存取之處理 元件來實現。元件2209可藉由表記憶體2 〇 7上之SRAM記 憶體控制器2217而執行讀取操作,且元件22丨9可藉由表記 憶體207上之SRAM記憶體控制器2217而執行讀與窝操 作。當TLE 301所要的訊息出現於環狀匯流排3 1 1上時, 環狀匯流排節點2201將訊息置於輸入FIFO 2202之尾端: 命令處理器2203讀取FIFO 22〇2之頭端。命令處理器2203儲 存來自一组訊息脈絡暫存器23丨9(i)中之訊息的資訊。接著 當脈絡暫存器23 19⑴組執行訊息之命令時,其由其他的元 件所使用。 元件之功能如下: •初始索引產生器2207從一組脈絡暫存器23 19(k)中的一输 匙產生一元件表元素之初始索引; •位址產生元件2209從索引產生元件表元素之位址,並從 元件表元素中取得一鑰匙; •比較及暫存器擷取元件2211取得儲存於脈絡暫存器 23i9(k)组中的鑰匙; • SRAM資料鎖2219比較取得鑰匙與儲存鑰匙,並根據比較 以決定儲存鑰匙之資料表元素2119是否已被發現。若 -81 - 本紙張尺度適用中鹵國家標準(CN-S)A4規格(210 X 297公:t ) 4 6 5 2] A7 B7 五、發明說明(79 ) 是,則其取知資料表元素並將一含資料表元素之内容的 環狀匯流排訊息送至輸出FIFO 2221 ;否則,其取得鍵奸 (請先閱讀背面之注0^項严^--寫本頁) 表元素,並將其置於脈絡暫存器23 19(幻中,於索引產生 2 213繼續處理; •索引產生元件2213使用儲存鑰匙與取得之鏈結表元素以 產生下一表元素2111(1)之索引,並將索引置於脈絡暫存 器23 19(k)中;位址產生元件2219接著使用索引以產生下 —表元素之位址。 經濟部智慧財產局員工消費合作社印製 以一包含一findR命令2409之環狀匯流排訊息爲例,並假 設命令中的alg#指定一切碎演算法,—旦命令處理器22〇3 5又疋妥訊息之脈絡暫存器23 19(k)中的訊息資訊232 1 ,則相 始索引產生2207執行來自命令之含鑰匙的切碎演算法以獲 得値i。位址產生2209使用1以計算資料表元素2119(1)之位 址,SRAM資料鎖22 19擷取元素21 19(1)。若其鑰匙欄位 2120包含鑰匙,則搜尋完成,且SRAM資料鎖22 19製作一 包含資料欄2121中之資料的環狀匯流排反應訊息。否則, 索引產生2213增加DIX 2114,位址產生2209產生下一 DTE 2 119之位址,其擷取及測試模式如上述。繼續以此型 式執行,直到發現一鑰匙欄2120匹配鑰匙之DTE 2 119,或 資料表已到達終結。 列表查照引擎3 0 1之其他用途 XOR 2411與add 2413命令之存在可明白TLE 301不只可進 行維護列表及查照其中的資訊。因爲每一封包處理器對 TLE 301具有快速、固定最大延遲的存取,TLE 301與轉譯 -82 - 本紙張尺度適用中國國家標準(CNS)A4規袼(210 X 297公釐) 46521] A7 經濟部智慧財產局員工消費合阼达 --------- B7_五、發明說明(80) 表兄憶體2 ϋ 7 —般可用以儲存並處理關於—封、 ^ 欲處理之輸入封包流的脈絡資訊,且因此克服益所 器可獲得之相當少量DMEM 4〇5的限制。、I處理 _ 、订位址棘1罢夕 所*的資訊爲此脈絡資訊的其中一例。另— 1叫馬檢查一封 G正磙性所需的資訊,其由另一封包之酬載所栽送。一 、封包中正確性的檢查是由—封包尾端之循環冗餘碼似C) 所芫成。當封包產生時,從封包之内容計算crc,且承封 包到達其目的地時,CRC被重新計算並比較封包中所二含 的CRC。若其相同,則封包未受污損地到達的機率二常 =;若其不同,則封包已受污損的機率—樣高。在後者的 情形,封包被捨棄,且一訊息送至發送器要求封包重送。 — SDP 420必須能計算—封包之CRC,檢查—輸入封包中 的CRC,以及提供一輸出封包之CRC。當—封包被接收 或輸出時,許多爲人熟知的演算法供進行中計算CRCs。 由先前描述中可明白,計算SDP 420中的CRC需要關於 封包通過SDP 420之對於封包全程維護的c R c資訊。甚至 在更複雜的情形也是如此,其欲計算CRC之封包爲較高層 封包,爲運輸封包之酬载’且插入載送屬於不同較高層封 包之酬载的運輸封包於封包流中,該封包流正接收自 SDP 420,及/或自SDP 42〇所傳送。在此情形,必須對每 一較高層封包進行一分離的C r C計算。 在DCPIC 203中,解決CRCs之計算問題是藉由使用T]LE 處理並儲存中間結果β當一欲計算c R c之較高層封包的每 一部分通過SDP時,Ci>RC:40i搜集用以計算較高層封包之 -83- 本紙張尺度適用中國固家標準(CNS)A4規格(210x 297公.f ) --------------裝--- {請先閲讀背面之注Ο*項iL、寫本頁) _ 訂· #! 46 52 1 1 經濟部智慧財產局員工消費合作社肀製 A7 B7 五、發明說明(81 ) 該部分的中間C R C所需資訊,並發送一環狀匯流排訊息至 TL£ 301,含該資訊以及一指示該資訊如何用於較高層封 包之先前中間CRC的命令。當較高層封包之最後部分通過 時,含該資訊之最後環狀匯流排訊息被送出,且TLE 301執行 命令以完成CRC運算^ CPRC 401接著送出一讀取結果之讀 取命令2 4 0 3,並比較送回於環狀匯流排訊息之結果, TLE 301發送封包尾端的結果以決定封包是否已被污損。 TLE 30 1可被用以儲存封包流脈絡之另一區域爲傳輸量 統計。這些統計可累積於TLE 301,反應來自封包處理器 之環狀匯流排訊息’且然後可由執行處理器3丨3所讀取, 由執行處理器313或一主機處理器227使用,依dcp 203所 屬網路上目前傳輸量所需,以組織DCP 203。 佇列管理引擎3 0 5之細節 佇列管理引擎3 0 5依封包處理器所指定將描述器2丨7編 排佇列於2 1 5,並再次依封包處理器所指定從佇列中拆解 仔列。當一封包處理器單獨地操作時,其一般將描述器編 排佇列於一個以上的佇列,但僅從單一佇列中將其拆解佇 列° s封包處理器被匯聚時,所有匯聚封包處理器_般從 單一佇列中讀取。9^^3〇5更進—步提供關於個別佇列與 拆解仔列操作及關於仔列本身之狀態資訊至封包處理器。 QME 305既不讀取其編排仲列的描㈣,亦不、&定—給定 的封包處理器將對何件列進行下一拆解狩列。件列可完全 儲存於⑽203中,可儲存於那裡及/或-外部传列記憶體 2 1 3,或可料於—外部件列與规劃單元,並由其所管 M氏張尺度適用令國國家標準 •丨!ί — If 袭 i I r, ,). (請先閱讀背面之注rv項一iL寫本頁) 訂· -線· -84- 4 6 52 A7 B7 五、發明說明(82) 理。於後者,QME 305運用一命令介面以從封包處理器傳 遞佇列與拆解佇列命令至外部佇列與規劃單元上,並傳遞 命令之結果與狀態資訊回到封包處理器。此種安排佇列的 方式當然完全適於外部佇列與規劃單元。 .這裡需指出一描述器的内容是完全由封包處理器所決 定,其提供插述器至QME 305進行編排佇列,且轉譯描述 器之内容的方式完全由將描述器進行拆解佇列之封包處理 器所決定。QME 305因此爲供封包處理器間之訊息傳遞排 疋之一般系統,屬於交換系統,其他Dcp 2〇3爲一用以傳 遞封包處理器與外部佇列與规劃單元間之資訊的一元件。 封包處理器之QME介面:圖29
經濟部智慧財產局員工消費合作社印K 圖2 9顯示一封包處理器3〇7(〇之〇]^£介面29〇1,但其他 封包處理器具有相同介面,除了組織處理器3〇3本身具有 其至QME 305之私用連接。開始於qME 3〇5中介面的部 份,QME 305之區域記憶體當然爲全域位址空間3 2丨之部 分,且因此可由CP 307⑴進行存取。包含於QME3〇5之區 域記憶體中爲佇列狀態資訊2902。如稍後將作的詳細解 釋,仔列狀悲資訊2902允許通道處理器3〇7(丨)決定描述器 可從QME 305中的何佇列進行拆解佇列,以及那些佇列的 資訊。通道處理器3 0 7 (i)中的CPrc 401因此可存取 Q SI 2 9 0 I以決定下一描述器應從其何佇列中進行拆解佇 列。每一封包處理器於QME 305中具有一佇列信箱29〇3。 爲了將一描述器從一佇列中進行佇列與拆解佇列,CP 3〇7(i)藉 由酬載匯流排3 1 7而發送一佇列命令2 9丨3至c p 3 〇 7 (丨)之 -85- 本紙張尺度適用中國國家標準(CIVS)A4規格(210 X 297公爱) 4 652 1 Δ7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(83) QMB 203 °反應一拆解佇列命令,QME 3 05藉由酬載匯流 排3 1 7而將一拆解佇列訊息29〇7送回cP 307(〇。拆解佇列 訊息2907包含已拆解佇列之描述器,與關於描述器所表示 之協定資料單元的資訊,以及將描述器進行拆解佇列之佇 列的資訊。QME 3 05更進一步使用全域匯流排3 ! 9中的空 開週期以將佇列狀態報告(BqSR) 2915傳送至關於封包處 理器所飼服之佇列的個別封包。這些報告指示封包處理器 所伺服之何佇列已變空,以及何者已終止而變空。其列爲 封包處理器之區域位址空間中佇列狀態暫存器6 〇 i内的接 收分列狀態報告(RQSR) 2915。最後於CP 307(i)中具有一·{宁 列操作狀態暫存器,其包含執行狀態的兩位元,指示從 CP 307(i)所接收之QME 305的最後佇列命令。四種可能狀 態爲: •執行成功與/或間置 •未執行成功 •忙碌中,等待至命令開始執行 •忙碌中,命令由q Μ E所執行。 假設CP 307(i)正接收及傳送封包,其一般應用介面如 下:獲得產生並對一接收封包之描述器進行佇列所需的資 訊,CP 307⑴設定一寫入控制方塊6〖〇以將一佇列命令送 至CP 307⑴之QMB 2903⑴,撿查Q〇s 29u以確定信箱是 否爲忙碌,並開始發送佇列命令之直接記憶體存取。因此 將描述器進行拆解佇列時,其週期性地檢查RQSR29l5# 決定傳送封包之佇列是否已變空。若其中之一是,則 -86 - 本纸張尺度適用中國國家標導(CNS)A4規格(2〗0 公 --------------— (請先閱讀背面之注Ci項Λj寫本頁) 訂· •線)τ_ 6 4 經濟部智慧財產局員工消費合作社印製 2 11 A7 _____B7 _____ 五、發明說明(84 ) CP 307(i)以剛才所描述之佇列命令的方式發送一拆解佇列 命令。〇^1£3〇5以0丑(5^1 2907反應拆解佇列命令,且 CP 307(i)可使用包含於DEqM 29〇7之描述器以傳送其所表 示的封包。其可使用包含於DEqm 2907之其他資訊以規劃 描述器所表示之封包的傳輸,或更新其本身之佇列的狀態 複製。這裡應注意的是CP 307⑴可進行已描述過之每一件 事’而不須存取全域位址空間3 2 1之QME 305的部分,JL 因此加重全域匯流排3 1 9之負擔。當然,CP 307(i)需要更 多關於其窝入或讀取之佇列的狀態資訊,其可存取qSI 2902。 佇列命令2913之細節:圖3 0 在一較佳具體實施例中,一封包處理器可命令QME 305以 執行四項操作: •佇列組態; •將一仔列上的一描述器進行作列; •從一佇列中的一描述器進行拆解仵列;以及 •將許多佇列中的一描述器進行挣列。 這些操作的命令藉由酬载匯流排3 I 7而送至Q ME 3 0 5 ; 酬載匯流排3 1 7上的交易具有兩部分:位址與資料。有了 牵涉單一佇列之佇列命令,該位址被用以指定操作與編排 佇列,如3001所示。計數欄(CNT) 3003、交易數目(Τ#) 3005、池識別(ΡΙ) 3 007共同用於所有酬載匯流排位址;CNT 3003指定交易中讀或窝之16-位元量的數目;τ# 3〇〇5區別 相同來源至一給定目的地間的交易;ΡΙ 3007指定目的地, 可以爲ΒΜΕ 3 1 5中的一緩衝池,或ΒΜΕ 3 15所執行之緩衝 -87- 本紙張尺度適用中國國家標準(〇S;S)A4規格(210x 297公笼) --------------褒--- Ί {請先閱讀背面之注項严_ν寫本頁) _ 4652 1 Α7 Β7 經濟部智慧財產局員工消費合作社印製 五、發明說明(85) 器標籤操作及QME 305所執行之佇列操作的反向池數目。在 PI 3007指定QME 305之位址中,該位址更進一步包括一操 作指定器3009 ’其指定上述其中之一操作,以及牽涉一單 一佇列,佇列數目3 0 1 I之操作。 命令之資料部分的内容隨命令而變化。對於組織佇列命 令,資料3013指定描述器2 1 7之最大數目,·描述器2 I 7於 位址中3011所指定之佇列可包含於3015,與欲於3017中取 得描述器之QME 305中的描述器池,以及指定可交付之描 述器2 1 7數目的描述器限額301 9,但未實際用於佇列中。 當狀況改變時,組織彳宁列命令允許讀取—給定彳宁列之封包 處理器動態地變更配置於伶列之資源量◦例如,若輸出琿 有一傳送自侍列之傳輸量猝發串,正由通道處理器3 〇 7所 伺服時,通道處理器3 〇 7可使用組織佇列命令以增加描述 器的最大數目與/或佇列中的描述器限額,且當猝發串過 後,通道處理器3 0 7可減少描述器的最大數目與/或佇列中 的描述器限額β 對於單路播送佇列命令,資料3021有兩種字。第一個包 含描述器權重3023,指定欲編排佇列之描述器所表示的 DRAM 229中之資料量。第二個包含欲編排佇列於3〇丨丨所 指定之仵列的描述器2 1 7。對於拆解待列命令,資料3025 也有兩種字。第一字包含欲拆解佇列之描述器的描述器權 重3023 Μ宁列權重3027,其爲仍然於仵列中之描述器的仔 列權重總數,以及佇列長度3029,其爲仍然於仔列中之描 述器數目。第二字包括已從3〇11所指定之佇列中進行拆解 -88- 適 度 尺 張 紙 本 格 規 A4 S) N (C 準 標 家 國 ¥ i公 (請先閱讀背面之注Ci項严V寫本頁) 裝
Λ I 1« n t- iB I 訂·! _ _ E I ft— · 線! 465211 A7 經濟部智慧財產局員工消費合作社印製 B7 五、發明說明(朗) {宁列的描述器2 1 7 ^接收拆解仔列描述器之封包處理器可 使用第一字中的資訊以決定從其傳送之何佇列將發出下_ 拆解佇列命令,或發出一组織佇列命令以變更一仵列可獲 得之資源量。 多重播送传列命令,其位址部分示於303 1,其資料部分 示於3035,對一描述器進行佇列以供一個以上的封包處理 器傳輸。位址部分3031與位址部分3001之唯一不同處在於 其包含佇列層(QLEV)攔位3033,代替佇列數目欄位3〇11。 佇列層欄位3033指定接收描述器之佇列的一最小服務或優 先權層次。命令之資料部分3〇35於其第一字中包含一多重 播送向量(MCV) 3037,指示那一封包處理器輸出佇列。第 一字中也包含描述器217之描述器權重3023,其位於第二 字。如命令中的資料指示,多重播送佇列命令指定封包處 理器與服務層級代替特定佇列,且QME 305於至少具有最 小服務層級之指定封包處理器所服務的佇列中將描述器 2 0 7進行拆解佇列。描述器實際上並不複製於所有仵列 中’以下將作詳細的解釋。發送一多重播送待列命令至 QME 305的接收封包處理器也發送一命令至3 15,其 設定多重播送佇列命令之描述器中所指定的233之一計 數器;每當一傳送封包處理器接收一已進行多重播送之件 列(描述器中指示)的描述器,以及傳送由描述器之BT 233 所指定的PDU時’其發送一命令至BME315,將BT 23 3之 計數器減1。 符列資料結構之細節:圖3 1與3 2 -89 - 知纸張尺度剌巾關家標準(CNS)A4規格⑵Qx 297公爱 --------------裴— f請先閲讀背面之注項C寫本頁)
一 11 JST, I 線. 46 52 1 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(87) 圖3 1與3 2顯示資料結構之細節,其實現較佳具體實施 例中緩衝器管理引擎3 〇 5内的佇列215。取決於應用DCP 203 之特殊情形下所需佇列的數目與大小,資料結構可全部位 於DCP 203内部記憶體,佇列控制資料結構可位於pep 203 内部記憶體’且佇列本身可位於佇列記憶體2丨3,或所有 佇列資料結構可位於佇列記憶體2 1 3。 传列2 1 5鏈結描述器記綠3丨丨丨之列表。所有描述器記綠 3 111爲相同大小,但大小可由系統參數初始化時所設定。 描述器記錄是儲存於許多描述器池31〇9⑶其大小以及 池數目是由QME 305可獲得之儲存量所決定。屬於—給定 狩列之描述器記綠3 I Π必須皆來自一單一描述器池 3 109(i)。每一描述器記綠3 111至少包含下列欄位: •使用中計數器(IUC) 3 139,指示描述器目前編排佇列於佇 列上之佇列數目;以及 •下一 4曰& (NPTR) 3 11 5,指示記錄於仵列中的下—缓衝 器。 其中描述器被用以將有關一緩衝器2 3丨之資訊從一接收 封包處理器遞送至一傳送封包處理器,描述器記錄3111也 將包含下列欄位: •描述器權重(DW) 3137,指示描述器之緩衝器標籤2 3 3所 表示之緩衝器的大小;以及 •缓衝器標籤(BT) 233,描述器所表示之SDRAM 229中緩 衝器的緩衝器標籤;以及 否則,描述器2 17之内容及因此描述器記錄3Ui由描述 -90- 本紙張尺度適用中國國家標準(CNSM4規格(210x297公爱了 (請先閱讀背面之注項严L寫本頁} 裝 > Kv BH 0E* -線‘
I 4652 1 A7 B7 五、發明說明(88 ) 經濟部智慧財產局員工消費合作社印制Λ 器之來源的封包處理器所決定。例如,若來源封包處理器 正處理-封包流中的封包’纟中封包的最終目的地爲多個 乙太節點,且目的地封包處理器爲—傳送封包處理器,其 輸出封包至乙太節點所屬的一LAN,描述器217將包括封 包之乙太位址,其欲由缓衝器標籤2 3 3所指定之緩衝器的 内容而產生。圖31也顯示多重播送列表記綠(MCLR) 3123之 —池3121。如稍後將作的詳細解釋,這些記錄被使用於多 重播送。 每一狩列215由仲列列表3101中—佇列記錄31〇3所表 示。佇列列表3101位於全域位址空間321的緩衝器管理引 擎3 05之部分中’且於是可由封包處理器所讀取。侍列之 佇列數目3105爲列表3101中其佇列記綠31〇3之索引。件列 列表3101可分割爲若干區段3107,每一傳送封包之封包處 理器具有一區段。一給定封包處理器之所有佇列由列表 3101之封包處理器區段3107中一連續的佇列記錄組所表 示。全域位址空間3 2 1之QME 305部份申的組態資訊包括 佇列列表3101之每一封包處理器區段的基本位址31〇8,以 及封包處理器所欲讀取的佇列數目;於是,—封包處理器 可決定那一佇列由那一封包處理器所伺服,且給定—件列 數目,則可求得佇列記錄3103。佇列列表31(H更進—步由 QME 305所使用以決定一給定封包處理器接收何传列之廣 播佇列狀態報告2915。 每一挣列記綠3 103包含以下欄位: •頭端指標(HDPTR) 3 113 ’指示描述器記綠3〗11之記綠尸 -91 - 本紙張尺度適用中國园家標準(CNS)A4規格(2】〇 X 297公釐〉 --------------壯衣--- (請先閱讀背面之注rv項Γ/寫本頁)
J1T 線. lllnm
ML 4 6 52 11 A/ ______ B7 經濟部智慧財產局員工消費合作社,中t 五、發明說明(89) 列頭端目前爲何; •尾端指標(TPTR) 3 117,指示描述器記綠3 u i之記錄佇列 尾端目前爲何; •佇列長度(QL) 3129,爲目前於記綠佇列中之描述器記綠 3111的數目·, •總描述器權重(TDW) 3 13 1,爲記錄佇列中所有描述器記 錄之DW攔位3Π7内値的總和; •配置描述器限額(ADA) 3 13 3,爲可配置予彳宁列之實際於 件列中描述器的數目;以及 •佇列長度限制(QLL) 3 135,指示佇列之最大容許長度。 値得注意ADA 3 1 3 3與QLL 3 13 5,以及欲爲佇列描述器之 來源的池3809 ’是由组織佇列命令所設定。 一單一佇列21 5(0)顯示於圖3 1。佇列2 15(0)包含描述器 記錄3111(i..k),含位於佇列頭端之描述器記錄3m(i),且 因此由QR 3103(0)中HDPTR 3U3所指示,描述器記錄 311 l(k)位於尾端,且由QR 3103(0)中TPTR 3117所指示。 描述器記錄3 1 1 l(k)之後爲一配置描述記綠3丨1 uq .t)之鏈結 列表3 119。這些描述記綠已配置予佇列2 1 5(0),但並非其 中的部分,因爲其接著佇列215(0)之尾端。ADA欄位3 133 決定可鍵結於列表3119之描述器記綠的最大數目。 當一佇列2 1 5 (i)由一組織佇列命令進行初始化時, QME 3 05設定所配置之描述器記綠3 U 1的一鍵結列表 3 119,並設定佇列記綠3 103(i)致使頭端指標3 113與尾端指 標3117指向鏈結列表3119中的第一描述器記錄3111,且 Q L欄位3 129被設定爲0。當QME 305執行操作時,其設定 -92 - <請先閱讀背面之注rii項4C寫本頁) 丨裝 訂· 丨線、 本紙張尺度適用中國0家標準(CNS)A4規格(210 X 297公釐) 4652 1 A7 B? 經濟部智慧財產局員工消費合作社印製 五、發明說明(9〇 ) Q 0 S暫存器2 911以指示其狀態。 當一封包處理器之佇列信箱2903接收一佇列命令時, QME 305取得命令中的描述器217,將其寫入屬於命令所 指定之佇列的列表3 1 19中.之第一描述器記錄3 1 U,增加 QL 319並更新TPTR 3117以指向描述器記錄311 1被寫入的 描述器記錄3 111。若鏈結列表3 119未有描述器記錄3 111, 則QME 305增加ADA 3133中指定之數目至列表。再次地, QME 305使用QOS暫存器2911以指示操作狀態。若描述器 2 1 7被佇列前之佇列2 1 5的長度爲〇,則QME 305發送一廣 播通知2905至佇列之封包處理器,指示該佇列目前並非空 的。 當接收一佇列時,QME 305使用頭端指標3 113以定位仲 列頭端之描述器記綠3 111,從中讀取描述器,更新頭端指 標3 1 Π以指向佇列中下一描述器記綠3 111,且若列表3丨} 9 中描述器記綠3 11 1少於A D A欄位3 Π 3所允許的數目,則 增加前者頭端描述器記綠3111至列表3119 'Q〇s暫存器 291 1再次指示操作之狀態。描述器2 1 7被送回命令之返回 資料中。若拆解佇列描述器爲佇列中最後一項,則 QME 305發送一BQSR 2905,指示佇列目前爲空的。 多重播送佇列與柝解佇列:圖3 2與3 3 如以上编排仔列命令之討論,多重播送仵列命令允許一 封包處理器對一個以上之傳送封包處理器所消耗的描述器 進行编排佇列。圖3 2顯示多重播送佇列如何實現於—較佳 具體實施例。除了佇列列表3101上的佇列之外,仔列管理 -93 · 額家標準(CNS)A4 規格⑵0 X 297 公髮) ~~~~ ------- II -----------1— 裝 i 1 (請先閱讀背面之汰0-項产..7寫本頁) 訂. -線. 4 652 1 A7 B7 經濟部智慧財產局員工湞費合作钍印削1" 五、發明說明(91 ) 引擎3 0 5維護一單一多重播送列表32〇1。qME 3〇5將一於 多重播送侍列命令中所接收之描述器215置於多重播送列 表3201中的一描述器記錄3111,且描述器一直位於多重播 送列表3201中直到欲傳送描述器所指示之協定資料單元的 所有封包處理益以冗成此工作。 繼續爲多重播送列表3201之細節,其藉由—指向列表 3201中DR 3 111(g)之多重播送列表記錄32〇3所表示。列表 3201中任何DR 3 111,其表示封包處理器仍必須傳送描述 器215之協定資料單元的一描述器,將具有一或更多多重 播送列表記錄3 123。DR 3 111所附屬的多重播送列表記綠 3123儲存一指標之列表32〇9 ;該指標包括一指向多重播送 列表320 1中的下一 DR 3 Π 1,以及一指向單路播送佇列中 的 DRs 311。 圖3 2顯示DR 3 11 1 (h)之指標的細節。DR 3 11 1 (h)中的描 述器2 1 5以一多.重播送佇列命令進行編排佇列,其結果爲 揭述器2 1 5被编排佇列於單路播送佇列2i5(i)與215(j)。因 此,佇列2i5(i)中之DR3111(a)内的NXTPTR3 115指向多重 播送列表3201中的DR 31 1 1(h),佇列2 15⑴中之DR 31 1 I(k)内 的 NXTPTR 3 U 5 亦如此=DR 3 U1 (h)之NXTPfR 3 U 5指向 MCLR 3123(〇,附屬於 DR 3111(h)之第一MCLR。 MCLR 3 123(r)具有三個指標;一爲指標32Π,指向多重播 送列表320 1中的DR 3111 ;另一爲3212,指向仔列215⑴中 DR 3 I 1 1(h)之後的DR 3 1 1 1(b),第三爲32 ,指向 MCLR 3 123(s),具有一第四指標3214,指向佇列215(j)中 -94- 本紙張尺度通用令國國家標準(CNS)ZU規格(210 X 297公釐) A7 B7 五、發明說明(92 ) DR 3111(h)之後的 DR 3111(1)。 3一 ,M-.:l,-eL -----— ill — !裝 i I— ο· ) (請先閱讀背面之項'"寫本頁) MCLR 3123之細節示於圖33。每一 MCLR 3 123具有兩佇 列指標3301,每一佇列指標指定—佇列數目(3203),與一 下一指標(NPTR) 3205,指向佇列數目3203所指定之佇列中 的下一DR 3111(h) ’ 以及一下一MCLR指標(NXTMCLR)3213, 其指向附屬於DR 3111之下一 MCLR。於多重播送列表3201 之一給定DR 3111所附屬的第一 MCLR中,第一QPTR 3301 指向多重播送列表中的下一 DR 3 111。 經濟部智慧財產局員工消費合作社印製 如先前描述可知,DR 3111(h)可簡單地藉由具有每一指 向DR 3111(h)之佇列2 1 5中的前面DR 3111而產生於任何數 目之單路播送佇列2 1 5中的一 D R,且包括一指標,其指 向附屬於DR 31 1 1(h)之MCLRs 3 123中每一佇列内的後面 DR 3 1 1 1。多重播送佇列運作因此爲一事件關於增加一欲 被編排佇列至列表320 1之描述器2 1 7的DR 3 1 1 1,決定描 述器2 1 7欲被编排佇列至那一單路播送佇列2 1 5,增加單 路播送佇列2 1 5所需之MCLHs 3 123,設定如圖3 2所示之單 路播送佇列中前面的DRs 3 1 11内之指標,設定單路播送佇 列2 1 5中的尾端指標以指向多重播送列表中的DR 3 11 1,以 及設定計數器3 139以指示描述器2 1 7以被編排佇列入之單 路播送佇列的總數目。當一單路播送佇列將多重播送仵列 中D R 3 1 1 1之後的D R 3 1 1 1進行編排佇列時,其設定 MCLRs 3123中其QPTR 3301内的NPTR 3 205以指向新增之 DR 3 111。QME 305如何決定將描述器編排佇列入那一單路 播送佇列將於下面詳細解釋。 -95 - 本纸張尺度適用中國國家標準(CNS)A4規格(2】〇 X的7公釐) 46 52 1 1 ^ A/ B7 經泫部智慧財產局員工消費合作社印製 五、發明說明(93) 含多重播送列表320 1上之一 DR 3 111的拆解佇列操作如 下:只要使用中計數器大於1,則拆解佇列操作如一非位 於多重播送列表3201上之DR 3111所描述,除了每一拆解 *ί宁列操作將使用中計數器減1,且新D r 3 1 1 1中的 抑丁尺3 115由單路播送之(^1)丁11 3301中的1^1)丁1?_320 5所設 定。當DR 3 111中之使用中的計數器爲1時,拆解佇列操作 額外設定DR 3111之使用中的計數器爲〇 ,且設定其 NPTR. 3115指向多重播送列表中的下—j)R 3 111,並將其 MCLRs 3123送回一釋放列表。 於多重播送佇列中選擇單路播送 回顧多重播送佇列命令並不指定欲將描述器編排入之佇 列,但傳送封包處理器(MCV欄位3037)與一佇列或服務層 (QLEV 3033)則是。一給定佇列或服務層之意義是完全由 已設計程式以讀取佇列之封包處理器的方式所決定。執行 多重播送佇列命令時’ QME 3 05必須將此資訊轉譯爲一單 路播送佇列數。此藉由佇列數對應表(QNMT) 3303而完 成,示於圖3 3。 舉一簡單的例子’説明一傳送封包處理器如何使用佇列 或服務層,若服務層簡單地反應佇列間的優先順序,較高 數目具有較高優先權,則只要有一非空的佇列具有最高優 先順序,傳送封包處理器將不服務一具有給定優先順序的 佇列。 作列數對應表3303對於每一封包處理器具有一部份 3307。這些部份依封包處理器之數目排序。每一部份33〇7 -96- <請先閱讀背面之注項产、寫本頁) 本紙張尺度翻中酬家標準(CNS)A4 @咖χ挪公⑴ 4 6 5 2 1 A7 B? 經濟部智慧財產局員工消費合作社印制^ 五、發明說明(94 ) 對每一服務層具有一元素(QNMTE) 3305。在一較佳具體實 施例中,共有八種服務層。對於封包處理器〇之一範例部 份3307顯示於圖3 3,其中假設高·包處理器〇使用一簡單的 優先順序系統,其中較高服務層指示較高優先順序,且該 封包處理器〇具有服務層〇、3、與4之仔列。封包處理器〇 未具有佇列之一服務層的每一元素3305具有一NULL値; 封包處理器0具有彳宁列之一服務層的每—元素33 〇5包本 QROFF、彳宁列列表3 101中該服務層之仵列.的彳宁列記錄3 ^ 區塊位移、以及具有元素之服務層的封包處理器所屬彳宁列 之數目。 QNMTEs 33 05由封包處理器數目與宁列層數目所定址, 如3309所示。因此,若位址3309指定封包處理器數目〇與 佇列層3,由位址所定位的元素33〇5爲3 305(0,3)。使用指 定於元素3305(0,3)中的(^11〇1^,(51\^ 305可求得該封包處 理器之第一佇列記錄3 1 03與佇列層;其可選擇使用該传 列’或可選擇具有該仔列廣之作列的另一彳宁列記錄3 〇 3其 所指定之佇.列。若位址3309指定一含一空値的33〇5, 顯示该封包處理器對於該ff列層未具有仵列,qMe 3 〇5上 移至邵份3 3 0 7 ’直到其求得一較高仲列層之一 qnmTE 3 3 0 5 ,並於剛才描述之該層中選擇一仔列。 含QME 305之佇列管理:圖34 如稍早所述,由QME 305所管理之佇列2 1 5可完全包含 於DCP 1C 203中之QME 305的記憶體内,可包含於—擴展 佇列記憶體2 1 3中,或可由一外部佇列與規劃單元所管理 -97- fy SI--. (請先閱讀背面之iirv項rL寫本頁) 裝 訂. -線· 本紙張尺度適用中國國家標準(CNS〉A4規格(210 X 297公f ) 4 6 5 2 1 1 A7 B7 五、發明說明(95) 以反應來自QME 305之命令。圖34給予一些含有與不含外 部規,單元之組態的例子。在這些圖中,描述器2 ^ 7之流 程由實寬淺灰箭頭所示,協定資料單元之流程由虛深灰箭 頭所示。 340〗顯示一獨立的〇(:1>2〇3,其中佇列是包含sqmu3〇5所 直接管理的儲存器中;34〇3顯示—獨立的]〇(:1),其已加入 一外部佇列與規劃單元3405 ;在此組態中,外部佇列與規 劃單元處理例如一給定封包處理器之佇列數目、那些佇列 的層級、與多重播送等事件。qME 3 05具有一外部佇列及 規劃單元’ QME 305僅具有其於DCP 203中的記憶體;於 該記憶體中具有描述器2 1 7之一單一佇列,欲送至外部單 元3405與每一傳送封包處理器之佇列,接收由傳送封包處 理器所進行之傳輸中從外部單元3405發送至QME 305之描 述器2 1 7。這些佇列的功能是提供封包處理器與外部佇列 及規割單元之間的一緩衝器。 經濟部智慧財產局員工消費合作钍印ai 3407顯示一組態,其中兩DCPs 203(0與1 )由一交換组織 2 22所連接,且兩DCPs 203之佇列管理由DCP 203(1)中的 QME 305(1)所完成。QME 305(1)簡單地將欲被佇列之描述 器2 1 7的彳宁.列命令置於一由組織處理器3〇3(0)所讀取之佇 列中,其藉由组織2 2 2而將命令送至組織處理器303(1)。 組織處理器303(1)將命令傳遞至QME 305(1)上。QME 305(1) 接著依命令所指示而將佇列2 1 5上的描述器進行編排佇 列。佇列2 1 5可爲一由DCP 203(0)或DCP 203(1)中之傳送 封包處理器所讀取的佇列。由DCP 203(0)中封包處理器所 -98- 本紙張尺度適用中國國家標準(CNS)A4規格(210 297公釐) 4652 1 1 A7 -------- B7 五、發明說明(96) 讀取之佇列的彳τ列狀態資訊可透過组織處理器3〇3(1)、組 織222、與组織處理器303(0)而遞送至QME 3〇5(〇) ’其接著 設定接收器之QOS暫存器2911,或如環境所需而發送一廣 播佇列狀態報告2915至接收器。有了拆解佇列命令,如剛 才所述地將命令遞送至QME 305(1),且爲反應命令而拆解 佇列之描述器依狀態資訊所描述而被送SQME 3〇5(〇),且 從QME 305(0)至傳送封包處理器。 當一由其中之一DCPs 203的封包處理器所接收之協定資 料單元欲從一 DCP之另一 DCPsBME 3 15中的傳送封包處理 器傳送至所屬的傳送封包處理器時,其藉由組織處理器而 將緩衝器鐵王現至接收封包處理器之緩衝器管理引擎, 反應傳送封包處理器之協定資料單元的要求,藉由組織處 理器而將協定資料單元提供至傳送封包處理器之緩衝器管 理引擎以反應緩衝器標籤’接著將其提供至傳送封包處理 器。 3 4 0 9顯示一類似於3 4 0 7之配置,除了佇列是位於 QME 305(1)所管理之一外部佇列及規劃單元341丨。仵列命 令、狀態資訊、與描述器如剛才所述地被遞送於 DCP203(0)與DCP 203(1)之間,除了 QME305⑴接著將命 經濟部智慧財產局員工消費合作社印製 令遞送至外部佇列及规劃單元3 4 11,並從外部佇列及規劃 單元3 4 1 1接收狀態與描述器^ 3 4 1 3顯示一含直接飼服 QME 305(0)與QME 305(1)之外部佇列及規劃單元3415的配 置。其操作如上所描述,除了 QME 305可處理其本身之外 部單元3415或如一對其他QME 305之代理。 -99- 本紙張尺度過用中國國家標準(CNS)A4規格(210x 297公釐) 46 52 11 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(97) QME 305之外部介面:圖35 在一較佳具體實施例中,QME 305具有5 5 -接腳外部介 面,可與一外部SyncSRAM記憶體組或一如上所述之佇列 及規劃早元一1同使用。圖35顯不外部介面如何使用於母一 這些情形。3 5 01顯示記憶體外部介面。共有3 2條雙向資料 線3503,2 0條單向位址線3505,及4或5條控制線3 506。窝 入記憶體組2 1 3與從中讀取是以一般的方式完成。 3 5 0 7顯示5 5 -接腳外邵介面如何與—仔列及規劃單元 3 5 0 8 —同使用。其再次具有3 2條雙向資料線3 5 0 9,16條雙 向命令線3 5 1 1 ’與8條控制線3 5 13。就介面的影響所及, Q Μ E 3 0 5爲主,而規劃器3 5 0 8爲儂。規劃器3 5 〇 8或 QME 305可傳送一訊息至其他者,但將傳送之訊息的方向 是由QME 305所決定。訊息之轉移爲流程控制,即規劃器 3 508與QME 305指示其他關於其是否接收訊息或訊東^ 何,且除非接收器可接受該訊息,否則發送器無法發送。 QME 3 0 5也是介面之時脈訊號的來源。 可能之訊息大小有四種·· •i2位元组,其中4個爲命令,8個爲資料,傳送於2個 脈週期; • 24位元組,其中8個爲命令,16個爲資 脈週期; 傳运於4個時 • 3 6位元組,其中1 2個爲命令,2 4個爲 時脈週期; ,傳送於ό個 • 48位元組,其中16個爲命令,24個爲 ’傳送於8個 100 本纸張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐 ί丨丨I丨丨1丨ill -裝i t (請先閲請背面之注項广V寫本頁) 訂· _ 46521 1 A7 B7 五、發明說明(98 ) 經濟部智慧財產局員工消費合作社印製 時脈週期。 ^DCP 203被初始化時,m息的大小即被決定。訊息之 =容當然由QME 305與規劃器3508之間的交互作用所決 疋,但這是對於將包含描述器217的大部分,其欲於規劃 3508所管理之仔列上進行編排侍列,或已從規劃器3谓 所管理之佇列上進行拆解佇列。 °° 泥程控制進行稍微複雜,因爲QME 3〇5词服爲所有傳送 封包處理器之一代理,且每—這些封包處理器於一給定瞬 間中可以或無法接受一來自規自器35〇8的描述器。在一較 佳具體實施例中,冑包處理器最多達2 5個件列:—個作爲 執仃處理器313之用,16個作爲各個通道處理器3〇7之 用,8個作爲組織處理器3〇3之用。組織處理器3〇3具有8 個仔列,因爲其負貴所有透過交換组織2 2 2之通訊,且如 上所知,此通訊可牵涉系統控制資訊與協定資料單元。 外,需要不同種類之碼框的裝置可藉由—單一組織處理 而連接。 S使用一外邵規劃器3508時,QME 305具有一單一接% …仲歹](RQ) 3519 ,其放置所有接收自接收封包處理器之描 述器2 1 7,直到這些描述器可輸出至規劃器35〇8進行拆解 仔列,以及一每一傳送封包處理器之傳送佇列(TQ) 352i。 傳送封包處理器之TQ 352 1填滿時,qME 3〇5無法再接 任何傳送封包處理器之該佇列的描述器2丨7。 由於僅有一單一輸出佇列,因此送至規劃器35〇6之訊息 勺机較控制非常簡單:當規劃器可接受一訊息時,其啓動 此 器 收 田 收 -----------I--裝 i I、J (請先閱讀背面之注項严..1寫本頁) -101 - k紙張尺度ϋ關家標準(CNS)A4 ϋ(2】0 X 297公釐) 4 6 5 2 1 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(99 ) 一控制3513中的訊號且規割流程控制暫存器3517指示訊號 的狀恐’因此QME 305僅需要等待至控制暫存器3 5〗7如此 指示便傳送下一訊息。至QME 305之訊息的流程控制是藉 由規劃器3508中的DCP流程控制暫存器35〖5而完成,其包 括2 5個流程控制位元’各提供每一可能的傳送封包處理 器。只有當DCP流程控制暫存器3515中傳送封包處理器之 流程控制位元如此指示時,規劃器35〇8才可發送一訊息, 該訊息之最終目的地爲一給定的傳送封包處理器。由 QME 305發送至规劃器3508之每一訊息的一部份可用以雙 定或清除暫存器3 5 15中的流程控制位元,且當傳送器之傳 送佇列3521填滿時,QME 305傳送一設定傳送封包處理器 之位元的訊息,並且當傳送佇列3521再次具有供應描述器 的玄間時,其發送一重置位元之訊息。 當QME 3 0 5之外部介面一般被用以和仵列管理裝置進行 通訊時,其並不需要如此。由於一描述器的内容完全由將 其產生之封包處理器所決定,外部介面可由封包處理器使 用以透過可存取之外部介面而將資料寫入一裝置,及/或 從該一裝置中讀取資料。可使用此能力的一種方式爲將— 封包處理器程式設計爲一「封包探測j ,亦即,一簡單地 搜集關於封包流中封包之資料的裝置。RxSDP 42 1可程式 設計爲從封包流中抽出每一封包所要的資訊,並將其提供 至CPRC 401’其接著可以將資訊包裝於一描述器中,並將 描述器進行编排佇列以藉由QME 305而傳遞至一可儲存並 分析資訊的外部裝置。 -102- 訂. 本紙張尺度適用中國固家標準(CNS)A4規格(210 X 297公釐) A7 4652 1 1 _B7____ 五、發明說明(100〉 規劃外部介面之細節:圖3 6與3 7 圖3 6顯示外部介面之個別的接腳如何與一規劃器3 5 0 8 — 同使用。圖8中,行標示接腳3601顯示產生一群組之接腳 的數目,行標示方向中的箭頭顯示資訊流程的方向。 DCP->SCHED指示從QME 305至規劃器3508之流程,且 DCP'-SCHED指示相反方向的流程。開始於八個控制接脚 3513, •時脈接腳提供一從QME 305至規劃器3508之時脈訊號;. • D一flow—ctrl 3607提供從QME 305至規劃器3508之每一週 期流程控制資訊的三個位元;規劃器35〇8使用一訊息之 前兩週期上所接收之D一flow—Ctrl 3607的六個位元以設定 或清除D流程控制暫存器3 5 15中的一位元; • S_fI〇w_ctrl 3609爲從QME 305至規劃器3508之流程控制 資訊的一個位元;QME 3〇5使用接脚36〇9上的値以設定s 流程控制暫存器35 17; • Xfer—rqst 3611爲一當其需要發送一訊息至3〇5時, 规劃器35〇8所啓動之訊號;以及 • Xfer一ctd 3613爲QME 305發送至規劃器350 8之兩位元,指 示接脚上的資料與控制訊號如何於以下的時脈週期中進 行轉譯;細節説明如下。 命令接腳3511爲雙向;其包括16命令位元與丨優先順序 位元。資料接腳3509亦爲雙向;其包括32資料位元與1優 先順序位元。 介面3507之操作是由Xfer_ctr36i3所控制。兩條線中四 個値的意義如下: -103- L本紙張尺度财襲家鮮(cNSM4祕⑵Q x 297公愛) ---------------- (請先間讀背面之注項疒攻寫本頁) 訂- 經濟部智.€財產局員工消費合作社印製 "" 4652 1 A7 _B7____ 五、發明說明(1〇1) •㈣:不爲-時脈週期,接著—訊息之後; •〇1 :爲—時脈週期’接著—從QME 305至规劃器3508之 :息的第一週期後的兩週期;於訊息傳輸所需的前兩週 期間’ D_fl〇w_ctd 3607將轉移流程控制資訊至規劃器 3508 ; • 1 〇 _爲一時脈週期,接著—從規劃器35〇8至3的之 訊息的第-週期後的兩週m息傳輸所需的前兩週 期期間,D_fl〇w一Ctrl 3607將轉移流程控制資訊至規劃器 3508 ; • 11 :爲一時脈週期’接著流程控制資訊將透過 〇_〇〇评一(^1 3607而轉移至規劃器3508之兩時脈週期後的 兩週期。 由前述可知,當無訊息轉移時,流程控制資訊之6位元 可與每一訊息於每2時脈週期内從qme 305轉移至規劃器 3508。6位元之値包括一指定⑽叩操作之値,以及規劃器 3508藉由設定或重置D流程控制暫存器35 15中傳送封包處 理器之2 5佇列的每一個其個別流程控制位元所反應之値。 如先前所討論,一訊息可爲2、4、6、或8週期長,每一 週期轉移1 6位元的命令資料與3 2位元的描述器資料。命 令資料之語意取決於其中規劃器3508與QME 305的程式設 計型式,除了具有一從QME 305至规劃器3508的訊息以 外’於第一週期中的命令資料必須採取3 514中所顯示的型 式:前六位元必須具有圖樣361 5,且末六位元必須包含被 指定訊息之佇列的數目。這數目當然決定訊息將被放入那 一佇列3521。 -104- 本紙張尺度適用中國國家標準(CNS)A4規格(210x297公釐) --------1-----裝--- 「') (請先閱讀背面之注VrA項vis寫本頁) 訂·. #yl; 經濟部智慧財產局員工消費合作社印製 465211 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(1〇2) 圖3 7顯示含2 -與4 -週期訊息之介面3507的操作實例。 2 -週期訊息示於370 1。於3703中顯示介面之時脈週期: 3613中顯示於時脈週期期間xfer_ctrl 3613上之値;3511中 顯示命令資料接腳3511上爲何;3509顯示描述器資料接腳 3509上爲何;3607上顯示D_f丨ow_Ctrl接腳3607上爲何。因 此’於週期1,Xfer_Ctrl 3 613被設定爲0 1,指示下(週期3 ) 之後的週期將爲一從QME 305至規劃器3508之2 -週期訊息 3702的第一週期。於週期2,Xfer_Ctrl 3613被設定爲00指 示’下週期之後的週期將不爲一訊息之第一週期。於週期 3,命令3511與資料3509包含第一週期之該訊息的命令資 料與描述器資料値,且D_fl〇w_Ctrl 3607包含第一週期之 規劃器3508的流程控制資料値。xfer—Ctri 36丨3再次設定爲 0 1 ’指示另一從QME 305至規劃器3508之訊息的第一週期 將開始於週期5。 於週期4,訊息3702之第二半被送出,包括命令3511、 包含第二週期之命令資料與描述器資料値的資料35〇9、以 及包含第一週期之流程控制値的D_fi〇w_ctrl 3613。 Xfer_Ctri 3613再次設定爲〇〇。於週期5與6傳送第二訊 息’且於週期5,Xfer_CtrI 3613指示一第三訊息將接著, 開始於週期7。 k規劃器3508至QME 305之兩四週期訊息37〇7的傳輸示 於3705 ;於週期1,xfer_ctrl 3613被設定爲丨0,指示開始 於週期3的第一訊息將送至qme 305 ;於週期2-4,因爲訊 息爲4週期長,故Xfer—Ctri 3613被設定爲〇〇。於週期弘6, -105- 太紙張尺/£適用中阅固它神Λ 1 i目故,^ t * V 1- \ r ί 3 < -1 -
4652 1 1 A7 經濟部智慧財產局員工消費合作社印製 ___B7 _ ' ·-—— 一 五、發明說明(103) 第一訊息之四週期的命令資料3511與描述器35〇9値被傳送 出;D_flow_Ctrl3613僅傳送於訊息之前兩週期上,亦.即, 週期3與4。灯打_〇^13613於週期5再次被設定爲10,且第 二訊息之第一週期將於週期7開始。 至規劃器3508之流程控制資訊的傳輸以相’同於一兩週期 訊息之傳輸的型式運作,除了 Xfer—ctrl 3613於流程控制序 列開始前的兩週期具有値i丨之外,於週期3上,流程控制 資訊之前3位元於D—flow__Ctrl 3607上傳送,且於週期4傳 送第二3位元。規劃器3508與QME 305忽略命令資料3511與 描述器資料3509上的値。 緩衝器管理引擎3 1 5與緩衝器記憶體2 2 9之詳細描述 緩衝器管理引擎3 1 5之主要功能是管理緩衝器記憶體 229中的緩衝器231 ’其中協定資料單元自其於DCP 203中 接收時儲存直到其由DCP 203傳送。以下的敘述將首先描 述呈現予封包處理器之緩衝器管理引擎3 i 5的緩衝器記憶 體229之連繫介面,並接著描述介雨與bmE 3 15所執行之 其他功能的實現細節。 BME315之邏輯概觀:圖38 圖3 8顯示由緩衝器管理引擎3 1 5所產生的缓衝器記憶體 2 2 9之連繫介面。一較佳具體實施例中的緩衝器記憶體 229可被分割爲最多32個緩衝器池3803。其中η爲池數 目,η-1個這些池包含缓衝器231,其依序包含協定資料 單元2503。π-1池的數目與大小以及緩衝器2 3 1的數目與大 小決定於進行DCP 203之初始化時;一池最多可具有64k -106- 本纸張尺度迺用中國國家標準(CNS)A4規格(210 X 297公爱) --------------裝--- -) f請先閱讀背面之注f項严V窝本頁) _ 訂: ;線」 4652 1 1 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(104) 緩衝器,且所有緩衝器2 3 1爲相同大小,在較佳具體實施 例中範圍從6 4位元组至6 4 k位元組。每一池2803由一池識 別3819所識別,且池中的每—緩衝器由—缓衝器標籤233 所識別;一缓衝器2 3 3内,位置是由位移3802所指定;這 裡’位移指疋PDU 3 804之開始。在一較佳具體實施例中, 位移指定一 1 6位元組資料量的開始。 11111缓衝器池2803包含缓衝器的緩衝器標籤2;33。每一n_ 1緩衝器池2803具有一緩衝器標籤佇列38〇5。一緩衝器池 2803⑴之緩衝器標籤佇列38〇5⑴包含緩衝器池28〇3⑴中 每一缓衝器23 1之緩衝器標籤元素3806,且緩衝器231(i,j) 之緩衝器標籤元素3806(i,j)包含緩衝器231(i,j)之緩衝器標 籤233。每一佇列2805具有一指向佇列頭端的指標38〇7與 一指向传列尾端的指標3 809。仵列被設定於DCP 203初始 化之時。當DCP 203中一接收封包處理器需要池2803⑴中 緩衝器的缓衝器標籤時,其接收它們來自佇列38〇5⑴之頭 端;當一傳送封包處理器釋放缓衝器標籤時,它們被送回 佇列3805(i)之尾端。 當然,若一多重播送命令已將一含给定緩衝器標籤 233(i,j)之描述器置於佇列管理引擎3 〇 5中一個以上的佇列 215,在缓衝器標籤233(i,j)的最後複製被送回之前,缓衝 器標籤233(i,j)無法被送回佇列38〇5⑴之尾端。此程式於一 較佳具體實施例中是藉由缓衝器計數器3 8 11所處理。每— 緩衝器標籤之緩衝器計數器3811具有一元素3813,其中 QME 305内超過一個佇列,且元素包含緩衝器標蕺目前所 -107- -------I----- -裝i雷 (請先閱讀背面之項G寫本頁) . _ t 本紙張尺度適用中國國私標準(CNS)A4規格(21〇 X 公爱〉 A7
46 52 1 1 五、發明說明(105) 在之佇列的計數。該元素可由池識別與緩衝器標籤所定 址0 當一接收處理器產生一描述器之多重播送命令時,其發 送一訊息至BME 3 15,指示描述器所在之佇列的數目;由 傳送封包處理器所接收之描述器包括來自DR 3 Π 1之用以 傳輸的IN C値;當IN C値大於0時,封包處理器發送—計 數器減量至BME 315指示6丁計數器3811中8丁八0之計數器 應被減少;當計數器減至0時’緩衝器標籤2 3 3被送回其 緩衝器標籤佇列3805之尾端。 BME 3 15接收寫入緩衝器2 3 1之命令,從緩衝器2 3 1中讀 取,獲得緩衝器標籤,送回緩衝器標籤,並從封包處理器 中透過酬載匯流排3 1 7而設定及減少B T中的元素。讀取及 寫入缓衝器的命令具有如圖39中3901所示之型式。該攔位 具有下列意義: • C NT攔位3903指示轉移中之有效、連續的1 6位元組量之 數目; • T# 3905用以區別一給定封包處理器所進行之匯流排交 易; •池識別3907識別緩衝器池3803(0_,n-l); •位移3909指定8丁八〇3911所識別之緩衝器中的位移3802; 以及 • BTAG 39 11識別欲讀或寫之緩衝器2 3 1。 池識別3907、位移3909與BTAG 3911 ~同產生緩衝器位 址3 9 13。如以下將對酬載匯流排所進行的更詳細解釋,一 -108- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------------裝--- ί請先閲請背面之注項寫本I) 訂· 經濟部智慧財產局員工消費合作社印製 A7 465211 _B7_ 五、發明說明(106) 命令是否爲一讀或寫命令是由出現命令的酬載匯流排週期 所決定。池識別値0指定B T池3 803(n),且池識別値0x1 F指 定QME 305之命令。有了讀取命令,QME 3 15將指定的資 料量與交易#3905 —同由指定緩衝器送回要求封包處理 器。要求者可因此使用交易數目追蹤送回資料對應何要 求。 封包處理器可執行以下3丁八〇池3 803〇)中8丁厶〇3 233上的 BTAG讀取操作: *酉己置BTAGs 233 ;以及 •於BT計數器211中讀取一BTAG 233之CNT元素2813 ; BTAG窝入操作爲: •初始化 BTAGs 23 3 ; •拆解配置一BTAG 233 ; •於計數器3 8 11中設定一;BTAG 233之計數器; •減少一 BTAG 233之計數器。 這些命令的型式示於圖3915。BT POOL ID 3907指示 BTAG池3803(n),其中一BTAG被指定,BTAG位於BTAG欄 位391 1,其中一計數器被指定,其位於CNT 3903中,且 OFFSET 3909包含一指定其中之一 BTAG命令的命令値 3917,以及一指定緩衝器池的一池識別3919,屬於BTAG 命令所影響的BTAGs。其中該命令需要一反應,交易數目 3905以此反應送回。 應用於B T A G讀取命令之欄位如下:於配置命令中, CNT 3903指示要求封包處理器發出命令之BTAGs的數目。 ^ 109 - 本紙張尺度適用中囤國家標準(CNS)A4規格(210^ 297公t ) ------- 111 丨 — i· . I I (請先閱讀背面之注V,#項广^寫本頁) ή1τ· / 經濟部智慧財產局員工消費合作社印製 4 6 5 2 11 A7 B7 經濟部智慧財產局員工消費合作fi.:pai 五、發明說明(1〇7) 取決該値,要求者將從池識別3919所指定的池中接收8、 16、24、或 32 BTAGs 233 ; BTAG欄位 391 1 當然被忽略。 BME 315藉由在一酬载匯流徘上寫入要求者而將BTAGs 233 送回要求者。 於計數器讀取命令中,CNT 3 903被設定爲0,B丁AG3911 包含BTAG 233,其B T計數器中的計數値欲被讀取,且池 識別3919包含BTAG 233所屬之池3803的池識別器3819。 BME 3 15藉由在酬載匯流排上窝入要求者而送回計數値。 繼續爲BTAG窝入命令,初始化命令被用以設定BTEs 3806 中BTAGs 2 3.3之値。在命令中,CN丁指定欲初始化之 BTEs 3 806的數目;可能數目爲8、16、24與3 2。池識別 3919指定欲初始化之BTAGs 233所屬的池3803,以及欲寫 入的緩衝器標蕺仵列3 805。 拆解配置命令將一單一 BTAG 233送回BME 3 15進行再使 用。於該命令中,池識別3919指定BTAG 233欲被送回的所 屬緩衝池3803,且BTAG 3911包含BTAG 233。 於計數命令中’池識別3919指定BTAG 233之緩衝池識 別,設定或減少其計數器,且BTAG 3911指定BTAG 233本 身;於設定計數器命令中,CNT 3903包含計數器欲被設定 的値。QME 315藉由於btaG 233之BT計數器中產生— CNT元素3813以反應設定計數器命令,並將其設定爲命令 所指定的値。當接收封包處理器將一多重播送佇列命令送 至一含有BTAG 233所表示之PDU的描述器之QME 305 時,設定計數器命令藉由接收封包處理器而發出。減少計 -110- 本紙張尺度過用中國國象標準(CMS)A4規格(210 X 297公爱〉 --------------裝— {請先閲讀背面之注0-項寫本頁> Μ: 訂· •線. 4652 1 A7 B7 五、發明說明(108) 經濟部智慧財產局員工消費°乍土^-4 數器命令藉由每一傳送封包處理器而發出,當其已傳送 PDU時,其傳送一欲進行多重播送之協定資料單元。當計 數器減至0時,。1^丁3813所屬之6丁'〇 233被送回3丁八〇233 之緩衝器池的BTQ 3805尾端,且計數器3811中BTAG之元 素無效。 BME 3 15之實現細節:圖4 0與4 1 BME 315除了作爲供寫入或讀自緩衝器231及配置與送 回緩衝器標籤2 3 3之介面以外,其也作爲一般至SDRAM 229 之介面。圖4 1顯示SDRAM 229之内容。4103中除了 BTAG 與緩衝器池3803(0,,η)之外,SDRAM 229包括: •記憶體组態資訊4111,其管理SDRAM 229之組態; •封包處理器碼與資料4 109,其包含當DCP 203進行初始化 時XP 313載入至封包處理器之碼與資料;具有通道處理 器’該碼與資料包括用以將序列資料處理器進行初始化 之碼與資料。 •轉譯表4107包含於DCP 203進行初始化時XP 3 13載入至轉 譯表207之轉譯表; • RTOS 410 1爲XP 3 1 3所執行之即時作業系統碼;X p資料 記憶體4 105包含執行rt〇s 41 0 1時由XP 3 1 3所使用之資 料。 XP 313從RTOS 4101與XP資料記憶體4105中擷取指令, 依需求送入IMEM 1 503與DMEM 1507及1508。 圖4 0爲一較佳具體實施例中bmE 3 15之硬體的一方塊 圖。BME 3 15同時耦合至全域匯流排3 i 9與酬載匯流排 3 17。BME 3 15藉由酬載匯流排3 1 7而接收並反應BTAG ; -111 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) 言青 先 閱 讀 背 之 C 本 頁 46 52 1 1 A7 經濟部智慧財產局員工消費合作汪中沒 _B7_五、發明說明(109) 其接收來自全域匯流排3 1 9上之XP 3 13的記憶體讀取要 求,並藉由酬載匯流排3 1 7以反應讀取要求。封包處理器 之初始化是以相同方式完成。 BME 3 15藉由這些匯流排而接收之每一處理要求包括一 命令4004與一位址3913,且寫入命令也包括資料3818。該 位址如何轉譯必然是取決於命令的種類。命令於命令剖析 器4003中進行剖析。至SDHAM 229之命令與其他命令被不 同看待,如400 i所示,其前往DRAM組態邏輯403 5,將資 料遞送至一組態FIFO 4037,從那裡將資料載入DRAM组態 暫存器4030。 其他命令如何被處理是取決於其是否爲讀或寫命令或其 他命令。其他命令前往命令FIFO ;讀取命令的位址前往讀 取位址FIFO 4013 :寫入命令之位址前往命令FIFO 402 1 ; 且資料前往寫入資料FIFO 40 17 ;欲讀取以反應一命令之資 料被輸出至READ DATA FIFO 4043 ;這些FIFOs用以提供 DCP 293與SDRAM 227之間介面中所需的伸縮性。在位址 的情形中,位址產生方塊4011將用於緩衝器中的位址與 βΤΛ<3命令#譯爲供SDRAM 229<適當型式;爲了達到如 此,位址產生方塊4011包括一缓衝器组態檔案,其指定 SDRAM 229中目前緩衝器2 3 1如何被组織。如目前所實 現,SDRAM 229中對應一給定緩衝器位址39 13之一位址計 算如下: SDRAM address = pool base address (pool ID) + ((Btag & Btag mask (pool ID)) >> Btag shift (pool ID )) CAT -112- <請先閱讀背面之注V,#項产L寫本頁) 本纸張尺度適用中®國家標準(CNS)A4規格(210 X 297公釐) 46 52 1 A7 B7 經濟部智慧財產局員二消費卜乍土 五、發明說明(110) ((offset & offset mask (pool ID))) 從FIFOs ’命令、讀取位址、與寫入位址個別前往佇列 4067、4015與4025。於佇列4067之頭端中的命令由 DRAM CTRL 4〇〇9所讀取,將其轉譯爲目前dram組態暫 存器4039之設定的需求’並提供需要之控制訊號至mux 4025 及位址驅動器40 19及SDRAM 229之資料收發機4041。 於讀取位址佇列4 1 5之頭端上的位址由位址產生器4027 所讀取,其將位址提供至驅動器4019並指示一讀取操作。 於窝入位址佇列4025上之位址也由位址驅動器4019所讀 取’其將位址與一窝入命令提供至位址驅動器4 1 9。同 時,於寫入資料佇列4029之頭端上的資料被輸出至資料收 發機4041,致使其可被輸出至SDRAM 229。由於PDUs至一 傳送封包處理器之供應較儲存SDRAM 229中的PDUs具有 時間上的要求,故位址產生器4〇 17給定讀取位址佇列4015 之優先順序。 爲避免一讀取操作讀取一等待欲寫入資料佇列4029之資 料,而造成獲得失效資料的情形,ΒΜΕ 315包括一CAM 4023。 當一位址被窝至窝入位址佇列4025之尾端時,該位址之元 素被產生於CAM 4〇23 ;當一位址被寫至讀取位址佇列4〇 1 5 之尾端時,其也被輸出至CAM 4023 ;若具有一匹配,則於 讀取位址佇列4〇 15中下一位址被位址產生器4017所讀取之 前,於寫入位址佇列4025中之位址的佇列被清空。 BTAG快速緩衝貯存區4031包含來自每一 BTAG佇列3805 之頭端的B T A G s 2 3 3 ;佇列3 8 0 5之剩餘部分位於 -113- 請 先 間 讀 背 面 之
I 5裝 頁 訂 .:# 本紙張尺度適用中國國家標準(CNS)A4規格(2i0 X 297公釐) A7 4652 1 1 五、發明說明(111) SDRAM 229。當BTAGs 233之一要求從一封包處理器中抵 達時,其儘可能地符合BTAG快速緩衝貯存區4〇3丨;否 則’其符合SDRAM 229中佇列3 805之部份,炎仵列之 BTAG快速緩衝儲存區從佇列3805之部份中重新載入。 BTCNT 3811實現BT計數器3SI1。緩衝器標籤計數命令 設定、讀取與減少BTCNT 381Ϊ中的値;每當一減少BTag 命令接收時,BTAG之CNT元素中的CNT値如下所述而減 少。 自SDRAM 229讀取之PDUs被輸出至讀取資料FlF〇 4〇43 ; 自FIFO 4043之輸出與自〇1^]^組態4035之輸出、BTACH^ 速緩衝貯存區4031、與BT CNT 3811均前往^^乂 4〇46,其 選擇輸出至讀取資料佇列4045 ’依序輸出至酬載匯流排 3 17» 環狀匯流排3 1 1之細節:圖2 8與4 2 環狀匯流排3 1 1主要由封包處理器所使用以發送協定資 料至TLE301以進行轉譯,並接收來自TLE3〇1i轉譯結 果。然而,環狀匯流排311可用以發送訊息至環狀匯流排 3 1 1上的任何節點’以及從環狀匯流排3丨丨上的任何節點 接收回覆。在一較佳具體實施例中的節點爲封包處理器或 TLE 301。 ° 環狀匯流排3 II設計用以提供保證的存取頻寬與匯流排 之節點間的訊息之有限延遲。匯流排爲91位元寬,2?位 元作爲控制資訊,與64位元作提供欲從傳送節點發送至接 收節點之資料。匯流排被分時多工爲可變數目的時槽,每 "114 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公楚) 4 6 52 A7 B7 五、發明說明(112) 一時槽包括一核心時脈週期。每一時槽以排成長龍的型式 從知點遞送至節點。當目前位於一節點上的時槽未被佔用 時(未包含環狀匯流排訊息2801 ),該節點可將一節點之訊 息窝入時槽中(其他具體實施例可允許多節點之訊息寫入 一時槽)。該訊息接著從節點至節點地循環,直到目的地 節點將其從時槽中移除。 每一節點於包含訊息之環狀匯流排3 1 1中可具有一至五 個時槽’訊息之來源爲該節點。若訊息未使用一個以上的 時槽,則那些時槽不存在於環狀匯流排3 1 1上β由此描述 中可知,從匯流排上一節點傳送一訊息至另—節點所需的 時間隨匯流排上訊息之數目而變,所需時間之上限爲每一 節點具有五個環狀節點上包含訊息之時槽。 訊息2801共有五種型式。每一訊息的型式由時槽中型式 欄位2807之値所指示。訊息之來源的節點由SRC 2825所指 示,且目的地節點由DEST 28 1 3所指示ώ這些型式爲: •未被佔用 •指示,由來源所使用以調查目的地是否對環狀匯流排訊 息有反應。該指TJf不包含資料。 •確認,由一指示之目的地所使用以反應指示之來源。該 確認不包含資料β "Λ •要求,一未受請求訊息,含目的地欲揲作之資料,以及 在某些情形將含有操作結果之反應訊息送回要求之來 源。 •反應,-由要求之目的地所發送至要求之來源的訊息, 含有來源之目的地所執行之運作的結果。 -115 - 本纸張S度綱^瞧料(CNS)A4祕 (請先閱讀背面之iiv#項Γν.·寫本頁)
4 6 5 2 1 |, • A7 ------ B7 五、發明說明(113) 圖4 2顯示每一節點連至環狀匯流排之環狀匯流排介面 4201。其目的地爲節點之訊息有兩種FIF〇s,nF〇 42〇3包 含要求訊息;FIFO 4209包含反應其目的地爲節點之訊息; FIFO 42〇3與FIFO 42〇9均由節點所讀取。溢位FIF〇仏丨丨提 供其來源爲卽點之訊息,因爲其目的地未讀取這些訊息, 故必須持續於環狀匯流排3 1 1上循環。當其目的地爲節點 之訊息抵達節點時,其依其所需的型式置於FIF〇。若該 FIFO沒有空間’則該訊息繼續循環。 節點藉由缓衝器4214而將訊息輸出至環狀匯流排3丨丨,其 從 rbus一in 4202、溢位 FIFO 4211、與要求 fifo 42 17 中接收 訊息,包含欲由節點所發送的要求訊息。若溢位FIF〇 42 u爲 空的,當一其來源爲節點之訊息於節點上接收時,其立刻 放入缓衝器4 2 1 4以在其所到達之時槽上輸出;若溢位 FIFO 4211並非空的,新接收之其來源爲節點的訊息被置 於溢位FIFO 42 11之尾端,且於溢位FIF〇 42丨丨之頭端的訊 息被置於緩衝器4214作爲新到達訊息之時槽中的輸出。若 新接收之訊息爲空的’且溢位FIF〇 42丨i未被填滿,於要 求FIFO 4217之頭端上的訊息前往空的訊息時槽;否則, 於溢位FIFO 4211之頭端上.的訊息前往該時槽。此機制確 保一節點僅有在其他節點正處理其所發送之訊息時,該節 點才可透過環狀匿流排3 1 1發送一新訊息。指示與確認是 由介面4201所掌控於硬體層且不進行編排件列。 全域與酬載匯流排 以下這些匯流排之實現的描述將開始於一用於此兩 -116- 本紙張尺度適用中國國家橾準(CNS)A4規格(2〗0 χ 297公釐) --------------裝--- .) (請先閲讀背面之注r'·事項t寫本頁) _ 訂. Α7 Β7 五、發明說明(114) 排之單一匯流排結構的描述,以及接近描述匯流排本身的 細節。 要求匯流排與返回匯流排:圖4 3與4 4 在較佳具禮實施例中,全域匯流排3丨9與酬載匯流排 3 1 7被分時多工爲一單一匯流排結構。該匯流排結構示於 圖43中的4301。1流排結構4301爲一有槽的 '多通道的' 共用的、隨意的Μ流排,允許流管式運作與重巷運作。每 一運作開始於一要求,佔有5個時脈週期時槽。匯流排操 作於一 1 66兆赫之時脈速率。封包處理器43〇3 ' βΜΕ 3 15 與QME 305皆連接至匯流棑結構,且這裡將被稱爲匯流排 節點。 匯流排結構4301具有兩部分,要求匯流排43〇5,由匯流 排節點使用以產生匯流排要求,並提供位址與資料作爲要 求,以及返回匯流排4317,用以將一匯流排要求的結果送 回要求匯流排節點。要求匯流排43〇5具有三條通道:兩個 命令/位址通道提供載送命令與位址,亦即全域匯流排命 令/位址通道4307提供載送全域匯流排運作之位址與命 令,酬載匯流排命令/位址通道4309提供載送酬載匯流排 運作之位址與命令,以及一資料通道43U提供載送全域匯 流排與酬載匯流排運作之資料。於較佳具體實施例中,每 —命令-位址通道爲32位元寬,且資料通道4311爲128位 元寬。返回匯流排4317具有兩通道,返回位址通道“以, 其載送一要求與欲送回之返回資料的位址,以及返回資料 通道4319,其載送欲被送回的資料。再次地,返回位址雨 -------------裝--- (請先閲讀背面之項广k寫本頁) 訂· -117- 46 52 1 1 A7
五、發明說明(115) 道4321爲32位元寬,而返回資料通道4319爲128位元寬。 爲了執行一匯流排運作,一存取一時槽的節點將運作所需 的命令與位址置於其中之—命令_位址通道43〇7上,以及 將運作所需的任何資料置於要求資料通道4311上。當—運 作將資料送回要求者時,匯流排控制4315將_要求放入返 回位址通道上之返回資料的來源,然後將位址置於欲送回 之返回資料中,且返回資料之來源接著置於欲送回返回資 料通這43 19上之資料。—節點至匯流排結構43〇1的存取是 由匯流排控制4315所控制。如以下將進行的更詳細解釋, 匯流排控制4315提供要求匯流排43〇5與返回匯流排4317之 一保證的頻宽部份予每一節點。 圖4 4顯不一匯流排運作如何執行於一節點。每—時槽佔 有5個匯泥排週期。於—命令-位址通道43〇7或43〇9上,一 時槽週期期間之匯流排上的資訊示於44〇2 : •週期0 :要求4405,指定該運作; •週期1,·位址4407,指定該運作之位址; •週期2 :匯泥排授予4409 :於此週期期間,匯流排控制 43 1 5送回一訊號指示那一節點已接收存取; •週期3 ·回應認可44U :若指定於先前時槽中的運作成 功’則控制43 1 5於此週期中送回一回應認可訊號; •週期4 :位址4 413,指定一運作之第二位址。 如以下將進行的更詳細解釋,位址的用法是由運作所定 義。 一節點可與匯流排結構4301執行之運作—般有兩種:短 -118- 本紙張尺度適用中國囤家標準(CNS)A4規格(21〇 κ 297公釐) 4 6 5 2 1 \ a? ------ Β7 五、發明說明(116) 運作,轉移4位元組資料,以及長運作,轉移64位元组資 料^這兩種運作之每一種都具有一讀取運作與—窝入運 作。於一給定時槽4402之内,一封包處理器43〇3可執行— 讀取運作以及另一種窝入運作。短運作是指定於全域匯流 排命令-位址通道4307,而長運作是指定於酬载匯流排命 令-位址通道。 圖44中,短運作説明於4425。於一短運作中,若資料於 運作中欲被寫入,則在要求命令-位址通道43〇9上所要求 之運作期間,於時槽4402中的第一位址爲寫入位址4415, 若資料欲被讀取,則最後位址爲讀取位址料1:^有了寫入 運作,已被授予存取要求匯流排33〇5之節點將4位元組欲 被寫入之資料置於時槽4402之第5週期中要求資料通道 43 U上寫入位址所指定之位址。 有了短讀取運作,當匯流排控制器43 15授予匯流排進行 一璜取運作時,其將—節點的要求4421置於時槽44〇2之第 4週期中的返回位址通道4321上。匯流排控制器4315將資 料4423之返回位址置於下一時槽44〇2之第i週期中的返回 位址通道4321上,且指定於要求442丨中的節點將返回位址 本身置於下一時槽4402之第3週期中的返回位址通道4321 上。 長運作示於4427。於時槽4402之週期期間,一節點要求 長運作,節點將一長讀取運作之讀取位址丨7置於週期 1中要求位址匯流排上;節點將一長寫入運作之寫入位址 4415置於週期4中要求位址匯流排上。於—長寫入運作 -119- 本紙張尺度翻巾標準(CNS)A4規格⑵β χ 29?公爱1 (請先閱讀背面之irlw事項寫本頁) .-裝 訂· 1 一 B7 五、發明說明(117) 中,若節點已被授予存取,其將欲被寫入之6 4位元组資料 置於下一時槽4402之1-4週期中16-位元組量的要求資料通 道43 11上。於長讀取運作中,若節點已被授予存取,匯流 排控制器43 15將一指定反應節點之要求置於時槽44〇2之第 5週期中的返回位址通道432 1上;其將要求節點之位址置 於下一時槽4402之第1週期中的返回位址通道4321上;反 應郎點將指示有效之返回位址中1 6 -位元組資料量數目之 —計數値4435置於下一時槽4402之第2週期中的返回位址 通道4321上’且反應節點將返回資料4437置於下一時槽 4402之第3週期中所開始之4個1 6 -位元組資料量内的返回 資料通道4319上。 要求與返回匯流排上之全域匯流排3 1 9與酬載匯流排3 1 7 的實現:圖4 5 圖4 5於4501顯示全域匯流排3 1 9與酬載匯流排3 1 7如何 被多工爲醒流排結構4301。由圖4可知,時槽4402爲五週 期長,然而欲寫入4429之長資料與欲被送回之長資料4437 皆爲四週期長,欲被寫入44 19之短資料與欲被送回4420之 短資料皆爲單一週期長。 因此匯流排結構43 01上之短運作與長運作可能重疊,如 圖45所示,且因而使用要求資料通道4311之每5週期中的 4週期供酬載資料,第5週期供全域匯流排資料,且返回資 料通道4319以相同方式。圖45中,實現全域匯流排3 19之 短運作示於圖的上半面;實現全域匯流排3 1 7之長運作示 於圖之下半面。如圖之中間部分所示之要求命令-位址通 -120 - 本紙張尺度適用中國國家標準(CNS)A4規格(2】0 X 297公釐〉 46 52 1 1 A7 經齊茚 -5·'·'. 本 B7 五、發明說明(118) 道43 07與43 09,酬載時槽4507較全域時槽45〇3早—週期開 始;因此酬載時槽4507之欲被寫入的長資料4429執行於全 域匯流排時槽4503之欲被寫入的短資料44丨9與全域匯流排 時槽4 5 0 5之欲被寫入的短資料4 4丨9兩者之間的要求資料U通 道4311上。相似地,於返回匯流排4317上,酬載要求45卯 之欲被送回的長返回資料4437執行於全域要求45〇3之欲被 送回的短資料與全域要求4505之欲被送回 間的返回資料通道4319上。 全域匯流排3 17上的匯流排存取與定址:圖45 圖45顯示全域匯流排3 1 9與酬載匯流排3丨7之時槽44〇2 如何被分割爲偶時槽與奇時槽。一偶_奇時槽配對最多產 生10-週期4502。偶與奇時槽對應封包處理器43〇3之偶與 奇群组。群組之關係如下列: 封包處理器4303 群组 通道 處理器 307(15,13,11,9,7,5,3,1) 奇 通道 處理器 307(14,12,10,8,6,4,2,0) 偶 執行處理器3 1 3 奇與偶 組織處理器303 TX 奇與偶 ----~-__ 奇與偶 _ _ _ _ --------------- 組織處理器303 RX 每一週期4502中,可執行四個全域匯流排交易: -121 -
46 52 1 1 a: __ _ _B7^_ 五、發明說明(119) •於奇時槽中:一短讀取運作與一短窝入運作β 每一這些型式的交易具有一分離的標記。標記是以循環 的型式旋轉於一群組中的封包處理器之間,某封包處理器 具有一運作的標記爲群組中該運作的最高優先順序。若具 有該標記的該封包處理器並未要求交易,則依順序之最接 近標記的要求封包處理器被授予匯流排。一封包處理器接 獲對匯流排之存取的最大延遲爲100週期。無封包處理器 具有寫入要求之時槽被佇列管理引擎3 0 5使用以廣播佇列 狀態報告2915至封包處理器。 於全域匯流排交易中’讀取位址44丨7與寫入位址44丨$爲 平的32位元位址。返回資料通道4319上的位址4423爲一有 效位元,接著一處理器識別器之後,該識別器識別接收爲 一封包處理器、BME 315或QME 305。 酬載匯流排3 1 7上的匯流排存取與定址:圖4 5 酬載匯流排3 I 7上的匯流排存取運作如上述全域匯流排 3 19 ;再次地,每一週期45〇2被分割爲一奇時槽與—偶時 槽,JL封包處理器被分派如全域匯流排之奇與偶時槽。再 次地,於一單一週期45〇2之内,酬載匯流排交易有四種 槽: •於偶時槽中:一長讀取運作與—長窝入運作; •於奇時槽中:一長讀取運作與一長窝入運作。 標記被用以決定全域匯流排之封包處理器間的優先順 序除了 QME 3 0 5或執行處理器3 1 3沒有特殊的安排。長 凟取及寫入運作之位址爲圖39中所示之酬載缓衝命令。 (請先閱讀背面之注項Γν寫本頁) 丨裝: _ 訂- -122- 465211 A7 I五、發明說明(120) 回位址通道4321上之返回酬載資料的位址類似於返回全域 資料,除了其額外包括—三位元交易數目,匯流排控制器 43 1 5複製資料欲被送回之酬載緩衝器命令中的交易數目 3905 » DCP 203作爲一一般化之資料流處理器 雖然先前已討論DCP 203如何被使用於一封包交換中, 但熟知此項技藝的人士將可了解DCP 203可被用於任何處 理資料流之應用中。藉由匯聚,DCP 203之通道處理器 3 0 7可被組織以掌控序列位元流、半字節流、與位元组流 中的資料,且組織處理器3 〇 3可掌控3 2 -位元字所組成之 資料友中的資料。TLE 301提供一用以储存及處理每—資 料流之脈絡資訊的機制,qME 305提供一機制,將有關包 含於流中酬載之資訊從接收包含酬載之流的封包處理器遞 送至傳送包含酬载之流的封包處理器,以及至連接至 QME 305之外部單元。組織處理器3〇3允許DCp 2〇3被連接 至另一 DCP 203 '至一平行匯流排、或至一交換组織,且 因此允許從許多DCPs 203中建構大的處理資料流裝置,並 允許DCPs 203與其他用以處理資料流之裝置結合。 封包處理器可被設計程式以掌控任何種類的資料流。一 可程式設計之SDP 420與一可程式設計之cpRC 4〇1與每一 封包處理器中I DM A引擎的結合允許分離運作從流中酬 載抽出流中的控制資料、處理控制資料 '轉移酬載至BME 315。使用CPRC 401内之資料範圍以維護關於目前由sDp 42〇 與DMA引擎對流處理之狀態’允許平行進行處理控制資 -123- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297 ---- --------------裝--- Λ], , (請先閱讀背面之注項严^-寫本頁) _ 訂· A7 4 6> 5 2 1 1 _ B7 五、發明說明(121) 料與移動BME 315及SDP 420間的酬載,並大量地簡化 CPRC 401之程式。SDP 420中的傳送處理器與接收處理器 可設計程式以反應輸入流中的圖樣與位元計數,且直接旁 通之設計允許簡單組態之傳送處理器與接收處理器處理不 同型式的資料㉟。更進-步的彈性彳由组織—SDp以循環 一資料流而獲得,匯聚通道處理器之說計掌控高速序列流 或包含半字節或位元組之流,且組織ί/〇接腳之設計以工 作於不同型式的傳輸媒介。 DCP 203處理在資料流處理中所固有的時間限制,藉由 使用一環狀匯流排,保證封包處理器與TLE 3〇ι之間通訊 的最小延遲’藉由使用一有槽匯流排’轉移B 5與封 包處理器間酬載的猝爹資料轉移,將緩衝器標籤從 臓315轉移至封包處理器,以及封包處理器與卩瀬撕間 之描述器的轉移。封包處理器、QME3〇5,與bme3i5間的 協調是藉由一全域位址空間而達成,其允許這些裝置對每 一其他的區域記憶體進行存取。於封包處理器之叢集的情 形中,叢集之成貝迅速地對每—其他的區域記憶體進行存 取。 結論 先前詳細的描述已對那些熟知此項技藝的人士揭露有關 本發明主現予發明者之運用其技術進行處理資料流的最佳 模式,以及關於設計用以處理並按路線遞送封包之一數位 通訊處理器積體電路。熟知此項技藝的人士將可立即了解 數位通訊處理器之個別的特殊功能將可用於這裡所揭露之 • 124-
4652 1 1
10109號專利申請案 Η書修正頁(90年9月) A7 B7 著7矿説明(122 ) 以外的脈絡中’且可以不同的方式結合。熟知此項技藝的 人士將可更進一步了解特殊功能的許多不同實現方式是可 能的。基於所有先前所述的理由,詳細描述被視為所有個 別的示範,而非限制,且本發明在此欲宣告的範圍並非由 詳細描述所決定,而是由範圍更廣的專利申請範圍所解 釋。 ' 元件符號說明 1 19尾端 1 〇 1典型的封包交換 1 0 2公用網路 1 0 3封包交換機 1 0 4私用網路 105(a)乙太 LAN 1 0 5 fn)乙太節點 106實質媒介 l〇6(i)媒介 1 0 6 ( a ··· m )媒介 106(n…z)媒介 l〇7(n)乙太節點 l〇9(n) IP點 1 0 9區域網路 1 1 1 A T Μ廣域網路 1 I 3封包 1 1 5頭端 1 1 7酬載 12 1 I Ρ封包 123 IP頭端 125 IP酬載 127運輸封包 129頭端 1 3 1酬載 133尾端 1 3 5封包流 2 0 1封包交換機 20 3數位通訊處理.器積體 電路 2 0 3 ⑴ DCP 2 0 3 (1 -X) DCP 2 0 4序列輸入 204(i)序列輸入 2 04(i,j)序歹丨J輸入 125 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公楚) 裝 訂
L ASi32 1 1 號專利申請案 丨書修正頁(90年9月) A7 B7 五、發明説明(122a ) 205序列輸入及輸出 2 0 5 (i)房列配對 20 5(j)序列配對 2 0 6序列輸出 2 0 6 (i)序列輸出 20 6 (j)序列輸出 206(k,l)序列輸出 20 7轉譯列表記憶體 209,209(a)轉譯表 2 1 1 (i)轉譯表 213轉譯表元素 2 15,2 15(j)佇列記憶體 2 1 5 (m)佇列 217佇列 2I7(k)佇列 2 1 9,22 1描述器 222頭端 223 GPIO 介面 2 2 5 P C I匯流排介面 226 GPIO 介面 2 2 7 P C I匯流排介面 22 8主機 22 9選擇之主機處理器 2:31 128位元寬度介面 231(i) SDRAM缓衝器記 憶體 2 3 3缓衝器 3 0 1緩衝器 3 0 3緩衝器標籤 3 0 3 (i)列表檢查引擎 303(k)組織處理器(FP) 3 0 5佇列管理引擎 307可程式通道處理器 3 07( 1 "· 15)通道處理器 3 07(1…η)通道處理器 3 07(i)通道處理器 3〇7(j)通道處理器 3 0 7 (j,0…3 )四個通道處 理器 3〇7(j,i)通道處理器 307(j,k)通道處理器 309通道處理器 3〇9(i)叢集 3〇9(j)叢集 3 1 1環狀匯流排 3 1 3執行處理器 3 1 5緩衝器管理引擎 3 1 7酬載匯流排 -125a- 本紙張尺度適用中國國家標準(CNS) A4规格(210X 297公釐)
6 5 2 1 1 A7 B7 ,%糊_<1.109號專利申請案 痛Ϊ書修正頁(90年9月) 五、發明説明( 122b 3 1 9 3 2位元全域匯流排 3 2 1全域位址空間 4 0 1通道處理器精簡指令 運算核心 403指令記憶體
4 0 5 D MEM
40 6 FIFO
40 7 MUX 4 1 1酬載匯流排介面 4 1 3酬載匯流排 4 1 5環狀匯流排介面 4 I 7抽取空間 4 1 9合併空間 4 5 1、4 5 3位元組處理器 50 1區域記憶體 5 (Π (i)區域記憶體 5 03叢集記憶體 5 0 3 (j,i)通道處理器記憶 體 504全域位址空間之部分 5 0 5佇列狀態資訊 5 0 7區域記憶體 5 1 1信箱 5 1 3區域記憶體 5 15緩衝器狀態資訊 5 1 7區域記憶體 4 2 0序列資料處理器(S D P ) 5 1 9全域組態暫存器 421 RxSDP 42 7 (ΐ,0···3) TxSDP 427(j,0) TxSDP 427(j50--3) TxSDP 433、435匯聚路徑 4 3 7 ' 43 9叢集路徑 4 4 1循環路徑 443可組織的物理邏輯 44 5接腳邏辑 449碼框支應處理器 5 2 1系統介面組態資訊
6 0 1抽取暫存器 6〇1(〇) —抽取暫存器 6 1 0 WrCB 6 I I控制方塊暫存器 612 SONET頂端位元 013 RxSDP 控制 6 1 5 T X S D P 控制 6 1 7環狀匯流排控制暫存 器 125b- 本紙張尺度適用中國國家標竿(CNS) A4規格(210 X 297公釐) __46 52 1 1 A7 B7 啤9允5曰f8里ί109號專利申請案 平衫光書修正頁(90年9月) 1^説明(122c ) 619循環計數暫存器 6 2 0事件時序 62 1佇列狀態 624資料範圍暫存器 6 2 5 C P節點暫存器 625(〇)資料範圍 62 5 (1).資料範圍 6 2 5 (i)資料範圍 627 SDP模式暫存器 63 0暫存器 63 1事件暫存器 6 3 2資料範圍事件暫存器
633 RxCB
633(〇) RxCB 6 3 5 Rx狀態 63 6 Rx狀態暫存器
637 TxCB 6 3 9 Tx狀態 641、643 Τχ資料範圍 645(〇) Txmsg 647(o,i) RkResp 暫存器 7 0 1流程圖 805迴路 9 0 1 D Μ E Μ位元组位址 9 0 3 Rxrcy 位址 905 Txrcy 位址 907 DMEM DMA 位址 9 〇 9緩衝池數目 91 1長度 913 RxDBCTL 9 1 5 ST 9 17 ΕΟΡ 919 BCTL 狀態 92 I擁有者位元
92 7 NR 9 2 9使用位元 93 1偏移 9 3 3 BTAG 93 5 OWN糊位 93 5 ( 1 )擁有者位元 937 L2 Done 939 LI Done 941 Busy 1001 8b/l〇b 解碼 1 002多工器 1 0 0 3 小的 FIF Ο 1 0 0 4標記匯流排 1005接收位元處理器 -125c- 本紙張尺度適用中固國家標準(CNS) A4規格(210 X 297公爱) 4 6 5 2 1 10109號專利申請案 明書修正頁(90年9月) A7 B7 説明(122d ) 1006 多工器 1 202多工器 1007 接收S 0 N E T碼框器 1203 小的 F IF 0 100 8 路徑 1204多工器 10 0 9 接收同步處理器. 1205 Tx位元處理器 10 10 多工器 1 206多工器 10 11 先入先出(FIFO) 1207 TxSONET碼框器 10 13 接收位元纽處理器 1 2 0 8多工器 10 14 多工器 1 2 1 1大的非同步FIFO 10 15 旁通路徑 1213 TxByte處理器 10 17 標記匯流排 1215旁通路徑 10 19 路徑 1 2 2 3匯聚路徑 1023 FIFO 1 2 2 5 T X B y t e標ΐ己匯;荒 102 5 缓衝器 1 2 2 8多工器 1 0 2 7, 1 0 2 8標記匯流排 1 2 2 9 1 6位元組緩衝器 110 1 控制儲存 1 3 0 1 2 8個叢集I/O接脚 110 5 下一位址邏輯 1301(0)叢集I/O接腳 — 1109 計數器 13 0 1(2) CLP 1 109(0…3) 8位元計數器 13 0 1(14) CLP 1111 CRC 13 0 1(21) CLP 1115 一般暫存器 1301(27) CLP 1117 内容可定址記憶體 1 3 0 3 ( 0,0 )通道處理器 (CAM) I / 0接腳 1119 ALU 1303 (0,6) CLP 112 1 狀況碼多工器 1 3 0 3 ( 1,0) CPP -125d - 裝 訂 本紙張尺度適用中國國家標準(CNS) A4规格(210 X 297公釐) >~_-A.6 ^ p " A7 B7 蜱號專利申請案 ^赛^月_修正頁(90年9用、 (I22e ^ 1 3 03 (2,0) CPP 1 3 03 (3,0) CPP 1 3 0 3 (3,6 ) CPP 1307時脈多工器 1309外部全域時脈 1309(0…7)外部全域時 脈輸入.
13 11 CPGLC 1313區域時脈 13 15通道處理器標記環 1 4 03記憶體 1 4 0 5匯流排控制記憶體 140 7精簡指令運算核心記 憶體 1 409 共用 IMEM 1441輸出 1 5 0 1 X P RI S C核心處理 器 1 5 0 3指令記憶體 1 504指令唯讀記憶體 1 5 06指令記憶體載入器 1 5 0 7,1 5 0 8區域資料記憶 體(DMEM) 1 5 1 1,1 5 1 3,1 5 1 5 介面 1517 —般目的I/O介面 1 52 1 PROM介面 1 5 2 3 PCI 介面 1 52 5 PROM 介面 1 6 0 1組織控制引擎 1 6 02 Tx組織控制引擎 1 6 0 3資料記憶體(DMEM) 1 6 0 4 R X組織控制引擎 1 6 0 5多工器 1 6 1 1環狀匯流排介面 1 6 1 3抽取空間 .1 6 1 4路徑 1 6 1 5介併空間 1 6 1 6匯流排 1617 Rx组織處理器 1 6 1 9 3 2位元匯流排 1 6 2 0路徑 1 6 2 1 R X组織處理器 1 6 2 3 3 2位元匯流排 1625,1627直接連接 1 7 0 1頭端抽取器與轉譯器 1703頭端酬裁分離器 1 70 5 酬載FIFO 1 7 0 7組織頭端轉譯器 -125e - 本紙張尺度適用中國囷家標準(CNS) A4规格(210X297公爱)
i£52 U Α7 Β7 时1^)&|〇1〇9號專利申請案 以書修正頁(90年gjn "X、發明説明(122f )
1 70 8 輸入 FIFO 1709頭端產生器 1 7 1 1頭端與酬載合併
1713 FIFO 1 7 1 5组織頭端產生器 1 7 1 7 R X組織資料處理器 1 8 0 1組織碼框 1 8 0 3織織頭端 1 8 0 5碼框頭端 1 8 0 7酬載 1 8 0 8碼框頭端 1 8 0 9固定長度 1901,1905,2001 組態 2 002 # D C P it # 2 0 0 3顧客特定路線介面 2 1 0 1 (0…7)八個表池 2 1 0 1表池 2 101(1)表池 2 105表指標 2 106元件表 2 1 0 7鏈結表 2 1 0 9鏈結索引 2111鏈結表元素(LTE) 2111(1)下一表元素 2 1 1 3控制資訊 2 1 1 4資料索引 2U4(i)索引 2 115鏈結資訊 2 1 1 7資料表 2119資料表元素(Dte) 2119(1)資料表元素 2 1 2 0鑰匙 2 1 2 1資料 2122 FIFO 2 124資料結構 .2 1 2 5搜尋演算法數目 2127虛擬表數目 2129演算法指定器 2 2 0 1環狀匯流排節點 2202 輸入FIFO 2203指令處理器 22〇5暫存器儲存 2207初始索引產生器 2209位址產生元件 2211比較及暫存器擷取元 件 2213索引產生元件 2 2 1 5控制儲存 -125f- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 46521】 109號專利申請案 A7 B7 漁月書修正頁(90年9月) ,明(122g » 22 17 SRAM記憶體控制器 22 19 SRAM資料鎖 2 3 0 1演算法組態暫存器 2 3 1 1表組態暫存器
23 1 1 (i) CTCR 2 3 1 9訊息脈絡暫存器 2 3 1 9 (i)訊息脈絡暫存器 2319(k)訊息脈絡暫存器 2 3 2 1訊息資訊 2 3 2 3切碎碼 2325 LVT1 2327 LVT2 23 2 9 LVT3 23 3 1 HASHF# 2 3 3 3 DVT 2335 CTCR 2 3 3 7大小 2 3 3 9位移 2 3 4 1虛擬表組態暫存器 234 1 (i) CTCR 2343物理表數目 240 1 Write 命令 2 403 Read 命令 2405 Find 命令 2407 Find W 命令 2409 FindR命令 24 1 1 XOR 命令 24 13 Add 命令 2415 Writereg 命令 2 4 17 Readreg 命令 2419 Echo 命令 242 0. Nop 命令 242 1索引命令 2423鑰匙命令 2425索引命令 2427暫存器命令 2503協議資料單元 2 5 0 5媒介封包 26 03 3位元同步遺失輸出 2 7 0 1組態 280 1 訊 2 803控制 2 805 Μ攔位 2807 ΤΥ攔位 2809 LEN襴位 28 11 SEQ 襴位 28 13 DES 丁襴位 2815 SRC 觸位
裝I. 訂
-125g- 本紙張尺度適用中國固家標準(CNS) A4规格(210X 297公釐) 4-S-52
09號專利申請案 i 1~ 修 ϊί WfQfl 年 Q A7 B7 五、發明説明(122h ) 28 17 資料 3 0 2 9佇列長度 29 0 1 Q Μ E介面 3031位址部分 29 02 挣列狀態資訊 3 0 3 3佇列層(Q L Ε V )欄位 2 9 0 3 佇列信箱 3035資料部分 2 9 0 3 (i) QMB 3 03 7多重播送向量 29 0 5 廣播宣告 3 1 0 1佇列列表 2907 拆解佇列訊息 3 1 0 3传列記錄 29 11 QOS 3 103(0) QR 29 13 仔列命令 3 1 〇 5佇列數目 29 15 佇列狀態報告 3 1 0 7區段 (BQSR) 3108基本位址 3 0 03 計數爛 3 1 0 9描述器池 3 00 5 交易數目 3 1 0 9 (i)單一描述器池 3 007 池識別 3 1 1 1描述器記綠 3 009 操作指定器 3 1 1 1 (i)描述器記錄 30 11 佇列數目 3 1 1 1 ( k )描述器記錄 3 0 13 資料 3 1 1 1 (g *·· t)描述器記錄 3 0 15 描述器限制 3 1 1 3頭端指標 3 0 17 描述器池 3 115下一指標 3 0 19 描述器限額 3 1 1 7尾端指標 .3 0 2 1 資料_ 3 1 1 9列表 3 0 2 3 描述器權重 3 1 2 1 池 3 0 2 5 資料 3 1 2 3多重播送列表記綠 3 0 2 7 仵列權重 3 1 2 5演算法數目 -125h- 本紙張尺度適用中國國家標準(CNS)八4规格(210X297公釐) 4 8 52 1 1 〇Λ Λ β 10109號專利申請案 A7 B7 ¥•奸曰、由笔明書修正頁(卯❹、 發明説明(122i 3 129佇列長度 3 1 3 1總描述器權重 3 1 3 3配置描述器限额彳宁列 3 135長度限制 3 137描述器權重 3139設定計數器 3 2 0 1單一多重播送列表 3 2 0 3佇列數目 3 2 0 5下一指標 3213下一 MCLR指標
3 30 1 第一 QPTR 3 303佇列數對應表 3 3 0 5元素 3307部分 3 3 0 9位址
3403獨立的DCP 3 4 0 5規劃單元 3 407組態 3 4 0 9配置 3 4 1 1外部佇列及規劃單元 3 4 1 3配置· 3 4 1 5外部佇列及規劃單元 3 5 0 I記憶體外部介面 3 5 0 3 3 2條雙向資料線 3505 20條單向位址線 3 5 0 6控制線 3 5 0 7規劃器外部介面 3 5 0 8佇列及規劃單元 3 5 0 9雙向資料線 3 5 1 1命令接腳 3 5 1 3 8條控制線 35 14指令資料之方式 3 5 1 5 D C P流程控制暫存 器 3 5 1 7規劃器流程控制暫存 器 3 5 1 9單一接收器佇列 3 5 2 1傳送佇列 3 6 0 1行標示接腳 3603行標示方向 3 6 0 5時脈接腳 3 6 0 7 D_Fl〇w_Ctrl 3 609 S_Fl〇w_Ctrl 3611 Xfer — Rqst 3613 Xfer一Ctrl 3615第一命令碼 3 7 0 1 2週期訊息 3 702 4週期訊息 -125Ϊ- 本紙張尺度適用中國國家標準(CNS) A4规格(210 X297公釐) 48 52 1 1 (Η 09號專利申請案 1書修正頁(90年9月) A7 B7 明(122j 3703時脈週期 3705 2-4週期訊息 3 8 02位移 3803(n) BTAG 池 3 8 0 5佇列 3 8 07指標 3 8 1 1緩衝器標籤計數器 3 8 1 3元素 3 8 1 8資料 38 19池識別 3 903 CNT攔位 3 9 0 5交易數目 3 90 7池識別 3 90 9位移 3 9 11 BTAG 3 9 1 3组衝器位址 3 9 1 7命令值 3919池識別 4003命令剖析器 40 0 9 DRAM CTRL 4001位址產生方塊 4013 位址 FIFO 40 1 5佇列 4 0 1 7位址產生器 4019位址驅動器 4021寫入位址FIFO 4023 CAM 4 0 2 5窝入位址佇列 ,4027寫入資料FIFO 4 0 2 9資料佇列 403 0 DRAM組態暫存器 403 1 BTAG快速緩衝貯存 區 403 5 DRAM組態邏輯 4 0 3 7 組態 F IF 0 4 0 3 9 D R A Μ組態暫存器 4041資料收發機
4043讀取資料FIFO 4 0 4 5讀取資料佇列
4101 RT0X 4 105 XP資料記憶體 4 107轉譯表 4109封包處理器碼與資料 記憶體 4 1 1 1組態資訊環狀匯流排 420 1介面 4202 Rbus Ijj
4203 ' 4209 FIFO -125j- 本紙張尺度逋用中國國家標準(CNS) A4规格(210 X四7公釐) 4 6 5 2 1 1 09號專利申請案 f修正頁(90年9月) A7 B7 *明(122k ) 42 11 溢位FIF 0 4420 返回資料 42 14 緩衝器 4 42 1 要求 42 1 7 要求IF 0 4423 資料 4 3 0 1 匯流排結構 4429 6 4位元組資料 43 03 封包處理器 44 3 5 計數值 43 0 5 要求匯流排 4502 週期 43 0 7 全域匯流排命令/位 4 5 03 偶數全域區流排時槽 址通道 4505 奇數全域匯流排時槽 4 3 0 9 酬載匯流排命令/位 4 5 0 7 偶數酬載時槽 址通道 45 09 奇數酬載時槽 4 3 11 資料通道 460 1 表 4 3 15 匯流排控制 .4603 '4605 '4607 欄 43 17 返回匯流排 470 1 接腳模式暫存器 43 19 返回資料通道 4703 資料 CngfgBits 43 2 1 返回位址通道 470 5 RxC1k Mux 4402 時槽 47 07 TxClk Mux 4405 要求 4709 Rx Data Enable 4407 位址 47 11 Tx Data Enable 4409 匯流排授予 47 13 SDP模式暫存器 44 11 回應認可 47 15 RxEn Bit 44 13 位址' 47 17 、47 1 9、472 1、 4 4 1 5寫入位址 4 4 1 7讀取位址 4 4 1 9短資料 4723、4725 ' 4729 位元 4731醒聚模式欄式 1 1 0 1 7標示環 -125k- 本紙乐尺度適用中國國家標準(CNS) A4規格(210X 297公釐)
卿9.尽5B f
A7 B7 ...Λλ 6 5 2 11 止k 8^1〕109號專利申請案 ]書修正頁(90年9月) 五、發明説明(1221 ) 2 3 1 1 3尾端指標器 CPP(0 · 6)七個 I/O 接腳 -1251-本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐)

Claims (1)

  1. 465211 381 1〇1〇 窈 8 S 8 8 ABCD 申請專利範圍 經濟部智慈財產^O' 1. 一種積體電路,包括: :::料流輸入與/或輸出,接.收與 多個處理資料流之資料流處 每,㈣流’ 被耦合至一资拉β終 ,, BD母一賞料流處理器 資枓机輸入與/或資料流輸出,並 :包含指令之可窝入指令記憶體;以及 一接收處理器,循序地執行 輸入所接收之資料流,與/或Hu處理自資料流 傳送處理器’循序地執行某此户人v占 資料流輪出的資料流。丁某^令以處理供輸出至 一種積體電路,包括: = 或輸出,接收或傳送資料流; 夕個處理資料流之資料流處理器, 二 被轉合至—資科流輸入與/或資料流輸出,並包:處理益 窝入資料流處理器之區域記憶體’ 多:屬於一全域位址空間之區域記憶 科流處理器所定址。 田仕何# 一種積體電路,包括: 多個資料流輪入與/或輸出,接收與/或傳 多個處理資科流之資料流處理料:一· 被辆合至—資料流輪入與/或資料流輸母出;贫科4理器 —脈絡處理器,對應接收來自一给定 資訊,處理-資料流以產生關於给定資科.'二;理器之 訊,.並提供脈絡資訊至處理器:貧科—資 該給定資料流處理器使用脈絡資訊以處理資科流。 2. 3. -126- 本紙張尺度適用t國國家標準(CN$) A4規格(2獻297公赛) (讀先閱讀背面之..sol·項rJ寫本頁} -裝- 訂 { n _ 六、申請專利範圍 .如申請專利範圍第3項之積體電路,其中: 脈絡處理器接收資訊並藉由一匯流排提供脈絡資訊, 匯灿排上對於每一資料流處理器與脈絡處理器具有一延 遲上限。 5.—種積體電路,包括: 多個資料流輸入與/或輸出,接收與/或傳送資料流, 一資料流包含控制資料與一酬載; 多個處理資料流之資料流處理器’每一資料流處理器 被韓》至資料流輸入與/或資料流輸出,一接收資料 流被進行處理以抽出控制資料與酬載,且一傳送後的資 料流被處理以將控制資料增加至酬載: 緩衝器管理器,用以儲存酬載之緩衝器的位址,並 二二緩衝器位址回應一寫入運作,將酬載寫入已定址的 、.爰衝器,以及以—緩衝器位址反應一讀取運作,將酬載 從已定址之緩衝器中讀出;以及 經濟部智慧財產局員工消費合作社印製 —佇列管理器,管理酬載之描述器的佇列,每一描述 态至少包括一緩衝器位址,佇列管理器藉由將一具有命 ?之描述器進行編排佇列,以回應一編排佇列命令至— 叩令所指定的佇列,並藉由將一描述器從命令中所指定 之仵列進行拆解佇列,以回應一拆解佇列命令; 一資料流處理器藉由執行—寫入至緩衝器管理器的運 作,以回應一接收到的資科流.,其含有接收資料流之酬 載與緩衝器管理器所提供的一位址,並執行一含有包含 位址之描述器的編排佇列運作,及藉由執行一拆解佇列 -127-本紙張尺度適用中國國女樣隼(CNS ) A4規格(210X297公釐) 465211 經濟部智慧財產局員工消費合作社印製 多個資科 多個處理 被麵合至一 一匯聚器 料流處理器 已匯聚之 一可組織 的運作;以 一組織器 接與可组織 Λ8 B8 C8 D8 t、申請專利乾圍 運作以傳送一資料流,借— 更用述器中之位址作爲一 缓衝器管理器之讀取運作φ ^ 哭吓中拆解佇列運作之結果,使用 接收自緩衝器管理器的酬載 執以屋生一資料流,並傳送所 產生的資料流^ π 6. —種積體電路,包括: 成輸入與/或輸出,接收與/或傳送資料流; 資料流之資料流處理器H料流處理器 資料流輸入與/或資料流輸出,以及 ,匯來某些資料流處理器,致使已匯聚之資 協同處理一資料流,該匯聚器包括: - 資料流•處理器間的可组織交互連接; 的運作協調器,協調已匯聚之資料流處理器 及 ,依匯聚資料處理器所需而栺定可組織的連 的運作協調器。 7. —種積體電路,包括: 多個# H輸人與/或輸出’接收與/或傳送資料流; 多個處理資料流之資料流處理器,每—資料流處理器 被耦合至一資料流輸入與/或資料流輸出,並包括 一控制資料處理器, 接收處理器,處理自資料流輸入所接收之資料流, 與/或 ' 一傳送處理器,處理供輸出至資料流輪出的資料流, 以及 -128- 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐了 (請先閱讀背面之:項寫本頁) -鬚. 1Ί -:41
    A8 B8 C8 D8 六 經濟部智慧財產局員工消费合作社印製 652 1 1 申請專利範園 "制資料處理器、接收處理器與/或傳送處理器所 /、用的資料心構’且包含接收處理器與/或傳送處理器 與L制資料處理器所使用之資訊,用以協調一由接收處 理器與/或傳送處理器與控制資科處理器所進行之資料 流的流管式運作處理。 8, —種m電路,包括: 多個資科流輸人與/或輸出’接收與/或傳送資科流; 多個處理資料流之資料流處理器,每一資料流處理器 被搞合至-資料流輸入與/或資料流輸出,並包括: -接收處理器,序列地處理資料流以輸出至資料流輪 出; -傳送處理器,用以序列地處理輸出至資料流輸出; 接收處理器與/或傳送處理器具有多個處理元件,且 可被组織爲在進行資料流處理時直接旁通一或多個元 件0 9. 一種積體電路,包括-· 多個序列資料流輸入與/或輸出,接收與/或傳送資料 流; . .’、 多個處理資料流之資料流處理器,每— ^ A 节貧料流處理器 被耦&至一資料流輸入與/或資料流輸出,並包括 一接收處理器,序列地處理自序列資姐 a 貝封現輸入所接收 之資料流,與/或 一傳送處理器,序列地處理資料流以輪 顿出至序列資料 流輸出, -129- 本紙張尺度適用中國國家標準(CNS ) A4规格(210X297公釐)
    A8 B8 C8 D8 4 6 5 2 1 六、申請專利範圍 接收處理器將一已處理之資料流寫至一記憶體,且/ 或傳送處理器從記憶體中讀取一已處理之資料流,且接 收處理器可被組.織以從記憶體中讀取—欲被處理之資科 流,且/或傳送處理器可被組織以將—已處理之資料流 寫至記憶體。 10. —種積體電路,包括: 多個序列資料流輸入與/或輸出,序列地接收與/或傳 送資料流; 至少一組平行資料流輸入與/或輸出,平行地接收與/ 或傳送資料流; ^ 多個處理資料流之序列資料流處理器,每一序列資料 流處理器被耦合至一序列資料流輸入與/或資料流輸 出,並包括: 一序列接收處理器,處理自序列資料流輸入所接收之 資料流,與/或 一序列傳送處理器,處理資料流以輸出至序列資料流 輸出,以及 至少一平行資料流處理器,其耦合至一組平行資料流 輸入,每一平行資料流處理器包括: —平行接收處理器,處理自一組平行資料流輸入所接 收之資料流,與/或 一平行傳送處理器,處理資料流以輸出至一組平行序 列資料流輸入。 11. 一種積體電路,包括: -130- 本紙張尺度逋用.中國國家標準(CNS ) A4%格(27^1^餐) 1_羼 1^--------^-- (請先閲讀背面之注{^項广丨寫本頁} g 訂 經濟部智慧財產局員工消費合作社印製 4 6 5 2 A8 B8 C8 D8 六、申1青專利範圍 多個接收與/或傳送訊號之J / 〇接腳; 一處理由訊號所表示之資料的資料流處理器; 一可寫入組態指定器,用以指定多個有關的組態;以 及 耦合於多個I/O接腳與資料流處理器之間的組態電 路,回應組態指定器,用以組織J / 〇接腳如組態指定器 所指定, 該積體電路可與眾多傳輸協定一同使用。 12_如申請專利範圍第1 !項之積體電路,其中: 該組.¾&指定器指定I / 〇接腳之電氣性質;以及 組態電路以所需之電氣性質组織j / 〇接腳。 13_如申請專利範圍第1 1項之積體電路,其中: 具有多個資料流處理器:以及 組態指定器指定多個資料流處理器中何者被連接至多個 I/O接脚, 、多個資料流處理器可共用由多们/〇接脚所接收與,或 傳送之訊號所表示的資料處理。 (請先閱讀背面之項W,、寫本頁) -裝- • Ktf nfl MRHi 1 經濟部智慧財產局員工消費合作社印製 -131- 本紙張尺度適用中國國家檩準(CNS〉A4規格(210义297公釐)
TW88110109A 1998-10-27 1999-06-16 Digital communications processor TW465211B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10582398P 1998-10-27 1998-10-27

Publications (1)

Publication Number Publication Date
TW465211B true TW465211B (en) 2001-11-21

Family

ID=22307976

Family Applications (1)

Application Number Title Priority Date Filing Date
TW88110109A TW465211B (en) 1998-10-27 1999-06-16 Digital communications processor

Country Status (1)

Country Link
TW (1) TW465211B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7899924B2 (en) 2002-04-19 2011-03-01 Oesterreicher Richard T Flexible streaming hardware
CN111385257A (zh) * 2018-12-28 2020-07-07 致茂电子(苏州)有限公司 网络封包处理方法及其装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7899924B2 (en) 2002-04-19 2011-03-01 Oesterreicher Richard T Flexible streaming hardware
CN111385257A (zh) * 2018-12-28 2020-07-07 致茂电子(苏州)有限公司 网络封包处理方法及其装置
CN111385257B (zh) * 2018-12-28 2022-03-15 致茂电子(苏州)有限公司 网络封包处理方法及其装置

Similar Documents

Publication Publication Date Title
US7647472B2 (en) High speed and high throughput digital communications processor with efficient cooperation between programmable processing components
US5625825A (en) Random number generating apparatus for an interface unit of a carrier sense with multiple access and collision detect (CSMA/CD) ethernet data network
US5963543A (en) Error detection and correction apparatus for an asynchronous transfer mode (ATM) network device
TW589825B (en) Communications system using rings architecture
US6226267B1 (en) System and process for application-level flow connection of data processing networks
US5668809A (en) Single chip network hub with dynamic window filter
US20030167348A1 (en) Communications system using rings architecture
KR100633755B1 (ko) 디지털 통신 프로세서
JPH07321823A (ja) マルチキャスティング機能を備えた装置
JP2002541732A (ja) バルクデータトランスファのためのサービスアジャストメントの自動検出方法
US11258726B2 (en) Low latency packet switch architecture
JPH08505991A (ja) アクセス制御atmスイッチ
JPH01503746A (ja) パケット交換に関する改良
JPH07321822A (ja) マルチキャスティング機能を備えた装置
JPH10505977A (ja) デスクトップ用非同期転送モードアダプター
WO1999053406A2 (en) High-speed data bus for network switching
CN1486560B (zh) 通过单一通信交换机交换异步传输模式、时分复用和分组数据的方法和装置
TW465211B (en) Digital communications processor
US7218638B2 (en) Switch operation scheduling mechanism with concurrent connection and queue scheduling
JP3652245B2 (ja) パケットスイッチ
US20040081158A1 (en) Centralized switching fabric scheduler supporting simultaneous updates
US20020027909A1 (en) Multientity queue pointer chain technique
TWI271066B (en) High-speed processing mechanism of packet-switching
Chen et al. Integrated access device (IAD) solution using Intel IXP2350 network processor
Wu Architecture Design of Various Shared-Memory ATM Switches

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees