TW439191B - Fabrication method of shallow trench isolation region - Google Patents

Fabrication method of shallow trench isolation region Download PDF

Info

Publication number
TW439191B
TW439191B TW88123090A TW88123090A TW439191B TW 439191 B TW439191 B TW 439191B TW 88123090 A TW88123090 A TW 88123090A TW 88123090 A TW88123090 A TW 88123090A TW 439191 B TW439191 B TW 439191B
Authority
TW
Taiwan
Prior art keywords
layer
honing
patent application
shallow trench
trench isolation
Prior art date
Application number
TW88123090A
Other languages
Chinese (zh)
Inventor
Ji-Jin Luo
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Priority to TW88123090A priority Critical patent/TW439191B/en
Application granted granted Critical
Publication of TW439191B publication Critical patent/TW439191B/en

Links

Landscapes

  • Element Separation (AREA)

Abstract

A fabrication method of shallow trench isolation region comprises forming a trench on the substrate; covering a silicon nitride buffer layer on the silicon oxide filling layer above the trench after forming a silicon oxide filling layer; then, implanting boron into the silicon oxide filling layer, so as to increase the polishing removal speed of the silicon oxide filling layer in the subsequent chemical-mechanical polishing process by implanting boron. The silicon oxide filling layer will have a significant polishing selectivity with respect to the silicon nitride buffer layer, whereby the silicon nitride buffer layer is polished and removed later, so that the purpose of protecting the silicon oxide filling layer above the trench and avoiding the dishing effect after the chemical-mechanical polishing is accomplished.

Description

經濟部智慧財產局員工消費合作社印製 4 3 91 91 ^ 5 5 75twf.doc/006 A7 B7 五、發明説明(/ ) 本發明是有關於一種積體電路之隔離結構的製造方 法,且特別是有關於一種淺溝渠隔離區(Shallow Trench Isolation ’ STI)的製造方法。 元件隔離區係用以防止相鄰的元件產生短路的現象。 典型形成元件隔離區的方法係採用局部區域氧化技術 (LOCOS)。然而,採用局部區域氧化的方式具有應力過 大的問題與場隔離結構周圍形成鳥嘴區(Bird’s Beak)等 缺點。其中,特別是鳥嘴區的形成,使得以LOCOS方式 所形成之場隔離結構並不能應用於高密度(High Density) 元件中,所以必須以淺溝渠隔離方式所形成之元件隔離結 構來取代。 淺溝渠隔離法是一種利用非等向性蝕刻方法在半導體 基底中形成溝渠,然後在此溝渠中塡入氧化矽,以形成元 件之隔離區的技術。由於淺溝渠隔離法所形成之元件隔離 區並且可避免局部區域氧化法隔離技術中鳥嘴侵蝕(BirdS Beak Encroachment)的缺點,因此,對於深次微米(Deep Sub-Micron)的互補式金氧半導體(CMOS)製程而言,是 一種較爲理想的隔離技術。 第1A圖至第1B圖是習知一種淺溝渠隔離區之製造流 程的剖面圖。請參照第1A圖,典型的方法係在基底100 上形成一層圖案化的墊氧化層102與氮化矽層104,再以 氮化矽層104爲触刻罩幕,在基底100中蝕刻出溝渠106。 接著,在溝渠106所裸露之基底100的表面上形成一層襯 氧化層108。其後,於基底100上形成氧化砂層110,以覆 3 本紙張尺度適用中國國家標準(CNS ) A4規格(2丨0'〆297公釐) -----7——.——3裝-------訂------'J, *· · · I. (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 4 3 91 9 V ^ 5i 75twf.doc/0〇6 A7 ___B7____ 五、發明説明(z) 蓋氮化矽層104,並將溝渠106塡滿。 其後,請參照第1B圖,以氮化矽層104作爲硏磨終 止層,利用化學機械硏磨法去除多餘的氧化矽層110,留 下溝渠106之中的氧化矽層110。其後,以熱磷酸溶液去 .除氮化矽層1〇4 ’並以氫氟酸溶液去除墊氧化矽層102, 以使留下之氧化層110在基底100中形成隔離區112。 在上述進行化學機械硏磨製程的過程中,由於硏磨墊 具有彈性及化學機械硏磨製程的因素,因此,當氮化矽層 104上方之氧化矽層110以化學機械硏磨製程硏磨殆盡之 後,位於溝渠106之中的氧化矽層110其表面的高度將低 於氮化矽層104其表面的高度,而產生所謂的碟狀效應 (Dishing Effect) 114 ° 有鑑於此,本發明的目的就是在提供一種淺溝渠隔離 區的製造方法,以避免溝渠上所塡入之塡充層產生碟狀效 應。 根據本發明之目的,提出一種淺溝渠隔離區的製造方 法,此方法係在基底中形成溝渠,並在基底上形成塡充層 之後,先在溝渠上方之塡充層上覆蓋一層硏磨速率較低於 塡充層之緩衝層,再於塡充層中添加一種可以增加塡充層 之硏磨速率的物種。之後,再進行化學機械硏磨製程,去 除位於溝渠以外之處所覆蓋之塡充層。由於添加於塡充層 的物種可以使塡充層的硏磨速率增加,但不影響緩衝層的 硏磨速率,因此,塡充層與緩衝層其彼此之間的硏磨速率 選擇比(Polishing Selectivity)可以有效地提昇,使緩衝層在 4 本紙張尺度ϋ中國國家榡隼(CNS ) A4規格(210X297公釐) (請先鬩讀背面之注意事項再填寫本頁)Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 4 3 91 91 ^ 5 5 75twf.doc / 006 A7 B7 V. Description of the Invention (/) The present invention relates to a method for manufacturing an isolation structure of an integrated circuit, and in particular It relates to a method for manufacturing a shallow trench isolation area (Shallow Trench Isolation 'STI). The element isolation region is used to prevent the occurrence of short circuits between adjacent elements. A typical method of forming an element isolation region is a local area oxidation technique (LOCOS). However, the method of using localized area oxidation has the problems of excessive stress and the disadvantages of forming a bird's beak around the field isolation structure. Among them, especially the formation of the bird's beak area, the field isolation structure formed by the LOCOS method cannot be applied to high density (High Density) devices, so the device isolation structure formed by the shallow trench isolation method must be used instead. Shallow trench isolation is a technique that uses a non-isotropic etching method to form a trench in a semiconductor substrate, and then injects silicon oxide into the trench to form an isolation region of the device. Due to the element isolation area formed by the shallow trench isolation method and the disadvantages of BirdS Beak Encroachment in the local area oxidation isolation technology can be avoided, therefore, for deep sub-micron complementary metal-oxide semiconductors (CMOS) process is an ideal isolation technology. 1A to 1B are cross-sectional views of a conventional manufacturing process of a shallow trench isolation area. Referring to FIG. 1A, a typical method is to form a patterned pad oxide layer 102 and a silicon nitride layer 104 on the substrate 100, and then use the silicon nitride layer 104 as a etch mask to etch a trench in the substrate 100. 106. Next, an oxide-lined layer 108 is formed on the surface of the substrate 100 exposed by the trench 106. Thereafter, an oxide sand layer 110 is formed on the substrate 100 to cover 3 paper sizes. The Chinese National Standard (CNS) A4 specification (2 丨 0'〆297 mm) is applied. ----- 7 ——.—— 3 packs ------- Order ------ 'J, * · · · I. (Please read the precautions on the back before filling out this page) Printed by the Employees' Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 4 3 91 9 V ^ 5i 75twf.doc / 0〇6 A7 ___B7____ 5. Description of the invention (z) Cover the silicon nitride layer 104 and fill the trench 106. Thereafter, referring to FIG. 1B, the silicon nitride layer 104 is used as a honing end layer, and the excess silicon oxide layer 110 is removed by a chemical mechanical honing method, leaving the silicon oxide layer 110 in the trench 106. Thereafter, the silicon nitride layer 104 is removed with a hot phosphoric acid solution, and the pad silicon oxide layer 102 is removed with a hydrofluoric acid solution, so that the remaining oxide layer 110 forms an isolation region 112 in the substrate 100. During the above-mentioned chemical mechanical honing process, since the honing pad has elasticity and the chemical mechanical honing process, when the silicon oxide layer 110 above the silicon nitride layer 104 is honed by the chemical mechanical honing process, After that, the height of the surface of the silicon oxide layer 110 in the trench 106 will be lower than the height of the surface of the silicon nitride layer 104, and a so-called Dishing Effect 114 ° is generated. In view of this, the present invention The purpose is to provide a manufacturing method for a shallow trench isolation area to avoid the dish-like effect of the filling layer inserted in the trench. According to the purpose of the present invention, a method for manufacturing a shallow trench isolation zone is proposed. This method is to form a trench in a substrate, and then form a filling layer on the substrate. Then, a layer of honing is applied on the filling layer above the trench. A buffer layer lower than the filling layer is added to the filling layer to increase the honing rate of the filling layer. After that, a chemical mechanical honing process is performed to remove the honing layer that is located outside the trench. Since the species added to the filling layer can increase the honing rate of the filling layer, but does not affect the honing rate of the buffer layer, the honing rate selection ratio between the filling layer and the buffer layer (Polishing Selectivity) ) Can be effectively improved, so that the buffer layer is at 4 paper sizes (Chinese National Standard) (CNS) A4 size (210X297 mm) (Please read the precautions on the back before filling this page)

9 Vi 餐, 4 3§wr_^_ 五、發明説明(>) 化學機械硏磨製程施行的過程中較慢被硏磨去除,達到保 護溝渠上方之塡充層、避免其在化學機械硏磨製程施行之 後產生碟狀效應之目的。 經濟部智慧財產局員工消費合作社印製 -------^------訂 (請先閱讀背面之注$項再填寫本頁) 依照本發明實施例所述,上述之塡充層其典型的材質 .爲氧化矽,形成的方法係以臭氧和四乙氧基矽烷爲反應氣 體,利用次常壓化學氣相沉積法所形成者,或是以高密度 電漿化學氣相沉積法所形成之氧化矽;緩衝層之材質則爲 氮化矽。而添加於氧化矽塡充層之物種係爲硼。當硼以離 子植入的方式植入於氧化矽塡充層之後,可以經由回火製 程使硼原子擴散,而均勻分佈於氧化矽塡充層之中。此添 加硼之氧化矽塡充層其硏磨速率將提昇2至3倍。由於硼 原子並不會擴散至氮化矽緩衝層之中,因此,硼原子的添 加對於氮化矽緩衝層的硏磨速率而言並無影響。所以,整 體而言,硼原子的添加,可以增加氧化砂塡充層與氮化石夕 緩衝層其彼此之間的硏磨速率選擇比,使位於溝渠以外之 處所覆蓋之氧化矽塡充層可以很快地被硏磨去除,而覆蓋 於溝渠上之氧化矽塡充層,則可以藉由具有硏磨速率較低 之氮化矽緩衝層的緩衝作用,使其較慢被硏磨。當溝渠上 方所覆蓋之氧化矽塡充層,在硏磨的過程中暴露出來之 際,位於溝渠以外之處所覆蓋的氧化矽塡充層已絕大部分 被硏磨去除。因此,當溝渠上方之氮化矽緩衝層被硏磨去 除,而暴露出氧化砍塡充層時,位於溝渠以外之處所剩餘 之氧化矽塡充層,在很短的時間之內即可以被完全去除, 所以’溝渠上方所覆蓋之氧化矽塡充層可以避免長時間硏 本紙張尺度適用中國國家標率(CNS ) A4規格(2ΪΟΧ297公楚:) 43 91 9 1 5575twf.doc/006 A7 B7 五、發明説明(令) 磨所造成之碟狀效應。 (請先閱讀背面之注意事項再填寫本頁) 此外,依照本發明實施例所述,爲了避免硼原子穿過 氧化矽塡充層與基底的界面而擴散至基底中,在基底中形 成溝渠之後,可於溝渠的表面形成一層氮化矽砠擋層,以 .防止硼原子擴散至基底中,避免後續形成之元件產生電性 上的問題。 爲讓本發明之上述和其他目的、特徵、和優點能更明 顯易懂,下文特舉較佳實施例,並配合所附圖式,作詳細 說明如下: 圖式之簡單說明: 第1A圖至第1B圖是習知一種淺溝渠隔離區之製造流 程的剖面示意圖;以及 第2A圖至第2G圖,其繪示依照本發明一較佳實施例, 一種淺溝渠隔離區之製造流程的剖面示意圖。 圖式之標記說明: 100、200 基底 102、202、202a 墊氧化層 104 氮化矽層 經濟部智慧財產局員工消費合作社印製 106、206 溝渠 108 ' 212 襯氧化層 110 氧化層 112 隔離區 114 碟狀效應 204、204a 罩幕層 6 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐> 4 3 91 9\4 5? 75t\vt .doc/006 * A7 B7 五、發明説明(父) 208 凹陷區域 210 214 216 218 220 222 224 經濟部智慧財產局員工消費合作社印製 突起區域 214a 阻擋層 216a 塡充層 緩衝層 離子植入步驟 物種 緩衝層去除之後塡充層之表面的輪廓 實施例 請參照第2A圖至第2G圖,其繪示依照本發明較佳實 施例之一種淺溝渠隔離區之製造流程的剖面示意圖。 首先,請參照第2A圖,在所提供的基底200上形成 一層墊氧化層202,以在後續製程中保護基底200的表面, 其典型的形成方法例如爲熱氧化法,厚度約爲100埃至300 埃左右。接著,於墊氧化層202上,形成罩幕層204,此 罩幕層204則可以在後續於基底200中形成淺溝渠之製程 時作爲蝕刻罩幕之用,並且在後續的平坦化製程中作爲硏 磨的終止層,因此,其材質與基底200之材質具有不同的 蝕刻率,且其硏磨速率小於淺溝渠隔離區之塡充層材質之 硏磨速率。當基底200爲矽、淺溝渠隔離區之塡充層材質 爲氧化矽時,較佳的罩幕層204之材質包括氮化矽,其形 成的方法例如爲化學氣相沈積法,厚度爲1500埃至2000 埃左右。 .接著,請參照第2B圖,定義罩幕層204之圖案,以 (請先閲讀背面之注土$項再填寫本頁) -- --° Γ 本紙張尺度適用中國國家標準(CNS ) A4規格(2丨OX297公釐) 經濟部智慧財產局員工消費合作社印製 43 91 91 f^7 5uvf.d〇c/006 A 7 B7 五、發明説明(έ) 使之轉爲具有開口的罩幕層204a,接著,以罩幕層204a 爲蝕刻罩幕蝕刻開口所裸露的墊氧化層202與墊氧化層202 下方之部分基底200,以使墊氧化層202轉爲墊氧化層 202a,並在基底200中形成深度約爲3000埃至5000埃之 .溝渠206。此形成溝渠206之後的基底200表面可區分爲 凹陷區域208與突起區域210,其中,形成溝渠206者係 凹陷區域208,而覆蓋罩幕層204a與墊氧化層202a之基 底200的表面則爲突起區域210。典型形成溝渠206的方 法係以罩幕層204a爲硬罩幕,利用非等向性蝕刻,例如 反應性離子蝕刻法,蝕刻基底200,以將罩幕層204a之開 口圖案轉移至基底200,而在基底200中形成溝渠206。 之後,請參照第2C圖,在溝渠206所裸露之基底200 的表面上形成一層襯氧化層212,其形成的方法例如爲熱 氧化法,所形成之厚度爲150埃至300埃。接著,在基底 200上形成一層阻擋層214,此阻擋層214可以防止後續添 加於塡充層216之物種222擴散至基底200中。阻擋層214 之材質例如爲氮化矽,其形成的方法例如爲化學氣相沉積 法,厚度約爲100埃至300埃。 其後,請參照第2D圖,於基底200上形成一層塡充 層216。此塡充層216塡滿溝渠206,並且覆蓋突起區域210 上方之阻擋層214的表面。典型的塡充層216例如爲氧化 矽,其形成的方法例如爲次常壓化學氣相沈積法或高密度 電漿化學氣相沈積法,較佳的是以臭氧與四乙氧基矽烷 (TEOS)爲反應氣體源,利用次常壓化學氣相沉積法所形 8 -----;---τ--裝-------訂------、J. ·..- (請先閱讀背面之注意事項再填寫本頁} 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) A?3vt^3c/006 A7 ___B7 五、發明説明(7 ) (請先閲讀背面之注意事項再填寫本頁) 成之TEOS氧化矽材質之塡充層216。較佳的作法在形成 TEOS氧化矽材質之塡充層216之後,可以在高溫下,比 如在約爲100(TC的溫度條件,進行熱製程約1〇〜3〇分鐘, 以使氧化矽之塡充層216密實化。 之後,在塡充層216上形成一層緩衝層218。緩衝層 218之材質係在後續的化學機械硏磨製程中具有較低於塡 充層216之硏磨速率者。當塡充層216之材質爲氧化矽時, 較佳的緩衝層218之材質包括氮化矽,其形成的方法例如 爲化學氣相沉積法,厚度爲300埃至2000埃左右。 其後,請參照第2E圖,去除位於溝渠206以外之處 的緩衝層218,亦即是突起區域210上所覆蓋之緩衝層218, 僅留下溝渠206上覆蓋於塡充層216表面上之緩衝層 218a。較佳的去除方法係利用化學機械硏磨製程。 經濟部智慧財產局員工消費合作社印製 之後,在塡充層216中添加物種222。物種222係可 以使塡充層216在後續化學機械硏磨製程中增加硏磨速 率、但不會擴散至緩衝層218a中改變其硏磨速率者。物 種222例如是硼,其添加於塡充層216中的方法例如是先 以70KeV至150KeV之能量及劑量約爲每立方公分1E13 原子至每立方公分5E15原子之離子植入220的方式將硼 原子植入於塡充層216中。然後,再透過回火製程,使硼 原子經由擴散而均勻分布於塡充層216中。由於塡充層216 與基底200之間具有一層阻擋層214,因此,可以防止硼 原子擴散至基底200中,避免後續形成之元件產生電性上 的問題。 9 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 4391 & 5575twf.d〇c/006 A7 B7 五、發明説明(ί ) 其後,請參照第2F圖,以阻擋層214爲硏磨終止層, 進行化學機械硏磨製程,去除阻擋層214上方所鬚蓋之塡 充層216,以留下溝渠206上所覆蓋之塡充層216a。 經濟部智慧財產局員工消費合作社印製 JH· «1· pl^i ml >n·— n·^ /- If I nn V {請先聞讀背面之注意事項再填寫本頁) 以塡充層216爲氧化矽;緩衝層218a爲氮化矽;物種 .222爲硼爲例,當硼222添加於氧化矽塡充層216之後, 氧化矽塡充層216之硏磨速率可以增加爲原來的2至3倍, 而氮化矽緩衝層218a之硏磨速率並不會因爲硼原子222添 加於氧化砍塡充層216而改變。因此,在施行化擧機械硏 磨製程時,突起區域210上所覆蓋之氧化矽塡充層216會 先被硏磨去除,而氮化矽緩衝層218a則因爲其硏磨速率 較低而慢慢地被硏磨去除。當氮化矽緩衝層218a被去除 而裸露出溝渠206上方之氧化矽塡充層216之際 > 突起區 域210上方所覆蓋之氧化砂塡充層216已絕大部分被去 除,而僅留下一小部份覆蓋於突起區域210之上,如虛線 224所繪示者。當氮化矽緩衝層218a去除之後,持續進行 化學機械硏磨製程時,由於突起區域210所剩餘之氧化石夕 塡充層216很薄,因此在極短的時間內,即可將其硏磨殆 盡,而裸露出阻擋層214,所以,留在溝渠206中的氧化 矽塡充層216a可以避免長時間硏磨所造成的碟狀效應。 接著,請參照第2G圖,去除突起區域210上方所覆 蓋之阻擋層214與罩幕層204a,而留下位於溝渠206中的 阻擋層214a。典型的方式可以濕式蝕刻法以去除之。當阻 擋層214與罩幕層204a之材質爲氮化矽時,較佳的去除方 式可以以熱磷酸施行。最後,去除墊氧化層202a。典型的 本紙張尺度適用中國國家標準(CNS ) A4規格(210x297公釐〉 1 經濟部智慧財產局員工消費合作社印製 43 91 91 5575twf.d〇c/()06 A 7 __B7_____ 五、發明説明(7 ) - 方法係以氫氟酸做爲濕式蝕刻製程之飩刻溶液,以去除位 於突起區域210上方之墊氧化層202a。 ’、 綜合以上所述,本發明係在基底中形成溝渠,並在基 底上形成塡充層之後,先在溝渠上方之塡充層上覆蓋—層 .硏磨速率較低於塡充層之緩衝層,再於塡充層中添加一種 可以增加塡充層之硏磨速率的物種。之後,再進行化學機 械硏磨製程’去除位於溝渠以外之處所覆蓋之塡充層。由 於添加於塡充層的物種可以使塡充層的硏磨速率增加,但 不影響緩衝層的硏磨速率’因此,塡充層與緩衝層其彼此 之間的硏磨速率選擇比可以有效地提昇,使緩衝層在化學 機械硏磨製程施行的過程中較慢被硏磨去除,達到保護溝 渠上方之塡充層、避免其在化學機械硏磨製程施行之後產 生碟狀效應之目的。 雖然本發明已以較佳實施例揭露如上,然其並非用以 限定本發明,任何熟習此技藝者,在不脫離本發明之精神 和範圍內,當可作各種之更動與潤飾,因此本發明之保護 範圍當視後附之申請專利範圍所界定者爲準。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公瘦) (請先聞讀背面之注意事項再填寫本頁)9 Vi meal, 4 3§wr _ ^ _ 5. Description of the invention (>) The chemical mechanical honing process is slowly removed by honing during the process of the honing process, so as to protect the filling layer above the ditch and prevent it from chemical mechanical honing. The purpose of the dish effect after the implementation of the process. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs ------- ^ ------ Order (please read the note on the back before filling this page) According to the embodiment of the present invention, the above The typical material of the filling layer is silicon oxide. The formation method is formed by using ozone and tetraethoxysilane as the reaction gas and using a subatmospheric pressure chemical vapor deposition method, or a high density plasma chemical vapor phase. The silicon oxide formed by the deposition method; the material of the buffer layer is silicon nitride. The species added to the silicon oxide layer is boron. After boron is implanted into the silicon oxide layer by means of ion implantation, the boron atoms can be diffused through the tempering process and uniformly distributed in the silicon oxide layer. The boron-added silicon oxide coating will increase the honing rate by 2 to 3 times. Since boron atoms do not diffuse into the silicon nitride buffer layer, the addition of boron atoms has no effect on the honing rate of the silicon nitride buffer layer. Therefore, on the whole, the addition of boron atoms can increase the honing rate selection ratio between the oxide sand filling layer and the nitride stone buffer layer, so that the silicon oxide filling layer located outside the trench can be very It is quickly removed by honing, and the silicon oxide filling layer covering the trench can be honing more slowly by the buffer effect of the silicon nitride buffer layer with a lower honing rate. When the silicon oxide filling layer covered above the trench was exposed during honing, most of the silicon oxide filling layer located outside the trench was removed by honing. Therefore, when the silicon nitride buffer layer above the trench is removed by honing, and the oxide cut layer is exposed, the remaining silicon oxide filling layer located outside the trench can be completely completed within a short time. Removal, so the silicon oxide coating on the top of the ditch can avoid long time. This paper size is applicable to China National Standard (CNS) A4 specification (2Ϊ〇 × 297): 43 91 9 1 5575twf.doc / 006 A7 B7 5 2. Description of invention (order) The disc effect caused by grinding. (Please read the precautions on the back before filling this page) In addition, according to the embodiment of the present invention, in order to prevent boron atoms from diffusing into the substrate through the interface between the silicon oxide filling layer and the substrate, after the trench is formed in the substrate A silicon nitride barrier layer can be formed on the surface of the trench to prevent the boron atoms from diffusing into the substrate, and to avoid electrical problems of the subsequently formed elements. In order to make the above and other objects, features, and advantages of the present invention more comprehensible, the preferred embodiments are described below in detail with the accompanying drawings as follows: Brief description of the drawings: FIG. 1A to FIG. 1B is a schematic cross-sectional view showing a manufacturing process of a shallow trench isolation area; and FIGS. 2A to 2G are schematic cross-sectional views showing a manufacturing process of a shallow trench isolation area according to a preferred embodiment of the present invention. . Description of the symbols of the drawings: 100, 200 substrates 102, 202, 202a pad oxide layer 104 silicon nitride layer printed by the Ministry of Economic Affairs Intellectual Property Bureau employee consumer cooperative 106, 206 trench 108 '212 oxide layer 110 oxide layer 112 isolation area 114 Dish-like effect 204, 204a Cover layer 6 This paper size applies to Chinese National Standard (CNS) A4 (210 X 297 mm) > 4 3 91 9 \ 4 5? 75t \ vt.doc / 006 * A7 B7 V. Description of the Invention (Father) 208 Depression area 210 214 216 218 220 222 224 Printed protrusion area 214a Barrier layer 216a Barrier layer 216a Ion implantation step buffer layer ion implantation step Species buffer surface after removal of species buffer layer Please refer to FIG. 2A to FIG. 2G for the outline embodiment, which is a schematic cross-sectional view illustrating a manufacturing process of a shallow trench isolation area according to a preferred embodiment of the present invention. First, please refer to FIG. 2A on the provided substrate. A pad oxide layer 202 is formed on 200 to protect the surface of the substrate 200 in a subsequent process. A typical formation method is, for example, a thermal oxidation method, and the thickness is about 100 angstroms to 300 angstroms. On the layer 202, a mask layer 204 is formed. This mask layer 204 can be used as an etching mask in the subsequent process of forming shallow trenches in the substrate 200, and can be used as a honing termination in the subsequent planarization process. Therefore, its material has a different etch rate from that of the substrate 200, and its honing rate is less than the honing rate of the filling layer material of the shallow trench isolation area. When the substrate 200 is the filling of silicon and shallow trench isolation area When the material of the layer is silicon oxide, a preferable material of the mask layer 204 includes silicon nitride, and a method for forming the same is, for example, a chemical vapor deposition method, and the thickness is about 1500 Angstroms to 2000 Angstroms. Next, please refer to FIG. 2B , Define the pattern of the cover layer 204, (please read the note of soil on the back and fill in this page first)--° Γ This paper size applies the Chinese National Standard (CNS) A4 specification (2 丨 OX297 mm) Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 43 91 91 f ^ 7 5uvf.doc / 006 A 7 B7 V. Description of the invention (Handle) Turn it into a cover layer 204a with openings, and then use the cover Layer 204a is the pad oxide layer 20 exposed by the etching mask etching openings 2 and a portion of the substrate 200 below the pad oxide layer 202, so that the pad oxide layer 202 is converted to a pad oxide layer 202a, and a depth of about 3000 angstroms to 5000 angstroms is formed in the substrate 200. The trench 206. This is formed after the trench 206 The surface of the substrate 200 can be divided into a recessed area 208 and a protruding area 210. Among them, the trench 206 forms the recessed area 208, and the surface of the substrate 200 covering the mask layer 204a and the pad oxide layer 202a is the protruding area 210. A typical method for forming the trench 206 is to use the mask layer 204a as a hard mask, and use anisotropic etching, such as a reactive ion etching method, to etch the substrate 200 to transfer the opening pattern of the mask layer 204a to the substrate 200, and A trench 206 is formed in the substrate 200. After that, referring to FIG. 2C, a liner oxide layer 212 is formed on the surface of the substrate 200 exposed by the trench 206. The formation method is, for example, a thermal oxidation method, and the thickness is 150 to 300 angstroms. Next, a barrier layer 214 is formed on the substrate 200. The barrier layer 214 can prevent the species 222 subsequently added to the filling layer 216 from diffusing into the substrate 200. The material of the barrier layer 214 is, for example, silicon nitride, and a method for forming the barrier layer 214 is, for example, a chemical vapor deposition method, and the thickness is about 100 to 300 angstroms. Thereafter, referring to FIG. 2D, an underfill layer 216 is formed on the substrate 200. The filling layer 216 fills the trench 206 and covers the surface of the barrier layer 214 above the protruding area 210. A typical pseudo-filled layer 216 is, for example, silicon oxide, and the formation method thereof is, for example, a sub-atmospheric pressure chemical vapor deposition method or a high-density plasma chemical vapor deposition method, preferably ozone and tetraethoxysilane (TEOS ) Is the source of the reactive gas, which is formed by the sub-atmospheric pressure chemical vapor deposition method 8 -----; --- τ--pack ------- order ------, J. ·. .- (Please read the precautions on the back before filling this page} This paper size applies to Chinese National Standard (CNS) A4 specification (210X297 mm) A? 3vt ^ 3c / 006 A7 ___B7 V. Description of the invention (7) (Please Read the precautions on the back before filling in this page.) TEOS silicon oxide filling layer 216. The best practice is to form TEOS silicon oxide filling layer 216 at a high temperature, such as about 100. (Temperature conditions of TC, a thermal process is performed for about 10 to 30 minutes to densify the silicon oxide filling layer 216. Then, a buffer layer 218 is formed on the filling layer 216. The material of the buffer layer 218 is Those having a lower honing rate than the filling layer 216 in the subsequent chemical mechanical honing process. When the material of the filling layer 216 is silicon oxide, it is better The material of the buffer layer 218 includes silicon nitride, and a method for forming the buffer layer 218 is, for example, a chemical vapor deposition method with a thickness of about 300 Angstroms to about 2000 Angstroms. Thereafter, referring to FIG. 2E, the buffer layer located outside the trench 206 is removed. 218, that is, the buffer layer 218 covered on the protruding region 210, leaving only the buffer layer 218a on the surface of the filling layer 216 over the trench 206. The preferred method of removal is using a chemical mechanical honing process. Ministry of Economy After printing by the Intellectual Property Bureau employee consumer cooperative, species 222 is added to the filling layer 216. The species 222 can make the filling layer 216 increase the honing rate in the subsequent chemical mechanical honing process, but it will not spread to the buffer layer 218a The species 222 is, for example, boron, and the method of adding it to the charge layer 216 is, for example, firstly using an energy of 70 KeV to 150 KeV and a dose of about 1E13 atoms per cubic centimeter to 5E15 atoms per cubic centimeter. In the method of implanting 220, boron atoms are implanted in the electron filling layer 216. Then, through the tempering process, the boron atoms are uniformly distributed in the electron filling layer 216 through diffusion. Since the electron filling layer 216 and the substrate 200 There is a barrier layer 214 between them, so that it can prevent the boron atoms from diffusing into the substrate 200, and avoid the electrical problems of the subsequently formed components. 9 This paper size applies the Chinese National Standard (CNS) A4 specification (210X297 mm) 4391 & 5575twf.d〇c / 006 A7 B7 V. Description of the Invention (ί) After that, please refer to FIG. 2F, using the barrier layer 214 as a honing termination layer, and perform a chemical mechanical honing process to remove the barrier layer 214. The filling layer 216 must be covered to leave the filling layer 216a covered on the trench 206. Printed by JH · «1 · pl ^ i ml > n · — n · ^ /-If I nn V (Please read the precautions on the back before filling this page) The layer 216 is silicon oxide; the buffer layer 218a is silicon nitride; the species .222 is boron as an example. When boron 222 is added to the silicon oxide filling layer 216, the honing rate of the silicon oxide filling layer 216 can be increased to the original 2 to 3 times, and the honing rate of the silicon nitride buffer layer 218a does not change due to the addition of boron atoms 222 to the oxide-filled layer 216. Therefore, when the mechanical honing process is performed, the silicon oxide halide filling layer 216 covered on the protruding area 210 is first removed by honing, and the silicon nitride buffer layer 218a is slow due to its low honing rate. Ground is removed by honing. When the silicon nitride buffer layer 218a is removed and the silicon oxide filling layer 216 over the trench 206 is exposed, the sand oxide filling layer 216 over the protruding area 210 has been mostly removed, leaving only the A small portion covers the protruding area 210, as shown by the dashed line 224. When the silicon nitride buffer layer 218a is removed and the chemical mechanical honing process is continuously performed, since the remaining oxide oxide halide filling layer 216 in the protruding region 210 is thin, it can be honed in a very short time. The barrier layer 214 is completely exposed, so the silicon oxide filling layer 216a remaining in the trench 206 can avoid the dish-like effect caused by long-term honing. Next, referring to FIG. 2G, the barrier layer 214 and the mask layer 204a covered above the protruding region 210 are removed, and the barrier layer 214a located in the trench 206 is left. It is typically removed by wet etching. When the material of the barrier layer 214 and the mask layer 204a is silicon nitride, a preferred removal method can be performed with hot phosphoric acid. Finally, the pad oxide layer 202a is removed. Typical paper sizes are in accordance with Chinese National Standard (CNS) A4 (210x297 mm) 1 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 43 91 91 5575twf.d〇c / () 06 A 7 __B7_____ V. Description of the invention ( 7)-The method is to use hydrofluoric acid as the etching solution for the wet etching process to remove the pad oxide layer 202a located above the protruding area 210. 'To sum up, the present invention forms a trench in the substrate, and After forming the filling layer on the substrate, first cover the layer on the filling layer above the trench. The honing rate is lower than the buffer layer of the filling layer, and then add a kind of filling layer to the filling layer. Species of grinding rate. After that, the chemical mechanical honing process is performed to remove the filling layer that is located outside the trench. The species added to the filling layer can increase the honing rate of the filling layer, but it does not affect the buffer The honing rate of the layer 'Therefore, the honing rate selection ratio between the filling layer and the buffer layer can be effectively improved, so that the buffer layer is slowly removed by honing during the implementation of the chemical mechanical honing process It achieves the purpose of protecting the radon filling layer above the trench and avoiding the dish-like effect after the implementation of the chemical mechanical honing process. Although the present invention has been disclosed as above with the preferred embodiment, it is not intended to limit the present invention. Artists can make various modifications and retouching without departing from the spirit and scope of the present invention. Therefore, the scope of protection of the present invention shall be defined by the scope of the attached patent application. This paper standard applies to Chinese national standards (CNS) A4 size (210X297 male thin) (Please read the precautions on the back before filling this page)

Claims (1)

經濟部智慧財產局員工消費合作社印製 4 3 919 1 as DO ? 5 7 5 1 \v 1'. do c/〇 06 C8 、申請專利範圍 1. 一種淺溝渠隔離區的製造方法,包括下列步驟: 提供一基底,該基底具有一溝渠,使該基底形成一突 起區域與一凹陷區域,其中,該突起區域上已覆蓋一罩幕 層; 於該基底上形成一阻擋層; 在該基底上形成一塡充層,以塡滿該溝渠,並且覆蓋 該突起區域上方之該罩幕層; 於該溝渠上方之該塡充層上形成一硏磨緩衝層; 將一物種植入於該塡充層中,該物種係可提昇該塡充 層之硏磨速率,但不影響該硏磨緩衝層之硏磨速率; 進行一化學機械硏磨製程,以去除該硏磨緩衝層與部 分該塡充層,其中,該硏磨緩衝層在該硏磨製程中具有低 硏磨速率,而植有該物種之該塡充層在該硏磨製程中具有 高硏磨速率;以及 去除該罩幕層。 2. 如申請專利範圍第1項所述之淺溝渠隔離區的製造 方法,其中該塡充層包括氧化矽;該物種包括硼。 3. 如申請專利範圍第2項所述之淺溝渠隔離區的製造 方法,其中該塡充層係以臭氧和四乙氧基矽烷爲反應氣 體,利用次常壓化學氣相沉積法所形成之氧化矽。 4. 如申請專利範圍第2項所述之淺溝渠隔離區的製造 方法,其中該塡充層係以高密度電漿化學氣相沉積法所形 / \ 成之氧化矽。 5. 如申請專利範圍第之淺溝渠隔離區的製造方 物、 _______\ ">.>_ 本紙張中國國家標準(CNS)A4規格<210 X 297公釐Υ -----^---η----wt--------訂---------線 . (請先閱讀背面之注意事項再填寫本頁) 9A f f.doc/006 六、申請專利範圍 法,其中將該物種植入於該塡充層中之步驟係以一離子植 入步驟施行者。 6_如申請專利範圍第_述之淺溝渠隔離區的製造方 法,其中該離子植入步驟之硼原子的劑量約爲每立 方公分1E13原子至每立方4|^5Ε15原子。 7.如申請專利範圍第 經濟部智慧財產局聂工消費合作社印製 之淺溝渠隔離區的製造方 法,其中該離子植入步驟所植入之硼原子的能量約爲70KeV 至 150KeV。 8. 如申請專利範圍第5項所述之淺溝渠隔離區的製造 方法,其中進行該離子植入步驟之後更包括施行一回火步 驟。 9. 如申請專利範圍第2項所述之淺溝渠隔離區的製造 方法,其中該阻擋層之材質係可防止該物種擴散至該基底 中者。 10. 如申請專利範圍第9項所述之淺溝渠隔離區的製造 方法,其中該阻擋層之材質包括氮化矽。 11. 如申請專利範圍第10項所述之淺溝渠隔離區的製 造方法,更包括於該溝渠之表面與該阻擋層之間形成一襯 氧化層。 12. 如申請專利範圍第1項所述之淺溝渠隔離區的製造 方法,其中該阻擋層之材質係可防止該物種擴散至該基底 中者。 Π.如申請專利範圍第1項所述之淺溝渠隔離區的製造 方法,更包括於該溝渠之表面與該阻擋層之間形成一襯 13 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公茇) (請先閱讀背面之注意事項再填寫本頁) -丄裝---I 訂------^---· 經濟部智慧財產局員工消費合作社印製 A39A 91 as 55 7 5twf.doc/006 C8 、申請專利範圍 i§" Ω 14. 一種淺溝渠隔離區的製造方法,包括下列步驟: 於一基底上形成一墊氧化層; 於該墊氧化層上形成一罩幕層,該罩幕層具有一開 口,裸露出該墊氧化層; 以該罩幕層爲去除罩幕,去除該開口所裸露之該墊氧 化層與其下方之部分該基底,以在該基底中形成一溝渠; 於該溝渠所裸露之該基底的表面形成一襯氧化層; 於該基底上形成一氮化矽阻擋層; 於該基底上形成一氧化矽塡充層,以塡滿該溝渠; 於該氧化矽塡充層上形成一氮化矽緩衝層; 以化學機械硏磨法去除部分該氮化矽緩衝層,該部分 該氮化矽緩衝層係覆蓋於該溝渠以外之該氧化矽塡充層之 上; 進行一離子植入製程,將硼原子植入於該氧化矽塡充 層中,以增加該氧化矽塡充層之硏磨速率; 進行一化學機械硏磨製程,以去除該氮化矽緩衝層與 部分該氧化砂塡充層,其中,該氮化砂緩衝層在該硏磨製 程中具有低硏磨速率,而植有硼原子之該氧化矽塡充層在 該硏磨製程中具有高硏磨速率;以及 去除該罩幕層與該墊氧化層。 15. 如申請專利範圍第ί4項所述之淺溝渠隔離區的製 造方法,其中進行該離子植入步驟之後更包括施行一回火 步驟。 (請先閱讀背面之注意事項再填寫本頁) 裝---- 訂---------^ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 9 A 5 5 75 twf.doc/006 A8 B8 C8 D8 申請專利範圍 16.如申請專利範圍第14項所述之淺溝渠隔離區的製 造方法,其中該氧化矽塡充層係以臭氧和四乙氧基矽烷爲 反應氣體,利用次常壓化學氣相沉積法之方式形成者。 Π.如申請專利範圍第14項所述之淺溝渠隔離區的製 造方法,其中該氧化矽塡充層係以高密度電漿化學氣相沉 積法之方式形成者。 18. 如申請專利範圍第14項所述之淺溝渠隔離區的製 造方法,其中該離子植入步驟所植入之硼原子的劑量約爲 每立方公分1E13原子至每立方公分5E15原子。 19. 如申請專利範圍第14項所述之淺溝渠隔離區的製 造方法,其中該離子植入步驟所植入之硼原子的能量約爲 I^KeV 至 150KeV。 (請先閱讀背面之注意事項再填寫本頁) 1上 ---- 訂---------镇. 經濟部智慧財產局員工消費合作杜印製 15 本紙張尺度適用中國國家標準<CNS)A4規格(210 X 297公釐)Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 4 3 919 1 as DO? 5 7 5 1 \ v 1 '. Do c / 〇06 C8, patent application scope 1. A method for manufacturing a shallow trench isolation zone, including the following steps : Providing a substrate having a trench so that the substrate forms a protruding region and a recessed region, wherein the protruding region has been covered with a mask layer; a barrier layer is formed on the substrate; and a substrate is formed on the substrate A filling layer to fill the trench and cover the mask layer above the protruding area; forming a honing buffer layer on the filling layer above the trench; implanting a species in the filling layer The species can increase the honing rate of the honing buffer layer, but does not affect the honing rate of the honing buffer layer. A chemical mechanical honing process is performed to remove the honing buffer layer and part of the honing buffer layer. Wherein the honing buffer layer has a low honing rate in the honing process, and the honing layer in which the species is planted has a high honing rate in the honing process; and removing the cover layer. 2. The method for manufacturing a shallow trench isolation area as described in item 1 of the patent application scope, wherein the filling layer includes silicon oxide; the species includes boron. 3. The method for manufacturing a shallow trench isolation zone as described in item 2 of the scope of the patent application, wherein the filling layer is formed by using ozone and tetraethoxysilane as reaction gases and using a sub-normal pressure chemical vapor deposition method. Silicon oxide. 4. The method for manufacturing a shallow trench isolation area as described in item 2 of the scope of patent application, wherein the filling layer is a silicon oxide formed by a high-density plasma chemical vapor deposition method. 5. For example, in the manufacture of shallow trench isolation areas in the scope of patent application, _______ \ " >. ≫ _ Chinese National Standard (CNS) A4 Specification of this paper < 210 X 297 mmΥ ----- ^ --- η ---- wt -------- Order --------- line. (Please read the notes on the back before filling this page) 9A f f.doc / 006 6. The scope of patent application method, wherein the step of implanting the species in the filling layer is performed by an ion implantation step. 6_ The method for manufacturing a shallow trench isolation zone as described in the scope of the patent application, wherein the dose of boron atoms in the ion implantation step is about 1E13 atoms per cubic centimeter to 4 | ^ 5E15 atoms per cubic centimeter. 7. For the manufacturing method of the shallow trench isolation zone printed by Nie Gong Consumer Cooperative of Intellectual Property Bureau of the Ministry of Economics, the energy of the boron atoms implanted in the ion implantation step is about 70KeV to 150KeV. 8. The method for manufacturing a shallow trench isolation area according to item 5 of the scope of patent application, wherein the ion implantation step further includes performing a tempering step. 9. The method for manufacturing a shallow trench isolation area as described in item 2 of the scope of patent application, wherein the material of the barrier layer prevents the species from spreading to the substrate. 10. The method for manufacturing a shallow trench isolation area as described in item 9 of the scope of patent application, wherein the material of the barrier layer includes silicon nitride. 11. The method for manufacturing a shallow trench isolation area as described in item 10 of the scope of patent application, further comprising forming a liner oxide layer between the surface of the trench and the barrier layer. 12. The method for manufacturing a shallow trench isolation area as described in item 1 of the scope of patent application, wherein the material of the barrier layer prevents the species from spreading to the substrate. Π. The method for manufacturing a shallow trench isolation area as described in item 1 of the scope of the patent application, further comprising forming a lining between the surface of the trench and the barrier layer. 13 This paper size applies to Chinese National Standard (CNS) A4 specifications ( 210 X 297 public address) (Please read the notes on the back before filling out this page)-Outfitting --- I Order ------ ^ --- · Printed by A39A 91, Consumer Cooperatives, Intellectual Property Bureau, Ministry of Economic Affairs as 55 7 5twf.doc / 006 C8, patent application scope i§ " Ω 14. A method for manufacturing a shallow trench isolation area, comprising the following steps: forming a pad oxide layer on a substrate; forming on the pad oxide layer A mask layer having an opening to expose the pad oxide layer; using the mask layer as a mask remover, removing the pad oxide layer exposed by the opening and a portion of the substrate below the mask layer to A trench is formed in the substrate; an oxide-lining layer is formed on the surface of the substrate exposed by the trench; a silicon nitride barrier layer is formed on the substrate; a silicon oxide filling layer is formed on the substrate to fill the substrate Trench; shape on the silicon oxide filling layer A silicon nitride buffer layer; removing a part of the silicon nitride buffer layer by chemical mechanical honing, the part of the silicon nitride buffer layer covering the silicon oxide filling layer outside the trench; performing an ion implantation In the manufacturing process, boron atoms are implanted into the silicon oxide halide layer to increase the honing rate of the silicon oxide hafnium layer; a chemical mechanical honing process is performed to remove the silicon nitride buffer layer and part of the oxide A sand honing layer, wherein the nitrided sand buffer layer has a low honing rate in the honing process, and the silicon oxide hafnium layer having boron atoms has a high honing rate in the honing process; and Remove the mask layer and the pad oxide layer. 15. The method for manufacturing a shallow trench isolation area according to item 4 of the patent application scope, wherein the step of performing the ion implantation step further includes performing a tempering step. (Please read the precautions on the back before filling out this page) Loading ---- Order --------- ^ This paper size is applicable to China National Standard (CNS) A4 (210 X 297 mm) 9 A 5 5 75 twf.doc / 006 A8 B8 C8 D8 Patent application scope 16. The method for manufacturing a shallow trench isolation area as described in item 14 of the patent application scope, wherein the silicon oxide layer is made of ozone and tetraethoxy Silane is a reactive gas that is formed by a sub-atmospheric pressure chemical vapor deposition method. Π. The method for manufacturing a shallow trench isolation area according to item 14 of the scope of the patent application, wherein the silicon oxide filling layer is formed by a high-density plasma chemical vapor deposition method. 18. The method for manufacturing a shallow trench isolation zone according to item 14 of the scope of the patent application, wherein the dose of boron atoms implanted in the ion implantation step is about 1E13 atoms per cubic centimeter to 5E15 atoms per cubic centimeter. 19. The method for manufacturing a shallow trench isolation zone according to item 14 of the scope of the patent application, wherein the energy of the boron atoms implanted in the ion implantation step is about I ^ KeV to 150KeV. (Please read the precautions on the back before filling out this page) 1 on ---- order --------- town. Intellectual Property Bureau of the Ministry of Economic Affairs employee consumer cooperation Du printed 15 This paper size applies to Chinese national standards < CNS) A4 size (210 X 297 mm)
TW88123090A 1999-12-28 1999-12-28 Fabrication method of shallow trench isolation region TW439191B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW88123090A TW439191B (en) 1999-12-28 1999-12-28 Fabrication method of shallow trench isolation region

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW88123090A TW439191B (en) 1999-12-28 1999-12-28 Fabrication method of shallow trench isolation region

Publications (1)

Publication Number Publication Date
TW439191B true TW439191B (en) 2001-06-07

Family

ID=21643588

Family Applications (1)

Application Number Title Priority Date Filing Date
TW88123090A TW439191B (en) 1999-12-28 1999-12-28 Fabrication method of shallow trench isolation region

Country Status (1)

Country Link
TW (1) TW439191B (en)

Similar Documents

Publication Publication Date Title
TW396520B (en) Process for shallow trench isolation
TW406350B (en) Method for manufacturing the shallow trench isolation area
TW410423B (en) Manufacture method of shallow trench isolation
US5926722A (en) Planarization of shallow trench isolation by differential etchback and chemical mechanical polishing
TW501226B (en) Effective isolation with high aspect ratio shallow trench isolation and oxygen or field implant
TW434802B (en) Method of manufacturing shallow trench isolation
JP2002270684A (en) Method for forming bubble-like shallow trench separation, using micromachining technology to remove heavily-doped silicon
US6015757A (en) Method of oxide etching with high selectivity to silicon nitride by using polysilicon layer
US6331472B1 (en) Method for forming shallow trench isolation
TW389982B (en) Method of manufacturing shallow trench isolation
TW379405B (en) Manufacturing method of shallow trench isolation structure
TW495901B (en) Process for manufacturing a semiconductor structure
TWI321813B (en)
TW426934B (en) Method for shallow trench isolation of transistors without using chemical-mechanical polishing
TW379409B (en) Manufacturing method of shallow trench isolation structure
TW383451B (en) Manufacturing method for shallow trench isolation structure
TW432600B (en) Process for shallow trench isolation structure
TW439191B (en) Fabrication method of shallow trench isolation region
TW396516B (en) Process and pattern for shallow trench isolation
US6103581A (en) Method for producing shallow trench isolation structure
TW395024B (en) The method to shape up a shallow trench for isolation in IC
TW513776B (en) Manufacturing method of shallow trench isolation structure
TW396518B (en) A method of forming a trench isolation in a semiconductor device
TW479294B (en) Manufacturing method of shallow trench isolation structure
TW459340B (en) Method for forming trench isolation structure

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent