TW432640B - High density DRAM device for simultaneously forming capacitor electrode board and metal contact structure - Google Patents

High density DRAM device for simultaneously forming capacitor electrode board and metal contact structure Download PDF

Info

Publication number
TW432640B
TW432640B TW87121231A TW87121231A TW432640B TW 432640 B TW432640 B TW 432640B TW 87121231 A TW87121231 A TW 87121231A TW 87121231 A TW87121231 A TW 87121231A TW 432640 B TW432640 B TW 432640B
Authority
TW
Taiwan
Prior art keywords
layer
insulating layer
patent application
deposited
thickness
Prior art date
Application number
TW87121231A
Other languages
Chinese (zh)
Inventor
Fu-Liang Yang
Bi-Lin Chen
Shiang-Yuan Jeng
Original Assignee
Vanguard Int Semiconduct Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Vanguard Int Semiconduct Corp filed Critical Vanguard Int Semiconduct Corp
Priority to TW87121231A priority Critical patent/TW432640B/en
Application granted granted Critical
Publication of TW432640B publication Critical patent/TW432640B/en

Links

Abstract

A manufacturing method for DRAM device which is characterized for simultaneously forming capacitor electrode board to produce stack-type capacitor structure and forming the metal layer contact structure and word line contact structure. The process is characterized for depositing a barrier layer and a capping tungsten layer on the storage node, and the depositing process can completely fill up the metal layer contact opening and the word line contact opening. The method uses an anisotropic RIE procedure to conduct the pattern definition procedure so as to remove the undesired portion of the tungsten layer and barrier layer and form the capacitor electrode board, metal layer contact structure and word line contact structure which all comprise the tungsten layer and barrier layer that are all produced with a single deposition procedure and a single pattern definition procedure.

Description

r F4 32 6 4 0. 五、發明說明(i) 【發明的領域】 本發明係有關於用來製造半導體裝置的方法,且特別 是有關於一種利用相同製程步驟,而可同時形成電容極板 構造和金屬層接觸窗構造的方法,適用於動態隨機存取記 憶體(DRAM)裝置。 【習知技藝】 半導體產業正不斷地努力以增進半導體裝置的效能, 另一方面也努力於降低相同半導體裝置的生產成本。元件 微塑化或是製造所s胃次微米特徵尺寸半導體裝置的能力, 已使得上述兼顧效能與生產成本的目標得以實現。逐漸使 用較小的特徵尺寸而降低電容量和電阻率的結果,可讓半 導體裝置,例如是以次微米技術製造的動態隨機存取記憶 體(DRAM)裝置’實現其效能增進的目的。此外,使用次微 米特徵尺寸可得到較小的晶片,故可在限定大小的基底面 積上容納更多的晶片’從而降低了 DRAM晶片的生產成本。 产除了可透過微型化技術降低生產成本以外,也可以藉 由簡化製程步驟而進一步降低DRAM的生產成本。例如,若 能以單一製程步驟形成以往需要分成數個步驟才能完成的 搆造,即可有效地降低DRAM裝置的生產成本。本發明將介 紹一種製程,SMP ,以利用相同的製程步驟而同時形成電 容極板和金屬層接觸窗。習知技術中,例如L〇u等人的美 國,利第5, 5 97, 75 4號一種疊層式電容器構造的DRAM裝置 ,其具有複晶矽電容極板和金屬層接觸窗構造,然而該習r F4 32 6 4 0. V. Description of the Invention (i) [Field of the Invention] The present invention relates to a method for manufacturing a semiconductor device, and more particularly to a method for simultaneously forming a capacitor plate using the same process steps. The method for constructing and constructing the metal layer contact window is suitable for a dynamic random access memory (DRAM) device. [Know-how] The semiconductor industry is constantly striving to improve the efficiency of semiconductor devices. On the other hand, it is also striving to reduce the production costs of the same semiconductor devices. The ability of components to be microplasticized or to fabricate semiconductor devices with submicron feature sizes has enabled the above-mentioned goals to take into account both performance and production costs. As a result of gradually reducing the capacitance and resistivity using smaller feature sizes, semiconductor devices, such as dynamic random access memory (DRAM) devices manufactured using sub-micron technology, can achieve their performance enhancement goals. In addition, smaller wafers can be obtained using sub-micron feature sizes, so more wafers can be accommodated on a limited size substrate area, thereby reducing the production cost of DRAM wafers. In addition to reducing production costs through miniaturization technology, DRAM production costs can be further reduced by simplifying process steps. For example, if a structure that conventionally had to be divided into several steps can be formed in a single process step, the production cost of a DRAM device can be effectively reduced. The present invention will introduce a process, SMP, to utilize the same process steps to simultaneously form a capacitor plate and a metal layer contact window. In the conventional technology, for example, the United States, No. 5, 5 97, 75 4 of Lou et al. Is a DRAM device with a multilayer capacitor structure, which has a polycrystalline silicon capacitor plate and a metal layer contact window structure. However, The Xi

Γ f4 32 6 4 ο 五、發明說明¢2) 成電容極板和金屬層接觸 知技術並未如同本發明一般將形 窗整合在單一製程步驟中。 ’ 【發明之概述】 有鑑於此’本發明之—個目的,在於提供一種動態隨 機予取3己憶體(DRAM)裝置,包括一疊層式電容器(STC)構 造和金屬層接觸窗構造。 本發明另一個目的,在於提供單一的製程步驟以同時 形成疊層式電容器(STC)構造的電容極板’和金屬層接 窗構造。 本發明又一個目的,在於同時形成包含一鎢層的電容 極板於氮化鈦阻障層上,以及形成一「鎢_氮化鈦」金屬 層接觸窗構造,其位於半導體基底中接觸開口(via hole) 露出的區域上=> 根據本發明揭示一種用於製造高密度⑽謔裝置之製程 ,其中疊層式電谷器(STC)構造的電容極板和金屬層接觸 窗構造係使用相同材質且在同一步驟中形成者。首先形成 複晶砍化物(polycide)閘極構造和氮化矽間隙壁於一薄的 閘極絕緣層上,其中p〇lycide閘極構造包括複晶矽和其上 方的矽化鎢,並且以一氮化矽層覆蓋住。當形成源極和汲 極區於半導體基底中介於叫卜^心閘極構造之間的區域之 後’設置複晶矽接觸插塞於第一絕緣層的接觸開口内,其 中該複晶石夕接觸插塞係與源極和沒極區相接觸。形成 polycide位元線構造,包括複晶矽和其上方的矽化鎢,於Γ f4 32 6 4 ο 5. Description of the invention ¢ 2) Forming the capacitor electrode and metal layer contact The technology does not integrate the window in a single process step as in the present invention. [Summary of the Invention] In view of this, an object of the present invention is to provide a dynamic random access memory (DRAM) device, which includes a stacked capacitor (STC) structure and a metal layer contact window structure. Another object of the present invention is to provide a single process step to simultaneously form a capacitor plate ' of a stacked capacitor (STC) structure and a metal layer window structure. Yet another object of the present invention is to simultaneously form a capacitor plate including a tungsten layer on a titanium nitride barrier layer, and to form a "tungsten-titanium nitride" metal layer contact window structure, which is located in a contact opening in a semiconductor substrate ( via hole) on the exposed area = > According to the present invention, a process for manufacturing a high-density chirped device is disclosed, in which a capacitor plate with a laminated valley device (STC) structure and a metal layer contact window structure use the same Material and formed in the same step. A polycide gate structure and a silicon nitride spacer are formed on a thin gate insulating layer. The polycide gate structure includes polycrystalline silicon and tungsten silicide thereon. Covered with silicon. When the source and drain regions are formed in a region between the semiconductor gate structure in the semiconductor substrate, a polycrystalline silicon contact plug is disposed in the contact opening of the first insulating layer, wherein the polycrystalline silicon contact The plug is in contact with the source and non-electrode regions. Form a polycide bit line structure, including polycrystalline silicon and tungsten silicide above it.

C:\path\0516-4067^e, ptd 第5頁 ηΤ4 32_6 4 〇C: \ path \ 0516-4067 ^ e, ptd page 5 ηΤ4 32_6 4 〇

五、發明說明(3) 第二絕緣層的接觸開口内,以連接下方作為位元線接觸窗 的複晶矽墊層而與半導體基底的源極和汲極區相接觸。於 第三絕緣層和第二絕緣層中開出一儲存點接觸開口,以露 出用來與半導體基底的源極和汲極區相接觸之複晶矽接觸 墊的上表面。其次,形成一覆蓋有電容器介電層的複晶矽 儲存點構造於儲存點接觸開口内。接著,在第三絕緣層、 第二絕緣層、和第一絕緣層中開出第一接觸開口’以露出 半導體基底的源極和汲極區D並在第三絕緣層、第二絕緣 層、第一絕緣層、和氮化矽層中位於p〇lycide閘極構造上 方的區域開出第二接觸開口,以露出p〇丨yc丨心閘極構造的 上表面。沈積一氮化鈦層和一鎢層於儲存點構造上且完 全填滿第一接觸開口和第二接觸開口。定義鎢層和氮化鈦 層的圖案,以同時形成電容極板於儲存點構造上,基底接 觸窗構造於第一接觸開口 ,以及字元線接觸窗構造於第二 接觸開口内。 【圖式之簡單說明】 為了讓本發明之上述和其他目的、特徵、及優點能更 明顯易懂,下文特舉若干較佳實施例,並配合所附圖式, 作詳細說明如下: 第1至12圖均為剖面圖,繪示用來製造高密度DRAM裝 置的主要步驟’其使用相同的步驟來形成STC構造的電容 極板及金屬層接觸窗構造,以用於高密度⑽心記憶胞中。 iHmai i^h C:\path\0516-4067-e.ptd ^ a s r F4326 4 Ο 五、發明說明(4) 【較佳實施例的詳細說明】 現在詳細說明形成一高密度DRAM記憶胞的方法,其特 徵在於可同時形成STC構造的電容極板和金屬層接觸窗構 造。用於本發明DRAM裝置的轉移電晶體係一N通道元件。 不過,此一同時形成STC構造之電容極板和金屬層接觸窗 構造的製程,也可以應用在包含P通道轉移電晶體的DRAM 裝置上。 參見第1圖,使用一P型且單晶配向為〈1〇〇&gt;的半導體 基底1。使用一場氧化(FOX)區2以為隔離之用。簡言之, 係於氧氣環境中且溫度介於850和1 0 50。(:條件下,施行熱 氧化程序以形成場氧化區2,其厚度介於30〇〇至50 0 0埃。 使用一定義圖案的氮化矽-氧化矽材質氧化反應阻滯罩幕 ’以防止FOX區2成長到半導體基底1後續將用來製作元件 的區域。於成長FOX區2之後’使用熱磷酸溶液處理表面的 氮化矽層’並使用氩氟酸緩衝溶液處理底下的氧化矽層而 去除上述氧化反應阻滯罩幕。經過一連串的清洗之後,於 氧氣環境中且溫度介於850至1050 °C條件下熱氡化成長一 厚度介於50至20 0埃的二氧化矽閘極絕緣層3。其次,於溫 度介於500至700。(:條件下,以低壓化學氣相沈積(LpcvD) 程序沈積一厚度介於50 0至2 000埃的複晶矽層4。此複晶矽 層可以是先單獨成長,然後藉由砷或磷離子佈植以進行摻 雜’其能量介於30至80KeV,劑量介於1 xl〇u至! χ1〇16 atoms/cra2 ;或者也可以藉由將砷或磷加入矽甲烷中,進 行一同位摻雜(in-situ dop i ng)程序而製得。其次以5. Description of the invention (3) In the contact opening of the second insulating layer, the polycrystalline silicon pad layer serving as a bit line contact window is connected to contact the source and drain regions of the semiconductor substrate. A storage point contact opening is opened in the third insulating layer and the second insulating layer to expose the upper surface of the polycrystalline silicon contact pad for contacting the source and drain regions of the semiconductor substrate. Second, a polycrystalline silicon storage point covered with a capacitor dielectric layer is formed in the storage point contact opening. Next, a first contact opening 'is opened in the third insulating layer, the second insulating layer, and the first insulating layer to expose the source and drain regions D of the semiconductor substrate, and the third insulating layer, the second insulating layer, A second contact opening is opened in a region of the first insulating layer and the silicon nitride layer above the plycide gate structure to expose the upper surface of the p0yc heart gate structure. A titanium nitride layer and a tungsten layer are deposited on the storage point structure and completely fill the first contact opening and the second contact opening. A pattern of a tungsten layer and a titanium nitride layer is defined to form a capacitor plate on a storage point structure at the same time, a base contact window is configured in a first contact opening, and a word line contact window is configured in a second contact opening. [Brief description of the drawings] In order to make the above and other objects, features, and advantages of the present invention more comprehensible, several preferred embodiments are given below in conjunction with the accompanying drawings to make a detailed description as follows: Section 1 Figures 12 through 12 are cross-sectional views showing the main steps used to fabricate a high-density DRAM device. 'It uses the same steps to form a capacitor plate with STC structure and a metal layer contact window structure for high-density heart cells. in. iHmai i ^ h C: \ path \ 0516-4067-e.ptd ^ asr F4326 4 〇 5. Description of the invention (4) [Detailed description of the preferred embodiment] Now, the method for forming a high-density DRAM memory cell will be described in detail. It is characterized in that it can form a capacitor electrode plate with a STC structure and a metal layer contact window structure at the same time. An N-channel element for a transfer transistor system used in the DRAM device of the present invention. However, this process of simultaneously forming a capacitor plate with a STC structure and a metal layer contact window structure can also be applied to a DRAM device including a P-channel transfer transistor. Referring to Fig. 1, a P-type semiconductor substrate 1 having a single crystal orientation of <100> is used. Field One Oxidation (FOX) Zone 2 is used for isolation. In short, it is in an oxygen environment and the temperature is between 850 and 1050. (: Under the conditions, a thermal oxidation process is performed to form a field oxidation region 2 having a thickness of 30,000 to 50,000 angstroms. A silicon nitride-silicon oxide material with a defined pattern is used to prevent the oxidation reaction from blocking the mask. The FOX region 2 grows to a region where the semiconductor substrate 1 will be used to fabricate components later. After the FOX region 2 is grown, the surface silicon nitride layer is treated with a hot phosphoric acid solution and the underlying silicon oxide layer is treated with an argon fluoride solution. Remove the above-mentioned oxidation reaction blocking mask. After a series of cleaning, the silicon dioxide gate insulation is thermally hardened to a thickness of 50 to 200 angstroms in an oxygen environment at a temperature of 850 to 1050 ° C. Layer 3. Second, at a temperature between 500 and 700. (: conditions, a low-pressure chemical vapor deposition (LpcvD) procedure is used to deposit a polycrystalline silicon layer having a thickness of 50 to 2000 angstroms. 4. This polycrystalline silicon The layer can be grown separately and then implanted by arsenic or phosphorus ions for doping. Its energy is between 30 and 80 KeV, and the dose is between 1 xl0u to! Xl016 atoms / cra2; Add Arsenic or Phosphorus to SiMethane (In-situ dop i ng) prepared program. Next to

r F4 326 4 ^ 五、發明說明(5) LPCVD程序沈積一厚度介於5 〇〇至2000埃的第一矽化鎢層5 ’再以LPCVD或電漿加強化學氣相(PECVD)沈積程序沈積一 厚度介於600至1500埃的第一氤化矽蓋層6 ^使用傳統的光 學微影和活性離子蝕刻(RIE)程序(對第一氮化矽層6使用 C F 4為蝕刻劑’對矽化鎢層5和複晶矽層4使用c 12為蝕刻劑 )以形成polycide構造10 ’(矽化鎢-複晶矽構造),其覆蓋 有第一氮化碎層6,如第1圖所示者。藉由氧電漿處理和仔 細的濕式清潔步驟以去除上述光阻層。 其次,以磷離子佈植程序形成淡摻雜源極和汲極區7 ’其佈植能量係介於20至50KeV,劑量係介於ΐχΐ〇ι3至ix 1〇14 atoms/cm2。然後於溫度介於400至700。(:條件下,施 行LPCVD或PECVD程序而沈積第二氮化石夕層,其厚度介於 1500至4000埃。接著使用CF4當作钱刻劑施行一非等向性 RIE程序’以於polycide閘極構造10的側壁上形成一氣化 矽間隙壁(3?3〇6]:)8。如此’口〇17〇:1(16閘極構造1〇可被第 —氮化矽層6和氮化矽間隙壁8完全地覆蓋住。然後以珅離 子佈植程序形成濃摻雜源極和没極區9,其佈植能量係介 於 20 至 100 KeV,劑量係介於 1X1014 至 5xi〇i6at〇ms/cm2。 其結果繪示於第2圖中。 於溫度介於400至800 °C條件下,以LPCVD或PECVD程序 沈積一厚度介於3000至8000埃之氧化矽材質第一絕緣層層 1 1 ’接著以化學性機械研磨(CMP)程序進行平坦化處理, 使得第一絕緣層層1 1產生一平坦的表面。依序對第一絕緣 層層11施行使用光阻圖案12當作罩幕的傳統光學微影程序r F4 326 4 ^ V. Description of the invention (5) LPCVD process deposits a first tungsten silicide layer with a thickness between 500 and 2000 angstroms 5 'and then deposits it by LPCVD or plasma enhanced chemical vapor deposition (PECVD) deposition process. The first siliconized silicon cap layer 6 having a thickness of 600 to 1500 angstroms ^ using conventional optical lithography and reactive ion etching (RIE) procedures (using CF 4 as an etchant for the first silicon nitride layer 6 'to tungsten silicide The layer 5 and the polycrystalline silicon layer 4 use c 12 as an etchant) to form a polycide structure 10 ′ (tungsten silicide-polycrystalline silicon structure), which is covered with a first nitride layer 6 as shown in FIG. 1. The photoresist layer is removed by an oxygen plasma treatment and a fine wet cleaning step. Secondly, a lightly doped source and drain region 7 ′ is formed by a phosphorus ion implantation procedure, and the implantation energy is between 20 and 50 KeV, and the dose is between ΐχΐιι3 and ix 1014 atoms / cm2. Then at a temperature between 400 and 700. (: Under the conditions, LPCVD or PECVD procedures are performed to deposit a second nitride layer with a thickness of 1500 to 4000 Angstroms. Then an anisotropic RIE procedure is performed using CF4 as a money etcher for polycide gates. A gasified silicon spacer (3? 306): 8 is formed on the side wall of the structure 10. In this way, the mouth 0170: 1 (16 gate structure 10 can be the first silicon nitride layer 6 and silicon nitride The gap wall 8 is completely covered. Then, a heavily doped source electrode and a non-electrode region 9 are formed by a rubidium ion implantation procedure, the implantation energy is between 20 to 100 KeV, and the dose is between 1X1014 to 5xi〇i6at〇ms / cm2. The results are shown in Figure 2. The first insulating layer of silicon oxide material with a thickness of 3000 to 8000 angstroms is deposited by LPCVD or PECVD at a temperature of 400 to 800 ° C. 1 1 'Next, a chemical mechanical polishing (CMP) process is performed to planarize the first insulating layer 11 to produce a flat surface. The first insulating layer 11 is sequentially subjected to photoresist patterns 12 as a mask. Traditional optical lithography

C: \path\0516-4067-e. ptd 第8頁 r 32 6 4 η___ 五、發明說明(6) ,以及使用CHF3當作蝕刻劑的非等向性RIE程序,而於第 一絕緣層層11中開出自行對準接觸窗(SAC)開口 13和SAC開 口 14 ’藉以露出介於氮化石夕包覆的p〇iyCide閘極構造10之 間的濃摻雜源極和汲極區9上表面。其結果繪示於第3圖中 ’ SAC開口 1 3將用於後續位元線與源極和汲極區之間的接 觸’而SAC開口 1 4將用於STC構造與源極和汲極區之間的接 觸。 藉由氧電漿處理和仔細的濕式清潔步驟以去除上述光 阻層。接著形成導電複晶矽接觸插塞1 6,如第4圖所示 者°其中形成該複晶矽接觸插塞14的步驟係先以LPCVD程 序沈積一複晶矽層’其厚度介於1〇〇〇至3000埃,該複晶矽 層可在一同步(in-situ)沈積程序時進行摻雜,或是先單 獨沈積一複晶石夕層後再以碎或填離子佈植程序進行掺雜; 接著,以使用Cl?作為蝕刻劑的非等向性rie程序,或是以 CMP程序進行製作圖案之程序。繼續上述用來去除第一絕 緣層11表面不需要的複晶矽層部分的非等向性r丨E程序, 以形成一凹陷的複晶碎接觸插塞16 ’而低於第一絕緣層11 的上表面,如第4圖所示者。 於溫度介於65 0至75(TC條件下,以LPCVD或PECVD程序 沈積一厚度介於20 〇〇至4 000埃之氧化矽材質第二絕緣層層 17。第二絕緣層層17可以是BPSG或PSG層,其藉由將卩}^3與 B2He —起或是僅將phs單獨加進四乙氧基矽甲烧(te〇s)中而 製得。對第二絕緣層層17施行使用光阻圖案18當作罩幕的 傳統光學微影程序’以及使用CHF3當作蝕刻劑的非等向性C: \ path \ 0516-4067-e. Ptd page 8 r 32 6 4 η ___ 5. Description of the invention (6) and anisotropic RIE process using CHF3 as an etchant, and the first insulating layer A self-aligned contact window (SAC) opening 13 and a SAC opening 14 are opened in 11 so as to expose the heavily doped source and drain regions 9 between the pOiCide gate structure 10 covered with nitride stone. surface. The result is shown in Figure 3. 'SAC opening 1 3 will be used for contact between subsequent bit lines and source and drain regions' while SAC opening 1 4 will be used for STC structure and source and drain regions Contact. The photoresist layer was removed by an oxygen plasma treatment and a careful wet cleaning step. Then, a conductive polycrystalline silicon contact plug 16 is formed, as shown in FIG. 4. The step of forming the polycrystalline silicon contact plug 14 is to first deposit a polycrystalline silicon layer according to the LPCVD process. 〇OO-3000 angstroms, the polycrystalline silicon layer can be doped during an in-situ deposition process, or a polycrystalline silicon layer is deposited separately and then doped with a crushing or ion implantation process. Miscellaneous; Next, an anisotropic rie procedure using Cl? As an etchant, or a CMP procedure is used to make a pattern. The above-mentioned anisotropic r 丨 E procedure for removing unnecessary portions of the polycrystalline silicon layer on the surface of the first insulating layer 11 is continued to form a recessed polycrystalline chip contact plug 16 ′, which is lower than the first insulating layer 11. The upper surface, as shown in Figure 4. At a temperature of 65 to 75 ° C, a second insulating layer 17 of silicon oxide material is deposited by a LPCVD or PECVD process to a thickness of 2000 to 4,000 angstroms. The second insulating layer 17 may be BPSG Or PSG layer, which is prepared by combining 卩} ^ 3 with B2He or only adding phs separately to tetraethoxysilicone (te0s). The second insulating layer 17 is used Photoresist pattern 18 as a traditional optical lithography process for masks' and anisotropy using CHF3 as an etchant

C:\path\0516-4067-e. ptd 第9頁 r W4 32 β - 五、發明說明(7) RIE程序,用以形成接觸窗開口19,露出位於SA(:開口丨3内 用來與後續位元線接觸之複晶矽接觸插塞16的上表面,如 第5圖所示者。再度藉由氧電漿處理和仔細的濕式清潔步 驟去除上述光阻圖案18之後,即進行製作p〇lycide位元線 構造22的步驟。首先’以lpCVD程序沈積一厚度介於5〇〇至 20 00埃的複晶矽層20。再一次地,該複晶矽層可藉由將砷 或碟加進石夕甲烧中而在一同步沈積程序時進行摻雜,或是 先單獨沈積一複晶矽層後再以砷或磷離子佈植程序進行摻 雜。接下來,以LPCVD程序沈積另一厚度介於50〇至2〇〇〇埃 的石夕化鎢層2 1。施行光學微影程序和使用CHf3當作蝕刻劑 的非等向性RIE程序,以形成p〇iycide(矽化鎢-複晶矽疊 層)位元線構造22 ’如第-6圖所示者。透過與SAC開口 1 3内 之複aa碎接觸插塞16的直接接觸,p〇lyCide位元線構造22 可與下方的源極和汲極區相接觸。再度施行氧電漿處理和 仔細的濕式清潔步轉’以去除上述作為定義P 〇 1 y c丨d e位元 線構造22之罩幕的光阻圖案18。 於溫度介於400至80 0。(:條件下,以PECVD或LPCVD程序 沈積一厚度介於2000至4000埃之氧化矽材質第三絕緣層層 23 ’接著施行一 CMP程序以平坦化第三絕緣層層23的上表 面。其次形成光阻圖案2 4以作為蝕刻罩幕,並施行使用 CHF3當作蝕刻劑的非等向性r ιέ程序,而在第三絕緣層層 2 3和第二絕緣層層1 7中形成儲存點接觸開口 2 5,以露出位 於SAC開口 14内用來提供後續STC構造與源極和汲極區相接 觸之複晶矽接觸插塞16的上表面,如第7圖所示者。在以C: \ path \ 0516-4067-e. Ptd page 9 r W4 32 β-V. Description of the invention (7) The RIE procedure is used to form the contact window opening 19, which is exposed in the SA (: opening 3 and used to communicate with The upper surface of the polycrystalline silicon contact plug 16 for subsequent bit line contact is as shown in Figure 5. After the photoresist pattern 18 is removed again by oxygen plasma treatment and careful wet cleaning steps, fabrication is performed. The step of constructing the polycide bit line 22. First, a polycrystalline silicon layer 20 having a thickness of 500 to 200 angstroms is deposited by the lpCVD process. Again, the polycrystalline silicon layer may be formed by applying arsenic or The dish is added to the stone sintering and doped during a simultaneous deposition process, or a polycrystalline silicon layer is deposited separately and then doped with an arsenic or phosphorus ion implantation process. Next, it is deposited using the LPCVD process Another tungsten sulfide layer 21 having a thickness of 50 to 2000 angstroms. 1. An optical lithography process and an anisotropic RIE process using CHf3 as an etchant are performed to form a poiycide (tungsten silicide). -Polycrystalline silicon stack) bit line structure 22 'as shown in Figure -6. Through contact with the complex aa chip in the SAC opening 1 3 16 Direct contact, pOlyCide bit line structure 22 can be in contact with the source and drain regions below. Oxygen plasma treatment and careful wet cleaning steps are performed again to remove the above definition P 01 yc 丨 de Photoresist pattern 18 of the mask of the bit line structure 22. At a temperature of 400 to 80 ° (under conditions, a PECVD or LPCVD process is used to deposit a third insulating layer of silicon oxide material with a thickness of 2000 to 4000 angstroms). Layer 23 'followed by a CMP process to planarize the upper surface of the third insulating layer layer 23. Next, a photoresist pattern 24 is formed as an etching mask, and an anisotropic process using CHF3 as an etchant is performed. A storage point contact opening 25 is formed in the third insulating layer 23 and the second insulating layer 17 to expose the contact openings 25 located in the SAC opening 14 for providing subsequent STC structures in contact with the source and drain regions. The upper surface of the polycrystalline silicon contact plug 16 is as shown in FIG.

C:\path\0516-4067-e. ptd 第10頁C: \ path \ 0516-4067-e. Ptd page 10

述光阻圖案24之 容器介電層27的 氧電漿處理和仔細的濕式清潔步驟去陝 後,即進行製作複晶矽儲存點電極二 步驟。 電 藉一存點電極26的步驟係先以LPCO程序沈 度介於_至12〇°。埃,以完全地填 ’該複晶石夕層在沈積程序時可藉由將 以❹㈣f烧中而進行㈣。接t,施行光學微影和 使用。2作為蝕刻劑的非等向性RIE程序,以形成複晶矽儲 存點電極26。在以氧電漿處理和仔細的濕式清潔步驟去除 上述用來定義複晶石夕儲存點電極26之光阻圖案η後,藉由 一射頻濺鍍程序而沈積一高介電常數層27覆於複晶矽儲存 點電極26上’例如是Ta205 ’其厚度介於5〇至200埃《電容 器介電層27也可以是一藉由化學氣相沈積(cvd)或電漿氣 相沈積(PVD)程序沈積的鋇鳃鈦酸鹽(barium strontium titanate)層,其厚度介於50至2 00埃。施行使用ci24HBr 作為蝕刻劑的RI E程序,去除第三絕緣層2 3表面上不需要 的電容器介電層27部分,以製得覆蓋有電容器介電層27的 複晶矽儲存點電極26,如第8圖所示者。(當從第三絕緣層 23表面上去除不需要的電容器介電層27部分時,覆蓋在複 晶矽儲存點電極26上的電容器介電層2 7係以光阻保護的) 接著使用光阻圖案28當作罩幕’施行非等向性RIE程 序而在第三絕緣層2 3、第二絕緣層1 7、第一絕緣層11、和 氮化矽蓋層6中開出字元線接觸開口 29,以露出polycideAfter the oxygen plasma treatment and careful wet cleaning steps of the container dielectric layer 27 of the photoresist pattern 24 are performed, the two steps of manufacturing the polycrystalline silicon storage point electrode are performed. To borrow a stored electrode 26, the LPCO program is first set to a degree between _ and 120 °. Angstrom, to completely fill the polycrystalite layer in the deposition process can be carried out by firing with ❹㈣f. Then, perform optical lithography and use. 2 An anisotropic RIE process as an etchant to form a polycrystalline silicon storage point electrode 26. After removing the photoresist pattern η used to define the polycrystalite storage point electrode 26 with an oxygen plasma treatment and a careful wet cleaning step, a high dielectric constant layer 27 is deposited by an RF sputtering process. On the polycrystalline silicon storage point electrode 26, for example, Ta205, the thickness is between 50 and 200 Angstroms. The capacitor dielectric layer 27 may also be formed by chemical vapor deposition (cvd) or plasma vapor deposition (PVD). ) A layer of barium strontium titanate deposited by procedures, having a thickness between 50 and 200 angstroms. A RI program using ci24HBr as an etchant is performed to remove the unnecessary portion of the capacitor dielectric layer 27 on the surface of the third insulating layer 23 to obtain a polycrystalline silicon storage point electrode 26 covered with the capacitor dielectric layer 27, such as Shown in Figure 8. (When the unnecessary capacitor dielectric layer 27 is removed from the surface of the third insulating layer 23, the capacitor dielectric layer 27 covering the polycrystalline silicon storage point electrode 26 is protected with a photoresist.) Next, a photoresist is used. The pattern 28 is used as a mask to perform an anisotropic RIE process and make a word line contact in the third insulating layer 2 3, the second insulating layer 1 7, the first insulating layer 11, and the silicon nitride capping layer 6. Opening 29 to expose polycide

C:\path\0516-4067-e. ptd 第11頁 F4 3 2 P 4 - 五、發明說明(9) 閘極構造10的上表面。施行相同的程序而在第三絕緣層23 、第二絕緣層1 7、和第一絕緣層1丨中開出金屬層接觸開口 30 ’以露出半導體基底1的一個區域。其中非等向性RIE程 序係使用CHFs當作蝕刻劑。上述製程步驟係繪示於第9圖 中。 利用氧電黎處理和仔細的濕式清潔步驟去除上述光阻 圖案28之後’進行所謂的SPM程序,亦即同時形成電容極 板與金屬層接觸窗構造。首先’沈積一厚度介於至 1 00 0 埃 的阻障層31。此一阻障層31可以是利用射頻濺鍍程序沈積 的氮化鈦層或氮化鎢層。其次,利用LPCVD或射頻賤鍵程 序沈積一厚度介於1000至5000埃的鎢層32,以完全地填滿 上述字元線接觸開口 29和金屬層接觸開口 3〇,如第1〇圖所 不者。其次對鶴層32和阻障層31施行光學微影和使用ci 作為钱刻劑的RIE程序’以形成STC構造33的電容極板構造 ’其包括鶴層32和阻障層31而蓋在包覆有電容器介電層的 儲存點電極構造上。相同的光學微影和RIE程序可用來同 時形成字元線接觸窗構造34和金屬層接觸窗構造35。以金 屬層完全地填滿字元線接觸開口 29和金屬層接觸開口 3〇的 能力,促使同時定義電容極板、字元線接觸窗構造、和金 屬層接觸窗構造之目的得以實現’其利用RIE去除第三絕 緣層23表面上鎢層32和阻障層31未被罩幕蓋住的部分。再 次利用氧電漿處理和仔細的濕式清潔步驟去除上述光阻圖 案,其結果顯示於第11圖中。C: \ path \ 0516-4067-e. Ptd page 11 F4 3 2 P 4-V. Description of the invention (9) The upper surface of the gate structure 10. The same procedure is performed to open a metal layer contact opening 30 'in the third insulating layer 23, the second insulating layer 17 and the first insulating layer 1 丨 to expose an area of the semiconductor substrate 1. The anisotropic RIE process uses CHFs as an etchant. The above process steps are shown in Figure 9. After removing the above-mentioned photoresist pattern 28 by using an oxygen treatment and a careful wet cleaning step, a so-called SPM process is performed, that is, a structure of a contact window of a capacitor plate and a metal layer is formed at the same time. First, a barrier layer 31 is deposited to a thickness of between 100 angstroms and 100 angstroms. The barrier layer 31 may be a titanium nitride layer or a tungsten nitride layer deposited by a radio frequency sputtering process. Secondly, a tungsten layer 32 having a thickness of 1000 to 5000 angstroms is deposited by LPCVD or radio frequency key bonding procedures to completely fill the word line contact openings 29 and metal layer contact openings 30, as shown in FIG. 10 By. Secondly, optical lithography and RIE procedures using ci as a money engraving agent are performed on the crane layer 32 and the barrier layer 31 to form a capacitor plate structure of the STC structure 33. It includes the crane layer 32 and the barrier layer 31 and is covered in a package. The storage point electrode is covered with a capacitor dielectric layer. The same optical lithography and RIE procedures can be used to form the word line contact window structure 34 and the metal layer contact window structure 35 at the same time. The ability to completely fill the word line contact openings 29 and the metal layer contact openings 30 with the metal layer promotes the purpose of simultaneously defining the capacitor plate, the word line contact window structure, and the metal layer contact window structure. RIE removes portions of the tungsten layer 32 and the barrier layer 31 on the surface of the third insulating layer 23 that are not covered by the mask. The photoresist pattern was removed again using an oxygen plasma treatment and a careful wet cleaning step. The results are shown in Figure 11.

C:\path\0516-4067-e* ptd 第 12 頁 32 6 五、發明說明(ίο) 第12圖繪示金屬内連導線構造38的製作,其與金屬層 接觸窗構造些接觸。利用PECVD或LPCVD程序沈積一厚度介 於2 000至10000埃的第四絕緣層36,並利用一CMp程序進行C: \ path \ 0516-4067-e * ptd page 12 32 6 V. Description of the invention (ίο) Figure 12 shows the production of the metal interconnecting wire structure 38, which makes some contact with the metal layer contact window structure. A PECVD or LPCVD process is used to deposit a fourth insulating layer 36 having a thickness between 2 000 and 10,000 Angstroms, and a C Mp process is performed.

平坦化處理。施行光學微影和使用C 作為蝕刻劑的β J E 程序,以形成接觸窗的開口 37,露出金屬層接觸窗構造35 的上表面。當以氧電漿處理和仔細的濕式清潔步驟去除上 述用來製作接觸窗開口 37的光阻圖案之後,利用射頻濺鍍 程序沈積一厚度介於2 〇〇〇至5000埃的鋁層,其含有約〇至 3.2%的銅成分。施行光學微影和使用ci2作為姓刻劑的Rig 程序’以形成金屬内連導線構造38,如第12圖所示者。再 一次地利用氧電漿處理和仔細的濕式清潔步驟以去除上述 的光阻圖案。 雖然本發明已以若干較佳實施例揭露如上,然其並非 用以限定本發明,任何熟習此技藝者,在不脫離本發明之 精神和範圍内’當可作些許之更動與潤飾,因此本發明之 保護範圍當視後附之申請專利範園所界定者為準。Flattening process. Optical lithography and a β J E procedure using C as an etchant are performed to form the opening 37 of the contact window, exposing the upper surface of the metal layer contact window structure 35. After the photoresist pattern used to form the contact window opening 37 is removed by an oxygen plasma treatment and a careful wet cleaning step, an aluminum layer having a thickness of 2000 to 5000 angstroms is deposited by a radio frequency sputtering process. Contains about 0 to 3.2% copper content. Optical lithography and a Rig procedure using ci2 as a nicking agent is performed to form a metal interconnect wire structure 38, as shown in FIG. The photoresist pattern was removed again using an oxygen plasma treatment and a careful wet cleaning step. Although the present invention has been disclosed as above with several preferred embodiments, it is not intended to limit the present invention. Anyone skilled in the art can make some changes and decorations without departing from the spirit and scope of the present invention. The scope of protection of the invention shall be as defined in the attached patent application park.

Claims (1)

f &gt;4 326 4 0___ 六、申請專利範圍 1. 一種可同時製造疊層式電容器構造之電容極板、字 元線接觸窗構造、與金屬層接觸窗構造的方法’適用於 DRAM裝置,其包括下列步驟: 設複數轉移電晶體於一半導體基底上,分別包括一複 晶矽化物(polycide)閘極構造,形成在一閘極絕緣層上’ 以及源極和汲極區,形成在該半導體基底中未被該複晶矽 化物閘極構造覆蓋的區域上; 複晶石夕接觸插塞(contact plug)於第 設 ^ u u u α i u p l ug y yjs ^ ^ ^ 接觸開口内,其中該複晶矽接觸插塞位於該複晶矽化物閘 極構造與該轉移電晶體之間,且該複晶矽接觸插塞係與該 轉移電晶體之源極和汲極區相接觸. 面; 乂露出該複晶矽接觸插塞的上表 ㈣甘點電極於兮 晶矽接觸插塞接觸;、'^爾评點接觸開口内 以興該複 形成一電容器介電層柃 在第二複合絕緣層中存點電極上; 該半導體基底的—個區域刊金屬層接觸開 在第三複合絕緣層中 一複晶矽化物閣極構造 * 字元線接觸開 在該電容器介電層上上表面; 叫 ,極土’並覆蓋在該金屬層:觸二障層,覆蓋在該儲存點 字疋線接觸開口的側壁和底部上Θ u的側壁和底部’及該 σ π 以露出 以露出f &gt; 4 326 4 0___ 6. Application for Patent Scope 1. A method for simultaneously manufacturing a capacitor plate, a word line contact window structure, and a metal layer contact window structure of a multilayer capacitor structure is applicable to a DRAM device, which The method includes the following steps: setting a plurality of transfer transistors on a semiconductor substrate, each including a polycide gate structure formed on a gate insulating layer; and a source and drain region formed on the semiconductor; On the area of the substrate that is not covered by the polycrystalline silicide gate structure; the polycrystalline silicon contact plug is located in the contact opening ^ uuu α iupl ug y yjs ^ ^ ^ The contact plug is located between the complex silicide gate structure and the transfer transistor, and the complex silicon contact plug is in contact with the source and drain regions of the transfer transistor. Surface; 乂 exposes the complex The top surface of the crystalline silicon contact plug is contacted with the crystalline silicon contact plug; the contact opening is formed to form a capacitor dielectric layer in the second composite insulating layer. On the electrode A metal layer of a semiconductor substrate is contacted in a third composite insulating layer. A complex silicide structure is formed. The character line contacts the upper surface of the capacitor dielectric layer; The metal layer: a second barrier layer covering the sidewall and bottom of Θ u on the sidewall and bottom of the storage braille line contact opening and the σ π to be exposed to be exposed 『醪4 R d R_____ 六、申請專利範圍 沈積一鎢層於該阻障層上’以完全填滿該金屬層接觸 開口和該字元線接觸開口;以及 定義該鎮層和該阻障層的圖案,以形成該電容極板於 該儲存點電極的該電容器介電層上,並在該字元線接觸開 口内形成該字元線接觸窗構造,及在該金屬層接觸開口内 形成該金屬層接觸窗構造。 2. 如申請專利範圍第1項所述的方法,其中形成該複 晶矽接觸插塞的步驟係先以LPCVD程序沈積一複晶碎層, 其厚度介於1 000至3000埃,該複晶矽層可在一同步(in_ situ)沈積程序時進行摻雜,或是以砷或磷離子佈植程序 進行摻雜’然後’以使用Clg作為蝕刻劑的非等向性RIE程 序,去除該第一絕緣層上方不需要的部分,或是以CMp程 序,去除該複晶矽層不需要的部分,而定義出該複晶矽層 的圖案。 3. 如申請專利範圍第1項所述的方法,其中該儲存點 接觸開口係利用以CHF3當作钱刻劑的非等向性r I e程序於 該第一複合絕緣層中開設的,其中該第一複合絕緣層包括 :以LPCVD或PECVD程序沈積的第三氧化矽絕緣層,其厚度 係介於2000至5000埃;及以LPCVD或PECVD程序沈積的第二 氧化矽絕緣層,其厚度係介於20 00至4000埃。 4. 如申請專利範圍第1項所述的方法,其中該儲存點 電極係由N型複晶石夕層所製成’其利用LPCVD程序沈積至厚 度介於4000至12000埃’並於一同位沈積程序期間同時進 行摻雜’然後利用以C 12當作蝕刻劑的非等向性R丨e程序來"醪 4 R d R_____ VI. Patent application scope Deposit a tungsten layer on the barrier layer 'to completely fill the metal layer contact opening and the word line contact opening; and define the town layer and the barrier layer. Patterning to form the capacitor plate on the capacitor dielectric layer of the storage point electrode, forming the word line contact window structure in the word line contact opening, and forming the metal in the metal layer contact opening Layer contact window construction. 2. The method according to item 1 of the scope of patent application, wherein the step of forming the polycrystalline silicon contact plug is firstly depositing a polycrystalline fragment layer with a thickness of 1 to 3000 angstroms by the LPCVD procedure. The silicon layer can be doped during an in-situ deposition process, or doped with an arsenic or phosphorus ion implantation process and then 'anisotropic' RIE process using Clg as an etchant to remove the first An unnecessary portion above an insulating layer, or a CMP program, removes unnecessary portions of the polycrystalline silicon layer, and defines the pattern of the polycrystalline silicon layer. 3. The method according to item 1 of the scope of patent application, wherein the storage point contact opening is opened in the first composite insulating layer by using an anisotropic r I e program using CHF3 as a money engraving agent, wherein The first composite insulating layer includes: a third silicon oxide insulating layer deposited by the LPCVD or PECVD process, the thickness of which is between 2000 and 5000 angstroms; and a second silicon oxide insulating layer deposited by the LPCVD or PECVD process, the thickness of which is Between 200 and 4000 Angstroms. 4. The method according to item 1 of the scope of the patent application, wherein the storage point electrode is made of an N-type polycrystalline stone layer 'which is deposited to a thickness of 4,000 to 12,000 angstroms using the LPCVD process' and is co-located Simultaneous doping during the deposition process and then using an anisotropic R e process with C 12 as an etchant C:\path\0516-4067-e. ptd 第15頁 32 6 4 η 六、申請專利範圍 製作圖案。 5.如申請專利範圍第1項所述的方法,其中該電容器 介電層的材質為Ta205,係使用射頻濺鍍程序沈積而成,其 厚度介於5 0至200埃。 6_如申請專利範固第1項所述的方法,其中該電容器 介電層的材質為锅錄欽酸鹽(bariuin strontium titanate ),係使用CVD或PVD程序沈積而成,其厚度介於50至20 0埃 〇 7. 如申請專利範圍第1項所述的方法,其中該金屬層 接觸開口係利用以CHF3當作蝕刻劑的非等向性R I E程序於 該第二複合絕緣層中開設的,其中該第二複合絕緣層包 括:該第三絕緣層;該第二絕緣層;及以LPCVD或!^^!)程 序沈積的第一氧化矽絕緣層,其厚度係介於300 0至8〇〇〇埃 〇 8. 如申請專利範圍第1項所述的方法,其中該字元線 接觸開口係利用以CHF3當作蝕刻劑的非等向性κι E程序於 該第三複合絕緣層中開設的,其中該第一複合絕緣層包括 :該第三絕緣層;該第二絕緣層;該第一絕緣層的上半部 ’其厚度介於2000至8000埃;及覆蓋在該複晶矽化物閘極 構造上的該氮化矽層’其厚度係介於1〇〇〇至3〇〇〇埃。 9 如申請專利範圍第1項所述的方法,其中該阻障層 的材質為氮化鈦,係使用射頻濺鍍程序沈積而成,其厚度 介於100至1 0 00埃。 10.如申請專利範圍第1項所述的方法,其中該阻障層C: \ path \ 0516-4067-e. Ptd page 15 32 6 4 η 6. Scope of patent application Make patterns. 5. The method according to item 1 of the scope of patent application, wherein the material of the capacitor dielectric layer is Ta205, which is deposited using a radio frequency sputtering process and has a thickness of 50 to 200 angstroms. 6_ The method according to item 1 of the patent application, wherein the material of the capacitor dielectric layer is bariuin strontium titanate, which is deposited using a CVD or PVD process, and the thickness is between 50 and 50. To 200 angstrom. The method according to item 1 of the patent application range, wherein the metal layer contact opening is opened in the second composite insulating layer using an anisotropic RIE process using CHF3 as an etchant. Wherein the second composite insulating layer includes: the third insulating layer; the second insulating layer; and a first silicon oxide insulating layer deposited by LPCVD or! ^^!) Procedure, the thickness of which is between 300 and 8 〇〇〇〇〇. The method described in the scope of the first patent application, wherein the word line contact openings using a non-isotropic κ E program using CHF3 as an etchant in the third composite insulating layer Opened, wherein the first composite insulating layer includes: the third insulating layer; the second insulating layer; the upper half of the first insulating layer 'has a thickness ranging from 2000 to 8000 angstroms; The thickness of the silicon nitride layer on the object gate structure is between 1 and 10 3〇〇〇 billion to Egypt. 9. The method according to item 1 of the scope of patent application, wherein the material of the barrier layer is titanium nitride, which is deposited using a radio frequency sputtering process, and has a thickness of 100 to 100 angstroms. 10. The method as described in claim 1, wherein the barrier layer Γ 14 32 6 4 η 六、申請專利範圍 的材質為氮化鎢’係使用射頻濺鍍程序沈積而成,其厚度 介於100至1 0 00埃。 11 .如申請專利範圍第1項所述的方法,其中該鎢層係 使用LPCVD或射頻濺鍍程序沈積而成,其厚度介於丨〇〇〇炱 5 0 0 0 埃。 12. 如申請專利範圍第〗項所述的方法,其中係藉由使 用C12當作餘刻劑之非等向性RIE程序來定義該鎢層和該阻 障層的圖案,而用以同時形成該電容極板、該金屬層接觸 開口、和該字元線構造者。 13, 一種在半導體基底上製造DRAM裝置的方法,其中 一疊層式電容器構造的電容極板、一字元線接觸開口、和 一金屬層接觸開口均係利用單一沈積程序和單一圖案定義 程序所形成的,其包括下列步驟: # J設複數轉移電晶體於該半導體基底上,分別包括被一 氮化矽包覆的複晶矽化物閘極構造,形成在一閘極絕緣層 上,以及源極和汲極區,形成在該半導體基底中介於該些 氮化石夕包覆的複晶矽化物閘極構造之間的區域上; 沈積一第一絕緣層; 平坦化該第一絕緣層; 形成自行對準接觸開口於該第一絕緣層中,以通往第 一源極和汲極區’和通往第二源極和汲極區; 沈積一第一複晶矽層以完全地填滿該該自行對準接觸 去除該第一絕緣層表面上的該第一複晶矽層,以形成Γ 14 32 6 4 η 6. The material for patent application is tungsten nitride 'which is deposited by RF sputtering process and its thickness is between 100 and 100 Angstroms. 11. The method according to item 1 of the scope of the patent application, wherein the tungsten layer is deposited using LPCVD or radio frequency sputtering procedures, and has a thickness of about 500 Angstroms. 12. The method as described in the item of the scope of patent application, wherein the pattern of the tungsten layer and the barrier layer is defined by an anisotropic RIE program using C12 as a post-etching agent, and is used to simultaneously form The capacitor plate, the metal layer contact opening, and the word line constructor. 13. A method for manufacturing a DRAM device on a semiconductor substrate, wherein a capacitor plate of a multilayer capacitor structure, a word line contact opening, and a metal layer contact opening are all formed by a single deposition process and a single pattern definition process. It is formed and includes the following steps: # J set a plurality of transfer transistors on the semiconductor substrate, each including a polycrystalline silicide gate structure covered with a silicon nitride, formed on a gate insulating layer, and a source And a drain region formed on a region of the semiconductor substrate between the nitrided polysilicon gate structures; depositing a first insulating layer; planarizing the first insulating layer; forming Self-align the contact openings in the first insulating layer to access the first source and drain regions' and to the second source and drain regions; deposit a first polycrystalline silicon layer to completely fill The self-aligned contact removes the first polycrystalline silicon layer on the surface of the first insulating layer to form C. \path\0516'4067_e, ptd 第17頁 對準接觸開α内; 口内的該複晶石夕接觸插塞凹陷, 面的高度; 口以通往位於第一源極和汲極區 塞; 第一複晶石夕層的圖案,以在該位 位元線接觸窗構造,其位於該第 第二絕緣層中開出一儲存點接觸 接觸插塞的上表面,其位於該第 的圖案,以在該儲存點接觸開口 於該儲存點接觸開口上; 第二絕緣層、t ’ 和該第一絕緣層中 以露出該半導增中 遐基底的一個區域 六、申請專利範圍 複晶矽接觸插塞於該自行 使該自行對準接觸開 以低於該第一絕緣層上表 沈積一第二絕緣層; 開設一位元線接觸開 上方的第一複晶矽接觸插 沈積一第二複晶矽層 沈積一矽化鎢層; 定義該矽化鎢層和該 元線接觸開口内製作出·一 一複晶矽接觸插塞的上方 沈積一第三絕緣層; 平坦化該第三絕緣層 在該第三絕緣層和該 開口 ’以露出第二複晶矽 二源極和汲極區; 沈積一第三複晶矽層 定義該第三複晶矽層 内製作出一儲存點電極; 形成一電容器介電層 在該第三絕緣層、該 開出一金屬層接觸開口, 在該第三絕緣層、該第二絕緣層、 該第 絕緣層的上C. \ path \ 0516'4067_e, ptd Page 17 Alignment within the contact opening α; The polycrystalite in the mouth contacts the recess of the plug, the height of the surface; the mouth leads to the plug located at the first source and drain regions ; The pattern of the first polycrystalline stone layer is configured in the bit line contact window, which is located in the second insulating layer to open an upper surface of a storage point contact contact plug, which is located in the first pattern In order to expose the storage point contact opening on the storage point contact opening; a second insulating layer, t ′ and an area of the first insulating layer to expose the semiconducting semiconductor substrate; 6. Patent application scope for polycrystalline silicon A contact plug is deposited on the self-aligning contact to deposit a second insulating layer below the first insulating layer; a first polycrystalline silicon contact plug is opened above the first insulating layer to deposit a second A polysilicon layer is deposited with a tungsten silicide layer; a third insulating layer is deposited above the polysilicon contact plug defined by defining the tungsten silicide layer and the element wire contact opening; and planarizing the third insulating layer at The third insulating layer and the opening 'to expose the second Crystalline silicon source and drain regions; depositing a third polycrystalline silicon layer to define a storage point electrode in the third polycrystalline silicon layer; forming a capacitor dielectric layer on the third insulating layer, the opening A metal layer contacts the opening on the third insulating layer, the second insulating layer, and the first insulating layer. C:\path\0516-4067-e. ptd 第18頁 繆4 3264 Ο 六、申請專利範圍 半部、和一氮化矽層中開出一字元線接觸開口,以露出被 一氮化矽包覆的複晶矽化物閘極構造的上表面; 沈積一阻障層於該電容器介電層、該儲存點電極 '該 金屬層接觸開口露出的表面,及該子元線接觸開口露出的 表面上; 沈積一鎢層於該阻障層上,以完全填滿該金屬層接觸 開口和該字元線接觸開口;以及 定義該鎢層和該阻障層的圖案’以同時形成該疊層式 電容器構造的電容極板、該金屬層接觸開口内的該金屬層 接觸窗構造,以及該字元線接觸開口内的該字元線接觸窗 構造。 14.如申請專利範圍第13項所述的方法’其中該第一 絕緣層的材質為氧化矽*其係以LPCVD或PECVD程序沈積而 使厚度達介於30 00至800 0埃,並以一 CMP程序進行平坦化 〇 15_如申請專利範圍第13項所述的方法,其中用來製 作該複晶矽接觸插塞的該第一複晶矽層係由LPCVD程序沈 積的,其厚度介於1000至3000埃,並於沈積程序期間在矽 甲烷環境中加入砷或磷以進行同位(in-situ)摻雜,或者 先沈積該第一複晶矽層然後施行砷或磷離子佈植程序來進 行摻雜。 16.如申請專利範圍第13項所述的方法,其中該第二 絕緣層的材質為氧化矽,係使用LPCVD或PECVD程序沈積而 成,其厚度係介於20 00至4000埃。C: \ path \ 0516-4067-e. Ptd page 18 Miao 4 3264 〇 6. Half of the patent application scope, and a word line contact opening is made in a silicon nitride layer to expose the silicon nitride The upper surface of the clad polysilicon gate structure; a barrier layer is deposited on the capacitor dielectric layer, the storage point electrode, the surface exposed by the metal layer contact opening, and the surface exposed by the daughter wire contact opening Depositing a tungsten layer on the barrier layer to completely fill the metal layer contact opening and the word line contact opening; and defining a pattern of the tungsten layer and the barrier layer to form the stacked type simultaneously A capacitor plate of a capacitor structure, the metal layer contact window structure in the metal layer contact opening, and the character line contact window structure in the character line contact opening. 14. The method according to item 13 of the scope of patent application, wherein the material of the first insulating layer is silicon oxide * which is deposited by a LPCVD or PECVD process to a thickness of 300,000 to 80,000 Angstroms, and The CMP process is used for planarization. 15_ The method as described in item 13 of the patent application, wherein the first polycrystalline silicon layer used to fabricate the polycrystalline silicon contact plug is deposited by the LPCVD process and has a thickness between 1000 to 3000 angstroms, and adding arsenic or phosphorus to the in-situ dopant in the silicon methane environment during the deposition process, or first depositing the first polycrystalline silicon layer and then performing an arsenic or phosphorus ion implantation process to Doping. 16. The method according to item 13 of the patent application, wherein the material of the second insulating layer is silicon oxide, which is deposited using a LPCVD or PECVD process, and has a thickness ranging from 200 to 4000 angstroms. C:\path\0516-4067-e. ptd 第19頁 「鼷4 32 6 4 ο 六、申請專利範圍 1 7 ‘如申請專利範圍第1 3項所述的方法’其中用來製 作該位元線接觸窗構造的該第二複晶矽層係由LPCVD程序 沈積的’其厚度介於5〇〇至2〇〇〇埃,並於同位沈積程序期 間藉由在矽甲烷環境中加入_或磷以進行Ν型摻雜,或者 先沈積該第二複晶;6夕層然後施行神或填離子佈植程序來進 行摻雜。 18.如申請專利範圍第13項所述的方法’其中用於該 位元線接觸窗構造的矽化鎢層,係使用LPCVD程序沈積而 成’其厚度係介於500至2000埃。 19_如申請專利範圍第13項所述的方法,其中該第三 絕緣層的材質為氧化矽,其係以PECVD或LPCVD程序沈積而 使厚度達介於20 00至4000埃,並以一 CMP程序進行平坦 化0 20.如申請專利範圍第13項所述的方法,其中用來製 作該儲存點電極的該第三複晶矽層係由LPCVD程序沈積的 ’其厚度介於4000至12000埃,並且於同位沈積程序期間 藉由在矽甲烷環境中加入砷或磷以進行N型摻雜。 21_如申請專利範圍第13項所述的方法,其中該電容 器介電層的材質為Ta205,係使用射頻濺鍍程序沈積而成, 其厚度介於50至20 0埃。 22. 如申請專利範圍第13項所述的方法,其中該電容 器介電層的材質為鋇鋰鈦酸鹽,係使用CVD或PVD程序沈積 而成’其厚度介於50至200埃。 23. 如申請專利範圍第13項所述的方法,其中該阻障C: \ path \ 0516-4067-e. Ptd page 19 "鼷 4 32 6 4 ο 6. Patent application scope 1 7 'Method as described in item 13 of the patent application scope', which is used to make the bit The second polycrystalline silicon layer of the line contact window structure is deposited by the LPCVD process to a thickness of 5000 to 2000 Angstroms, and is added by a silicon dioxide environment during the isotopic deposition process. N-type doping, or first depositing the second compound; the layer is then doped with a god or ion implantation procedure to perform doping. 18. The method according to item 13 of the scope of patent application 'wherein The tungsten wire silicide layer of the bit line contact window is deposited using the LPCVD process, and its thickness is between 500 and 2000 angstroms. 19_ The method according to item 13 of the patent application scope, wherein the third insulating layer The material is silicon oxide, which is deposited by a PECVD or LPCVD process to a thickness of between 200 and 4000 angstroms, and is planarized by a CMP process. 20. The method according to item 13 of the scope of patent application, wherein The third polycrystalline silicon layer used to make the storage point electrode is deposited by the LPCVD process. 'Its thickness is in the range of 4000 to 12000 Angstroms, and N-type doping is performed by adding arsenic or phosphorus in a silicic acid environment during the isotopic deposition process. 21_ The method according to item 13 of the patent application scope, wherein The material of the capacitor dielectric layer is Ta205, which is deposited using a radio frequency sputtering process and has a thickness of 50 to 200 angstroms. 22. The method according to item 13 of the patent application scope, wherein The material is barium lithium titanate, which is deposited using CVD or PVD procedures. Its thickness is between 50 and 200 angstroms. 23. The method according to item 13 of the patent application scope, wherein the barrier C:\path\0516-4067-e. ptd 第20頁 t 酵4326 4 ο 六、申請專利範圍 層的材質為氮化鈦,係使用射頻濺鍍程序沈積而成’其厚 度介於100至1 00 0埃。 其中該鎢層 其厚度介於 24,如申請專利範圍第13項所述的方法,其中該阻障 層的材質為氮化鎢,係使用射頻濺鍍程序沈積而成,其厚 度介於100至1〇〇〇埃。 2 5 .如申請專利範圍第丨3項所述的方法 係使用LPCVD程序或射頻藏锻程序沈積而成 1 000 至5000 埃。 26.如申請專利範圍第η項所述的方法.穴,------- 使用C12當作蝕刻劑之非等向性r I Ε程序來定義該鎢層和該 阻障層的圖案,而用以同時形成該電容極板、該金屬層接 觸開口、和該字元線構造者。C: \ path \ 0516-4067-e. Ptd Page 20t Fermentation 4326 4 ο 6. The scope of the patent application layer is made of titanium nitride, which is deposited using RF sputtering process. Its thickness is between 100 and 1 0 0 0 Angstroms. The thickness of the tungsten layer is between 24, and the method described in item 13 of the patent application range, wherein the material of the barrier layer is tungsten nitride, which is deposited using a radio frequency sputtering process, and the thickness is between 100 and 100. 100 Angstroms. 25. The method described in item 3 of the patent application range is deposited by using the LPCVD process or the radio frequency Tibetan forging process from 1 to 5000 angstroms. 26. The method as described in item η of the scope of patent application. Cavity, -------- Anisotropic r I Ε program using C12 as an etchant to define the pattern of the tungsten layer and the barrier layer And used to form the capacitor plate, the metal layer contact opening, and the word line structure at the same time. C:\path\0516-4067-e, ptd 第21頁C: \ path \ 0516-4067-e, ptd p. 21
TW87121231A 1998-12-18 1998-12-18 High density DRAM device for simultaneously forming capacitor electrode board and metal contact structure TW432640B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW87121231A TW432640B (en) 1998-12-18 1998-12-18 High density DRAM device for simultaneously forming capacitor electrode board and metal contact structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW87121231A TW432640B (en) 1998-12-18 1998-12-18 High density DRAM device for simultaneously forming capacitor electrode board and metal contact structure

Publications (1)

Publication Number Publication Date
TW432640B true TW432640B (en) 2001-05-01

Family

ID=21632376

Family Applications (1)

Application Number Title Priority Date Filing Date
TW87121231A TW432640B (en) 1998-12-18 1998-12-18 High density DRAM device for simultaneously forming capacitor electrode board and metal contact structure

Country Status (1)

Country Link
TW (1) TW432640B (en)

Similar Documents

Publication Publication Date Title
US5956594A (en) Method for simultaneously forming capacitor plate and metal contact structures for a high density DRAM device
US7329953B2 (en) Structure for reducing leakage currents and high contact resistance for embedded memory and method for making same
US6479341B1 (en) Capacitor over metal DRAM structure
US5604146A (en) Method to fabricate a semiconductor memory device having an E-shaped storage node
KR100189982B1 (en) High dielectric capacitor fabrication method of semiconductor device
CN100403523C (en) Semiconductor device substrate with embedded capacitor
US6010942A (en) Post chemical mechanical polishing, clean procedure, used for fabrication of a crown shaped capacitor structure
JPH07202019A (en) Semiconductor integrated circuit device and its manufacture
JPH06140569A (en) Capacitor of semiconductor device and its manufacture as well as semiconductor device provided with said capacitor and its manufacture
JPH09289296A (en) Ferroelectric capacitor and its manufacture
US6468858B1 (en) Method of forming a metal insulator metal capacitor structure
JP2001291843A (en) Method for manufacturing semiconductor element
TWI226106B (en) Novel method for making three-dimensional metal-insulator-metal capacitors for dynamic random access memory and ferroelectric random access memory
US6825520B1 (en) Capacitor with a roughened silicide layer
US5837582A (en) Method to increase capacitance of a DRAM cell
KR100606256B1 (en) Semiconductor integrated circuit device and the method of manufacturing the same
US6437382B2 (en) Semiconductor device and manufacturing method thereof
KR20020031283A (en) Integrated Circuit Device And Method For Manufacture The Same
KR20020094977A (en) Method for Fabricating Cell Plug of Semiconductor Device
US6538287B2 (en) Method and structure for stacked DRAM capacitors and FETs for embedded DRAM circuits
US5899716A (en) Oxygen ion implantation procedure to increase the surface area of an STC structure
JP2003179214A (en) Method of manufacturing self-aligned ferroelectric memory transistor
JPH08236713A (en) Manufacture of semiconductor element
US20040142531A1 (en) Method of forming a stacked capacitor structure with increased surface area for a DRAM device
TW432640B (en) High density DRAM device for simultaneously forming capacitor electrode board and metal contact structure

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent