TW425706B - Dynamic random access memory with capacitor and its fabrication method - Google Patents

Dynamic random access memory with capacitor and its fabrication method Download PDF

Info

Publication number
TW425706B
TW425706B TW88113795A TW88113795A TW425706B TW 425706 B TW425706 B TW 425706B TW 88113795 A TW88113795 A TW 88113795A TW 88113795 A TW88113795 A TW 88113795A TW 425706 B TW425706 B TW 425706B
Authority
TW
Taiwan
Prior art keywords
layer
patent application
scope
item
manufacturing
Prior art date
Application number
TW88113795A
Other languages
Chinese (zh)
Inventor
Shiang-Yuan Jeng
Original Assignee
Vanguard Int Semiconduct Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Vanguard Int Semiconduct Corp filed Critical Vanguard Int Semiconduct Corp
Priority to TW88113795A priority Critical patent/TW425706B/en
Application granted granted Critical
Publication of TW425706B publication Critical patent/TW425706B/en

Links

Landscapes

  • Semiconductor Memories (AREA)

Abstract

This invention provides the fabrication method of dynamic random access memory that has simple geometry pattern active region, self-aligned crown-shaped capacitor and, bit line and polysilicon plug formed at the same time. First, a semiconductor substrate having active regions is provided, in which plural insulation regions are used to isolate from the other active regions. Gate and inter connection are formed individually on semiconductor substrate and insulation region, additionally, the corresponding source region and drain region are formed on substrate. A silicon nitride layer is deposited on substrate to protect the insulation layer stated above from etching damage. After forming an opening in the insulation layer to expose substrate, the silicon nitride layer is stripped to remain cylinder insulation material on the gate. A polysilicon layer is deposited to connect the exposed source and drain regions, in which the second silicon nitride layer is deposited on this polysilicon layer. A mask layer is used to etch the second silicon nitride layer and the polysilicon layer until the cylinder insulation material so as to form a bit line. This polysilicon layer is made to remain in between cylinder insulation materials such that plural polysilicon flattop plugs connected with the source/drain regions are formed, in which the polysilicon flattop plug located below the bit line constitutes the bit line contact. A mask layer is used to etch the second insulation layer to form plural contact openings so as to expose the polysilicon flattop plug on both sides of bit line. After that, crown-shaped capacitor is formed at the contact opening stated above.

Description

425 7 0 6 五、發明說明(1) 本發明是有關於一種動態隨機存取記憶體(Dynami c Random Access Memory ; DRAM)的製造方法’且特別是有 關於一種具有簡單幾何圖案的主動區、自對準冠狀電容、 以及具有同時形成的位元線與複晶矽插塞之動態隨機存取 記憶體的製造方法。 動態隨機存取記憶體(DRAM )已經廣泛地應用在電子元 件上,大部份的DRAM裝置都是以矽為主體,一個典型的記 憶單元通常包含一個M0S場效電晶體,而其源極則連接至 一儲存電容。在傳統DRAM電容器的製造方法中,需要一次 接觸窗的圖案定義來作為電容器的節點,以及一次複晶矽 層的圖案定義來作為電容器的下極板。但隨著DRAM線寬的 縮小’接觸窗的縱橫比(aspect rat io)將會增加,而使得 電容的有效面積減少《此外,DRAM傳統的s-形主動區在定 義有轉折(twisted)的位元線時會發生困難。因此,在 DRAM的製造技術上便亟需針對複雜的主動區、接觸技術、 以及電容器作一番改良。 在美國專利第4,966, 870號與第5,94 4,682號中, Barber等人與Cronin等人利用兩段式的蝕刻步驟來製作無 邊框(borderless)的接觸,其中應用到氧化矽對氮化矽^ 高蝕刻選擇比。在美國專利第5,25 8 〇96號中,Sandhu等 人利用一導電層來作為自對準接觸的蝕刻終止層。在美國 專利第5’ 466, 636號中,Cronin等人揭露另一種製作益 框接觸的方法。在美國專利第5 49 1 1 〇4號中,Ue等'人利 用導電層作為一保護性的蝕刻終止層來製作鰭狀電容器。425 7 0 6 V. Description of the invention (1) The present invention relates to a method for manufacturing a dynamic random access memory (DRAM), and in particular to an active area having a simple geometric pattern, Manufacturing method of self-aligned corona capacitor and dynamic random access memory with bit line and polycrystalline silicon plug formed at the same time. Dynamic random access memory (DRAM) has been widely used in electronic components. Most DRAM devices are based on silicon. A typical memory cell usually contains a MOS field effect transistor, and its source is Connected to a storage capacitor. In the traditional manufacturing method of DRAM capacitors, the pattern definition of a contact window is needed as a node of the capacitor, and the pattern definition of the polycrystalline silicon layer is used as the lower plate of the capacitor. However, with the shrinking of the DRAM line width, the aspect ratio of the contact window will increase, which will reduce the effective area of the capacitor. In addition, the traditional s-shaped active area of DRAM has a twisted bit defined. Difficulties occur in the yuan line. Therefore, in the manufacturing technology of DRAM, there is an urgent need to improve the complex active area, contact technology, and capacitor. In U.S. Patent Nos. 4,966, 870 and 5,94 4,682, Barber et al. And Cronin et al. Use a two-stage etching step to make borderless contacts, which are applied to silicon oxide to silicon nitride ^ High Etch Select Ratio. In U.S. Patent No. 5,25,008, Sandhu et al. Used a conductive layer as an etch stop for self-aligned contacts. In U.S. Patent No. 5 '466, 636, Cronin et al. Disclose another method of making a frame contact. In U.S. Patent No. 5,49,104, Ue et al. Used a conductive layer as a protective etch stop layer to make a fin capacitor.

第5頁 425706 五、發明說明(2) 在美國專利第5, 491,103號中,Ahn等人揭露一種製造冠狀 電容器以及位元線接觸的方法。 因此,本發明的主要目的就是提供一種有效而又相當 具有可製造性的製程以供DRAM積體電路元件的製造。 本發明的另一目的在於提供一種其主動區具有簡單幾 何圖案(geometry )之DRAM元件的製造方法。 本發明的又一目的在於提供一種具有自對準冠狀電容 之DRAM元件的製造方法。 本發明的再一目的在於提供一種具有同時形成的位元 線與複晶矽插塞之DRAM元件的製造方法。 本發明 動區、自對 晶矽插塞之 根據上 法,所製得 冠狀電容、 先,提供一 個絕緣區域 與絕緣區域 基底中形成 化矽層覆於 域表面上; 的側壁形成 導體基底、 的目的更包括提供一種具有簡 有同時形 準冠狀電容、以及具 動態隨機存取記憶體 述目的’本發明提供 之DRAM具有:簡單幾 以及具有同時形成的 具有主動區之半導體 將其他主動區隔離而 上分別形成複數個閘 對應的源極區與汲極 上述閘極、内連線、 回蝕部份的第一氮化 一氮化矽侧壁層,並 以及絕緣區域表面殘 的製造方 —種 DRAM 何圖案的 位元線與 基底,該 得。接著 極與複數 區。之後 半導體基 矽層,以 在上述閘 早幾何圖案的主 成的位元線與複 法。 元件的製造方 主動區、自對準 複晶矽插塞。首 主·動區係由複數 ’在半導體基底 個内連線,並在 ,沈積一第一氮 底、以及 在閘極與 極、内連 留第一氮化砂層。 絕緣區 内連線 線、半 接下Page 5 425706 V. Description of the Invention (2) In U.S. Patent No. 5,491,103, Ahn et al. Disclosed a method for manufacturing a crown capacitor and bit line contact. Therefore, the main object of the present invention is to provide an efficient and quite manufacturable process for the manufacture of DRAM integrated circuit components. Another object of the present invention is to provide a method for manufacturing a DRAM device having an active region having a simple geometry. Another object of the present invention is to provide a method for manufacturing a DRAM device having a self-aligned crown capacitor. It is still another object of the present invention to provide a method for manufacturing a DRAM device having a bit line and a polycrystalline silicon plug formed at the same time. According to the above method, a crown capacitor is prepared according to the above method of a dynamic region and a self-aligned silicon plug. First, an insulating region is provided and a siliconized layer is formed on the surface of the insulating region substrate to cover the surface of the domain; The purpose further includes providing a simultaneous quasi-coronary capacitor and a dynamic random access memory. The DRAM provided by the present invention has: a simple and a semiconductor with an active region formed at the same time to isolate other active regions A method for forming a source region corresponding to a plurality of gates and a drain electrode, a first nitride-nitride-silicon nitride sidewall layer for the gate, interconnects, and etch-back portions, and a surface residue of the insulating region are respectively formed on the top. What pattern bit line and substrate of DRAM should be deserved. Then pole and plural. After that, the semiconductor-based silicon layer is composed of bit lines and complex methods that are mainly composed of the above-mentioned early geometric patterns. Component manufacturer Active area, self-aligned polycrystalline silicon plug. The main active region is connected by a plurality of 'in the semiconductor substrate, and a first nitrogen substrate is deposited thereon, and a first nitrided sand layer is connected to the gate electrode and the electrode. Insulated area Inner wiring line, half-connected

第6頁 425706 來’沈積-第-絕緣層覆於基底丨,並形成一光阻罩幕於 此第-絕緣層i。蝕:J去除第一絕緣層中未被上述光阻罩 幕覆蓋的部份’以在每個閘極與每個内連線的上方,形成 柱狀的絕緣物,其中,該蝕刻步驟係在第—絕緣層對第一 氮化石夕層為高選擇比的條件下進行,#以在㈣過程中利 用第一氮化矽層保濩上述絕緣區域。之後,去除第一氮化 矽層中未被光阻罩幕覆蓋的部份,以露出半導體基底中的 源極與汲極區。沈積一複晶矽層覆於基底上’並連接所露 出的源極與汲極區,並沈積一層金屬矽化物覆於此複晶矽 層之上’並沈積一第二氮化矽層覆於此複晶矽層。其次, 利用一罩幕層蝕刻第二氮化矽層與複晶矽層,直到等柱狀 絕緣物為止’藉以形成一位元線,並使複晶矽層殘留於該 些柱狀絕緣物之間’而形成複數個與上述源極/汲極區相 連接之複晶矽平台插塞,其中位於位元線下方的複晶矽平 台插塞即可構成位元線接觸,在此步驟中並露出該等柱狀 絕緣物之邊角。在蝕去該等柱狀絕緣物所露出之邊角後, 該位元線的側壁形成第二侧壁層,而此第二侧壁層係形成 在所姓去的柱狀絕緣物的邊角上。先沈積一第二絕緣層 後’利用一罩幕層蝕刻此第二絕緣層,以形成複數個接觸 開口而露出該等複晶矽平台插塞;之後,沿著第二絕緣層 與接觸開口的輪廓沈積一第一導電層,作為電容器的下電 極。沈積一第三絕緣層於第一導電層表面,並研磨第三絕 緣層’直到將第二絕緣層上表面的第一導電層去除,而露 出第二絕緣層為止;然後去除第三絕緣層以及露出的第二Page 6 425706 to deposit a first insulating layer over the substrate and form a photoresist mask on this first insulating layer i. Etching: J removes the portion of the first insulating layer that is not covered by the photoresist mask to form a columnar insulator above each gate and each interconnector, where the etching step is performed at The first insulation layer is performed under the condition that the first nitride layer is highly selective, so as to use the first silicon nitride layer to protect the above-mentioned insulating area during the process. After that, the portion of the first silicon nitride layer not covered by the photoresist mask is removed to expose the source and drain regions in the semiconductor substrate. Deposit a polycrystalline silicon layer over the substrate 'and connect the exposed source and drain regions, and deposit a metal silicide layer over this polycrystalline silicon layer' and deposit a second silicon nitride layer over This polycrystalline silicon layer. Secondly, the second silicon nitride layer and the polycrystalline silicon layer are etched with a mask layer until the columnar insulators are waited to form a bit line, and the polycrystalline silicon layer is left on the columnar insulators. To form a plurality of polycrystalline silicon platform plugs connected to the above source / drain regions, wherein the polycrystalline silicon platform plugs located below the bit line can form bit line contacts. In this step, The corners of these columnar insulators are exposed. After the corners exposed by the columnar insulators are etched, the sidewalls of the bit line form a second sidewall layer, and the second sidewall layer is formed at the corners of the columnar insulators. on. First deposit a second insulating layer and then etch the second insulating layer with a cover layer to form a plurality of contact openings to expose the polycrystalline silicon platform plugs. Then, the second insulating layer and the contact openings are exposed along the second insulating layer. A first conductive layer is deposited as a lower electrode of the capacitor. Depositing a third insulating layer on the surface of the first conductive layer, and grinding the third insulating layer 'until the first conductive layer on the upper surface of the second insulating layer is removed to expose the second insulating layer; and then the third insulating layer is removed and Exposed second

425706 ^------ 五、發明說明(4) ' 層。沈積一電容介電層於第一導電層表面,以及沈積 —導電層覆於電容介電層上,以作為電容器之上電 極’完成本發明之製造流程。 外,根據本發明之另一目的,本發明更提供一種具 ^單幾何圖案的主動區、自對準冠狀電容、以及具有同 祕形成的位兀線與複晶矽插塞之動態隨機存取記憶體。根 本,明之DRAM元件包括:一半導體基底上之主動區,此 主動區係由複數個絕緣區域將其他主動區隔離而得;複數 ,閘極與複數個内連線,分別位於半導體基底與該等絕緣 區域上,以及對應的源極區與汲極區,形成於基底中;複 數個氣化石夕側壁層’位於該等閘極與該等内連線的側壁; 複數個複晶妙平台插塞,連接上述源極與汲極區;一位元 線’覆於該等複晶石夕平台插塞其中之一具有冠狀外型 的電容器,覆於位元線與複晶矽平台插塞;一第一導電 層’於位7L線的兩侧連接複晶矽平台插塞,而構成電容器 的下電極’其中複晶矽平台插塞則構成電容器之節點;一 電容介電層’覆於第一導電層上;以及一第二導電層,覆 於電容介電層上’以構成電容器的上電極,而完成本發明 之具有電谷器之動態隨機存取記憶體。 為讓本發明之上述和其他目的、特徵、和優點能更明 顯易懂’下文特舉一較佳實施例,並配合所附圖式,作詳 細說明如下: 圖式之簡單說明 第1圖為依據本發明較佳實施例的DRAM上視圖。425706 ^ ------ 5. Description of the invention (4) 'layer. A capacitor dielectric layer is deposited on the surface of the first conductive layer, and a deposition-conductive layer is overlaid on the capacitor dielectric layer to serve as an electrode on the capacitor 'to complete the manufacturing process of the present invention. In addition, according to another object of the present invention, the present invention further provides an active region with a single geometric pattern, a self-aligned coronal capacitor, and dynamic random access with bit lines and polycrystalline silicon plugs formed in the same manner. Memory. Fundamentally, the DRAM component of Ming includes: an active area on a semiconductor substrate, the active area is obtained by isolating other active areas from a plurality of insulating areas; a plurality, a gate and a plurality of interconnects are respectively located on the semiconductor substrate and the The insulating region, and the corresponding source and drain regions are formed in the substrate; a plurality of gasified rock sidewall layers are located on the sidewalls of the gates and the interconnects; a plurality of complex crystal platform plugs To connect the above source and drain regions; a meta-line 'covers one of the polycrystalline stone platform plugs with a crown-shaped capacitor and covers the bit-line and poly-crystalline silicon platform plugs; The first conductive layer is connected to the polycrystalline silicon platform plug on both sides of the bit 7L line, and forms the lower electrode of the capacitor. The polycrystalline silicon platform plug constitutes the node of the capacitor; a capacitive dielectric layer is overlaid on the first A conductive layer; and a second conductive layer overlying the capacitor dielectric layer to form an upper electrode of the capacitor to complete the dynamic random access memory with a valley device of the present invention. In order to make the above and other objects, features, and advantages of the present invention more comprehensible, a preferred embodiment is exemplified below, and in conjunction with the accompanying drawings, the detailed description is as follows: Brief description of the drawings FIG. 1 is Top view of a DRAM according to a preferred embodiment of the present invention.

第8頁 425706 五、發明說明(5) 第2 ~ 1 8圖為一系列剖面圖,用以說明本發明一較佳實 施例的製作流程。 第11 A與11B圖為依據第1圖之上視圖沿不同切面所得 之剖面示意圖。 實施例 以下將配合第2〜1 8圖,說明本發明製作DRAM元件的流 程,所製得的DRAM元件之上視圖如第1圖所示,具有簡單 幾何圖案的主動區、自對準冠狀電容、以及具有同時形成 的位元線與複晶矽插塞。 首先請參照第2圖,第一個步驟是在半導體基底1〇上 形成介電絕緣物以隔離出基底上的主動區域;所使用的半 導體基材最好是具有(100)晶格位向的石夕底材。由於上述 的隔離製程屬於習知步驟,為了簡化起見,在圖示中僅以 出部份介電絕緣物’且其製程也不加以詳述。首先,在 半導體基底上形成場氧化層12 ’或者也可以用淺溝渠隔離 (STI)來代替場氧化層。 其次,先以熱氧化法在矽基底1〇上形成所需厚度的閘 氡化層14 ’再以低壓化學氣相沈積法(LPCVD)在基底上全 面性地覆蓋一層厚約500〜1500埃的複晶矽層16。接著,在 複晶矽層1 6上沈積一層金屬矽化物(s i丨丨c丨de)〗8,其材質 例如是矽化鈦、矽化鎢、矽化銅等。在金屬矽化物上則更 沈積一層厚約1500〜2500埃的氮化矽覆蓋層(capping 1 ay er )。之後’利用微影成像與非等向性的蝕刻技術將前 述各層14、16、18、20定義成所需的閘極25及字元線27,Page 8 425706 V. Description of the invention (5) Figures 2 to 18 are a series of cross-sectional views illustrating the manufacturing process of a preferred embodiment of the present invention. Figures 11A and 11B are schematic cross-sectional views taken along different cuts based on the top view of Figure 1. EXAMPLES The process of manufacturing a DRAM device according to the present invention will be described below with reference to Figures 2 to 18. The top view of the prepared DRAM device is shown in Figure 1. It has an active region with a simple geometric pattern and a self-aligned crown capacitor. And having a bit line and a polycrystalline silicon plug formed at the same time. First, please refer to FIG. 2. The first step is to form a dielectric insulator on the semiconductor substrate 10 to isolate the active area on the substrate. The semiconductor substrate used preferably has a (100) lattice orientation. Shi Xi substrate. Since the above isolation process is a conventional step, for the sake of simplicity, only a part of the dielectric insulator is shown in the figure, and its process is not described in detail. First, a field oxide layer 12 'is formed on a semiconductor substrate or a shallow trench isolation (STI) can be used instead of the field oxide layer. Secondly, a gate oxide layer 14 ′ of a desired thickness is formed on the silicon substrate 10 by a thermal oxidation method, and then a low-pressure chemical vapor deposition (LPCVD) method is used to comprehensively cover the substrate with a thickness of about 500 to 1500 angstroms.复 晶 硅 层 16。 Multiple crystal silicon layer 16. Next, a layer of metal silicide (s i 丨 丨 c 丨 de) 8 is deposited on the polycrystalline silicon layer 16 and its material is, for example, titanium silicide, tungsten silicide, copper silicide, and the like. A layer of silicon nitride (capping 1 ay er) with a thickness of about 1500 to 2500 angstroms is deposited on the metal silicide. Afterwards', the lithography and anisotropic etching techniques are used to define the above-mentioned layers 14, 16, 18, and 20 as required gates 25 and word lines 27,

第9頁 425706_ 五、發明說明¢6) * 如第2圖所示。 M0S場效電晶體的源/汲極結構,可依下列步驟形成。 第2圖至第18圖是以形成NM0S的積體電路元件作為舉例說 明’然而熟悉此技藝者亦可依本發明的方法製作β製作 元件或CMOS元件。如同熟悉此技藝者所知,DRAM記憶胞是 由一 N通道場效電晶體及一電容器所組成,而其週邊電I 則通常是由CMOS元件所構成。 輕摻雜源極/汲極區(未顯示)可由離子佈植形成。待 完成輕摻雜源極/汲極結構後,接著製作介電側壁層 (spacer)。在本實施例的製程中,是形成氮化矽材0質的側 壁層。在進行蝕刻時,氮化矽側壁層便扮演著保護場氧化 層(F0X)或STI的重要角色。如第3圖所示,在基底上沈積 —層厚約400〜1 000埃的氮化矽層32。 請參照第4圖,將氮化矽層3 2回蝕部份約3 〇 〇〜9 0 0埃的 厚度,而在閘極25與字元線27的侧壁形成氮化矽側壁層 34 ’並且在其水平位置的表面留下一層氮化石夕薄膜μ ^氮 化矽薄膜32的厚度約50〜150埃,視其膜厚的均勻程度與蝕 刻速率的一致性而定。之後,按習知的方式以離子佈植形 成重摻雜的源極與沒極區。 請參照第5圖,在基底上沈積一層厚約4〇〇〇〜1〇〇〇〇埃 的絕緣層,例如是四乙氧基矽烷層(TE〇s)或是硼磷矽破璃 層(BPSG);然後再以化學機械研磨(CMp)或回蝕刻的方式 進行平坦化’而得到一平坦的表面。 請參照第6圖,將光阻材料塗佈在絕緣層4 〇後,將之Page 9 425706_ V. Description of the invention ¢ 6) * As shown in Figure 2. The source / drain structure of the MOS field effect transistor can be formed in the following steps. Figures 2 to 18 use integrated circuit elements forming NMOS as an example. However, those skilled in the art can also manufacture β-produced elements or CMOS elements in accordance with the method of the present invention. As is known to those skilled in the art, a DRAM memory cell is composed of an N-channel field effect transistor and a capacitor, and its peripheral electrical I is usually composed of a CMOS element. Lightly doped source / drain regions (not shown) may be formed by ion implantation. After the lightly doped source / drain structure is completed, a dielectric spacer is then fabricated. In the process of this embodiment, a sidewall layer of a silicon nitride material is formed. When etching, the silicon nitride sidewall layer plays an important role in protecting the field oxide (F0X) or STI. As shown in FIG. 3, a silicon nitride layer 32 is deposited on the substrate to a thickness of about 400 to 1,000 angstroms. Referring to FIG. 4, the silicon nitride layer 32 is etched back to a thickness of about 300 to 900 angstroms, and a silicon nitride sidewall layer 34 is formed on the sidewalls of the gate 25 and the word line 27. And a layer of nitrided silicon nitride film μ ^ silicon nitride film 32 is left on the surface at the horizontal position, the thickness of which is about 50 ~ 150 angstroms, depending on the uniformity of the film thickness and the consistency of the etching rate. Thereafter, heavily doped source and non-electrode regions are formed by ion implantation in a conventional manner. Please refer to FIG. 5, and deposit an insulating layer having a thickness of about 4,000 to 10,000 angstroms on the substrate, for example, a tetraethoxysilane layer (TE0s) or a borophosphosilicate broken glass layer ( BPSG); and then planarizing by chemical mechanical polishing (CMp) or etch-back to obtain a flat surface. Please refer to Fig. 6. After coating the photoresist material on the insulating layer 40, apply it.

4 2 5 7 0 6 五、發明說明(7) 定義成平台形插塞光阻罩幕42,然後在氧化矽/氮化石夕為 咼選擇比的餘刻條件下’對絕緣層4 0進行姓刻;適當的钱 刻氣體包括CA、CHA、C0、〇2 '及Ar,可使用反應生離 子蝕刻(RIE)機,以1〇〇〇〜1 800瓦的RF功率,在30〜5〇mT〇rr 的壓力條件下進行蝕刻,氧化矽對氮化矽的蝕刻選擇比約 20 ·1。钱刻完成後,在每個閘極與内連線上方即留下柱 狀氧化物40。 經過此蝕刻步驟之後’氮化矽薄膜32仍然殘留在基底 表面上’如第6圖所示’因此可保護F0X或5?1氧化物免'於_ 蝕刻的破壞。之後,請參見第7圖,在氮化矽/氧化矽為高 選擇比的蝕刻條件下,將基底上殘留的氮化矽薄膜32去 除’同時位於閘極2 5與字元線2 7水平表面上的氮化矽薄膜 3 2 —併去除。此處的姓刻條件是使用與第一次钱刻相同的 反應亂體,但在200〜800瓦、30〜200mTorr的條件下進行操 作’可得到氮化矽對氧化矽的蝕刻選擇比約4〇 : 1。如 此,可將氮化矽薄膜32完全去除,而不會傷害到底下的氧 化物。 請參照第8圖,將光阻罩幕去除後,在基底上沈積一 層厚約30004000埃的複晶矽層44。將此複晶矽層進一步 回姓刻’使其殘留在絕緣層4〇上的厚度約9 〇 〇〜丨丨〇 〇埃,如 第8圖所示。接著,在複晶矽層44上沈積一層金屬矽化物 5 0例如石夕化鶏。然後,在平坦的金屬石夕化物5 〇上沈積一 層厚約1 500〜2500埃的覆蓋層,其材質可為氮化矽或氮氧 化妙。4 2 5 7 0 6 V. Description of the invention (7) Defined as a platform-shaped plug photoresist mask 42, and then under the remaining conditions of silicon oxide / nitride as the ratio of plutonium, 'name the insulation layer 4 0 The appropriate gas engraving gas includes CA, CHA, C0, 〇2 'and Ar, can use a reactive ion etching (RIE) machine, with an RF power of 1000 ~ 1 800 watts, at 30 ~ 50mT Etching under a pressure condition of rr, the etching selectivity of silicon oxide to silicon nitride is about 20 · 1. After the engraving is completed, a columnar oxide 40 is left over each gate and interconnect. After this etching step, 'the silicon nitride film 32 still remains on the surface of the substrate' as shown in FIG. 6 ', therefore, FOX or 5? 1 oxide can be protected from the damage of the etching. After that, referring to FIG. 7, under the etching condition that the silicon nitride / silicon oxide is a high selective ratio, the remaining silicon nitride film 32 on the substrate is removed, and is located on the horizontal surfaces of the gate electrode 25 and the word line 27 at the same time. On the silicon nitride film 3 2 — and removed. The last name engraving conditions here are the same reaction chaos as the first money engraving, but the operation is performed under the conditions of 200 ~ 800 watts and 30 ~ 200mTorr '. The etching selection ratio of silicon nitride to silicon oxide is about 4 〇: 1. In this way, the silicon nitride film 32 can be completely removed without damaging the underlying oxides. Referring to Fig. 8, after removing the photoresist mask, a polycrystalline silicon layer 44 having a thickness of about 30004000 angstroms is deposited on the substrate. This polycrystalline silicon layer is further engraved with a thickness of about 900 angstroms to make it remain on the insulating layer 40, as shown in FIG. Next, a layer of metal silicide 50, such as osmium hafnium, is deposited on the polycrystalline silicon layer 44. Then, a cover layer with a thickness of about 1,500 to 2500 Angstroms is deposited on the flat metal oxide compound 50, and the material may be silicon nitride or nitrogen oxide.

d257 〇 6 五、發明說明(8) 之後,將一部份的氮化矽層蝕去,留下如第1〇圖所示 的部份。請參照第11Α圖,沿著氮化矽層的開口,蝕刻複 晶石夕層44與金屬矽化物50 ’以形成位元線55、57 ^同時, 在此處不需要額外的蝕刻’即可自然形成電容器的接觸插 塞46。在第1圖的俯視圖中,吾人可看到位元線55 ' 57的 分佈情形。在圖中,矩形的接觸插塞44與位元線55重疊的 區域48即自然形成位元線接觸。第丨丨β圖則是顯示出在第1 圖中,沿著接觸插塞方向的剖面圊。在此圖中,可以吾人 同時看到位元線5 5與位元線接觸4 8。 之後,施行一次氧化矽的淺蝕刻,將柱狀氧化物4〇露 出的邊角5 8蝕刻去除,以確保複晶矽插塞接觸4 6與4 8不會 連接在一起。 請參照第12圖’在基底上再沈積另一層氮化矽層或氮 氧化矽層,然後以非等向性蝕刻法將之回蝕,以在位元線 5 5、5 7的側壁形成氮化矽側壁層6 〇。如圖中所示,所形成 的側壁層60則會疊在先前蝕去的柱狀氧化物的邊角上β 接著如第13圖所示’在基底表面上覆蓋一層厚約 7000~15000埃的硼磷矽玻璃(BPSG)64或旋塗式玻璃 (SOG)’然後再以熱流或回蝕刻的方式將其表面平坦化。 請參照第14圖,在BPSG層上覆蓋一柵鎖(grid-lock) 電容光罩66 ’沿著光罩圖案蝕刻BPSG層64直到露出平台插 塞46為止,以形成自對準接觸開口 78。 請參照第1 5圖,在基底上,沿著既有的輪廓表面形成 一導電層68 ’作為冠狀電容器的下電極;導電層的材質可d257 〇 6 V. Description of the invention (8) After etching, a part of the silicon nitride layer is etched away, leaving the part shown in FIG. 10. Referring to FIG. 11A, along the opening of the silicon nitride layer, the polycrystalline spar layer 44 and the metal silicide 50 are etched to form bit lines 55 and 57. Meanwhile, no additional etching is required here. The contact plug 46 of the capacitor is naturally formed. In the top view of Figure 1, we can see the distribution of bit lines 55'57. In the figure, the area 48 where the rectangular contact plug 44 overlaps the bit line 55 naturally forms a bit line contact. Figure 丨 丨 β shows the cross section 沿着 along the contact plug direction in Figure 1. In this figure, we can see that the bit line 5 5 is in contact with the bit line 4 8 at the same time. After that, a shallow etching of silicon oxide is performed to etch away the corners 5 8 exposed by the columnar oxide 40 to ensure that the polycrystalline silicon plug contacts 4 6 and 4 8 are not connected together. Please refer to FIG. 12 'to deposit another silicon nitride layer or silicon oxynitride layer on the substrate, and then etch it back by anisotropic etching to form nitrogen on the sidewalls of bit lines 5 5 and 5 7 Silicon sidewall layer 60. As shown in the figure, the formed sidewall layer 60 will be superimposed on the corners of the previously etched columnar oxide β, and then, as shown in FIG. 13, 'the substrate surface is covered with a layer having a thickness of about 7000 to 15000 angstroms. Borophosphosilicate glass (BPSG) 64 or spin-on-glass (SOG) 'is then planarized by heat flow or etch-back. Referring to FIG. 14, a grid-lock capacitor mask 66 'is covered on the BPSG layer to etch the BPSG layer 64 along the mask pattern until the platform plug 46 is exposed to form a self-aligned contact opening 78. Referring to FIG. 15, a conductive layer 68 ′ is formed on the substrate along the existing contour surface as the lower electrode of the crown capacitor; the material of the conductive layer may be

第12頁 425706 五、發明說明(9) - 為複晶矽、鎢、氮化鈦等,厚度約3 〇 〇〜丨〇 〇 〇埃。之後,在 導電層68之上全面性地覆蓋一層厚約5〇〇〇~1〇〇〇〇埃的氧化 層或光阻層,或有機聚合物層。 請參照第16圖,以CMP法對氧化層62進行研磨,同時 將BPSG層64上方的導電層68去除,最後露出第二絕緣層64 的部份表面。 將裸露出的BPSG層64與氧化層72去除,如第17圓所 示。接著,沿著下電極的輪廓表面沈積一層具有高介電係 數的絕緣層76。絕緣層的材質例如是氧化钽(τ&2〇5)、鈦酸 鋇锶(BST),鈦酸磷锆(PZT) '氧化矽/氮化矽/氧化矽 (0N0)等,其厚度約3〇〜2 0 0埃。 之後’沈積一層第二導電層以作為上電極板,其材質 可為複晶矽、鎢、氮化鈦、或其組合物,厚度約 埃。如此一來,下電極68、電容介電層76、以及上電極8〇 即構成了冠狀電谷器’而複晶石夕平台插塞46則作為電容器 節點。 ° 至此’吾人已完成内連線的製作與DRAM記憶胞電容器 的製作。第1圖中顯示了 一個已完成的DRAM記憶胞的俯視 圖’而第18圖則是第1圖中沿著18-18方向的剖面示意 圖。在第1圖中’55、57表示位元線,25代表與位元線垂 直的字元線,而圖中虛線則是代表電容器68/76/80的開口 78 ° 根據本發明製程所形成的DRAM,具有簡單的主動區 域’自我對準的冠狀電容器,以及同時形成的位元線與複Page 12 425706 V. Description of the invention (9)-It is polycrystalline silicon, tungsten, titanium nitride, etc., and has a thickness of about 300 ~ 丨 〇 〇 〇 angstrom. After that, the conductive layer 68 is completely covered with an oxide layer or a photoresist layer or an organic polymer layer having a thickness of about 50,000 to 10,000 angstroms. Referring to FIG. 16, the oxide layer 62 is polished by the CMP method, while the conductive layer 68 above the BPSG layer 64 is removed, and finally a part of the surface of the second insulating layer 64 is exposed. The exposed BPSG layer 64 and the oxide layer 72 are removed, as shown by the 17th circle. Next, an insulating layer 76 having a high dielectric coefficient is deposited along the contoured surface of the lower electrode. The material of the insulating layer is, for example, tantalum oxide (τ & 205), barium strontium titanate (BST), phosphorus zirconium titanate (PZT), 'silicon oxide / silicon nitride / silicon oxide (0N0), etc., and its thickness is about 3 〇 ~ 2 0 0 Angstroms. After that, a second conductive layer is deposited as the upper electrode plate, and the material thereof may be polycrystalline silicon, tungsten, titanium nitride, or a combination thereof with a thickness of about 50 angstroms. In this way, the lower electrode 68, the capacitor dielectric layer 76, and the upper electrode 80 constitute a coronal valley device 'and the polycrystalline stone platform plug 46 serves as a capacitor node. ° So far, I have completed the production of interconnects and DRAM memory cell capacitors. Figure 1 shows a top view of a completed DRAM cell, and Figure 18 is a schematic cross-sectional view taken along the 18-18 direction in Figure 1. In Figure 1, '55 and 57 represent bit lines, 25 represents word lines perpendicular to the bit lines, and dashed lines in the figure represent openings of capacitors 68/76/80 78 ° formed according to the process of the present invention. DRAM, with simple active area 'self-aligned crown capacitor, and bit line and complex formed at the same time

第13頁 Λ257 0 6 五、發明說明(ίο) 晶每r插塞。 請同時參照第1圖與第1 8圖,以下將針對本發明所製 成的DRAM元件結構作一描述。此DRAM元件在基底1〇上的主 動區’是利用絕緣區域12將基底的其他主動區域隔離而形 成。閘極25與内連線27分別形成在基底1〇與絕緣區域12之 上’而源極與汲極區則是形成在半導體基底中d在閘極25 與内連線27的側邊則形成有氮化矽側壁層34。複晶妙平台 插塞46與源極/;;及極區36相連,而位元線μ覆蓋在兑中一 個複晶…插⑽上。電容器與位元線55覆之^ 蓋氮化矽層52將其電性隔離。第一導電層68在位元線55的 兩側均與複晶矽平台插塞4 6接觸,而構成電容器的下電 極:其中,複晶矽平台插塞46是作為電容器的節點。 導電層80覆蓋在電容器介電層表面作為電容器的上電極, 因而完成一個具有冠狀電容器的DRAM元件。 雖然本發明已以一較佳實施例揭露如上,麸 :::本發明’任何熟習此技藝者’ *不脫離本發明2精 ::範圍β ’當可作各種之更動與潤錦,因此本 護範圍當視後附之申請專利範圍所界定者為準。 ’、Page 13 Λ257 0 6 V. Description of the invention (ίο) Crystal plugs. Please refer to FIG. 1 and FIG. 18 at the same time. The following will describe the structure of the DRAM device made by the present invention. The active region of the DRAM element on the substrate 10 is formed by isolating the other active regions of the substrate with the insulating region 12. The gate 25 and the interconnect 27 are formed on the substrate 10 and the insulating region 12, respectively, and the source and drain regions are formed in the semiconductor substrate. D is formed on the sides of the gate 25 and the interconnect 27. There are silicon nitride sidewall layers 34. The complex crystal platform plug 46 is connected to the source electrode; and the pole region 36, and the bit line μ covers a complex crystal ... insert in the center. The capacitor is isolated from the bit line 55 by a silicon nitride layer 52. The first conductive layer 68 is in contact with the polycrystalline silicon platform plug 46 on both sides of the bit line 55, and constitutes the lower electrode of the capacitor: wherein the polycrystalline silicon platform plug 46 is a node of the capacitor. The conductive layer 80 covers the surface of the capacitor dielectric layer as an upper electrode of the capacitor, thereby completing a DRAM element having a crown-shaped capacitor. Although the present invention has been disclosed as above with a preferred embodiment, the bran ::: the present invention 'anyone skilled in the art' * does not depart from the 2 essence of the present invention ::: range β 'when various changes and embellishments can be made, so this The scope of protection shall be determined by the scope of the attached patent application. ’,

第U頁Page U

Claims (1)

^ 425706 六、申請專利範圍 1. 一種具有電容器之動態隨機存取記憶體(DRAM)的製 造方法,包括下列步驟: 提供一具有主動區之半導體基底,該主動區係由複數 個絕緣區域將其他主動區隔離而得; 在該半導體基底與該等絕緣區域上分別形成複數個閘 極與複數個内連線,並在該基底中形成對應的源極區與汲 極區; 形成一 底、以 -第一 一光阻 第一钱 份,以 物;& 層為高 一氮化 第二蝕 部份, —複晶 沈積一第一氮化石夕層 及絕緣區域表面 部份該第一氮化 在該等 在上述 留該第 上; 層上; 緣層中 内連線 在該第 行,藉 區域; 化矽層 底中的 ’並連 未被該 覆於上述閘極、内連線、半導體 上; 矽層,以 壁層,並 域表面殘 於該基底 閘極與該等内連 閘極 '内連線、 一氮化矽層; 光阻罩幕 ,形成柱 一絕緣層對該第 刻過程中 的上方 以在餘 中未被 源極與 接所露 基底、以 回蝕 線的側壁 半導體基 沈積 形成 施行 覆蓋的部 狀的絕緣 一氮化石夕 利用該第 施行 幕覆蓋的 沈積 與沒極區 氮化矽侧 及絕緣區 絕緣層覆 罩幕於該 刻,去除 在每個閘 中,該餘 選擇比的 矽層保護 刻,去除 以露出該 矽層覆於 第一絕緣 該第一絕 極與每個 刻步驟係 條件下進 上述絕緣 該第一氮 半導體基 該基底上 該光阻罩 沒極區; 出的源極 弟二氮化矽層覆於該複晶矽層;^ 425706 VI. Scope of Patent Application 1. A method for manufacturing a dynamic random access memory (DRAM) with a capacitor, including the following steps: Provide a semiconductor substrate with an active area, the active area is formed by a plurality of insulating areas The active regions are isolated; a plurality of gates and a plurality of interconnects are formed on the semiconductor substrate and the insulating regions, respectively, and corresponding source regions and drain regions are formed in the substrate; -The first photoresist is the first amount of material; the & layer is a high-nitrided second etched part,-the polycrystalline deposits a first nitride layer and the first nitrided surface part of the insulating region. In the above, the first layer is left; on the layer; the interconnect layer in the edge layer is in the first row, the area is borrowed; the 'parallel connection' in the bottom of the silicon layer is not covered by the above gate, interconnect, semiconductor A silicon layer, a wall layer, and a domain surface remaining on the base gate and the interconnected gates' interconnects, a silicon nitride layer; a photoresist mask, forming a pillar, an insulating layer, and The upper part of the process starts with In the remaining part, the source and the semiconductor substrate deposited on the side wall of the etched-back line are deposited to form a covered insulating-nitride stone, which is covered by the second curtain and the silicon nitride side of the electrodeless region and At this moment, the insulating layer of the insulating layer covers the curtain, and is removed in each gate. The silicon layer of the remaining selection ratio protects the etch, and is removed to expose the silicon layer, which covers the first insulation, the first insulation electrode, and each etch step. Under the condition, the above-mentioned insulating region of the photoresist mask on the first nitrogen semiconductor-based substrate is introduced; the source silicon dinitride layer overlies the polycrystalline silicon layer; 第15頁 425706 六、申請專利範圍 利用一罩幕層蝕刻該第二氮化矽層與該複晶矽層,直 到該等柱狀絕緣物為止’藉以形成一位元線,並使該複晶 石夕層殘留於該等柱狀絕緣物之間,而形成複數個與上述源 極/沒極區相連接之複晶矽平台插塞,其中位於該位元線 下方之複晶矽平台插塞即構成位元線接觸,其中並露出該 等杈狀絕緣物之邊角; 餘去該等柱狀絕緣物所露出之邊角; 於該位元線的側壁形成第二側壁層,且該第二側壁層 形成在所蝕去的柱狀絕緣物的邊角上; 沈積一第二絕緣層覆於該基底上; 利用一罩幕層敍刻該第二絕緣層,以形成複數個接觸 ^ 1:1而露出該等複晶矽平台插塞; 沿著該第二絕緣層與該接觸開口的輪廊沈積一第一導 ^層’作為該電容器的下電極; 沈積一第三絕緣層覆於該第一導電層表面,並研磨該 第二絕緣層,直到將第二絕緣層上表面的第一導電層去 除’而露出該第二絕緣層為止; 去除該第三絕緣層以及露出的第二絕緣層; 沈積—電容介電層覆於該第一導電層上;以及 ^ 沈積一第二導電層覆於該電容介電層上,以作為該電 容器之上電極,完成該具有電容器之動態隨機存取記憶體 的製造。 2.如申請專利範圍第1項所述之製造方法,其中該絕 緣區域包括場氧化物。Page 15 425706 6. The scope of the patent application uses a masking layer to etch the second silicon nitride layer and the polycrystalline silicon layer until the columnar insulators are used to form a bit line and make the polycrystalline The Shi Xi layer remains between the columnar insulators to form a plurality of polycrystalline silicon platform plugs connected to the source / non-electrode region, and the polycrystalline silicon platform plugs located below the bit line are formed. That is, a bit line contact is formed, and the corners of the branch-shaped insulators are exposed; the corners exposed by the column-shaped insulators are left; a second sidewall layer is formed on the sidewall of the bit line, and the first Two side wall layers are formed on the corners of the etched columnar insulator; a second insulating layer is deposited to cover the substrate; the second insulating layer is etched with a cover layer to form a plurality of contacts ^ 1 : 1 to expose the polycrystalline silicon platform plugs; deposit a first conductive layer 'as the lower electrode of the capacitor along the periphery of the second insulating layer and the contact opening; deposit a third insulating layer over Surface of the first conductive layer, and grind the second insulating layer until the first Removing the first conductive layer on the upper surface of the insulating layer until the second insulating layer is exposed; removing the third insulating layer and the exposed second insulating layer; a deposition-capacitance dielectric layer covering the first conductive layer; and ^ A second conductive layer is deposited on the capacitor dielectric layer to serve as an electrode on the capacitor to complete the manufacture of the dynamic random access memory with the capacitor. 2. The manufacturing method according to item 1 of the patent application scope, wherein the insulating region includes a field oxide. 第16真 425706 六、申請專利範圍 3‘如申請專利範圍第丨項所述之製造方法,其中該絕 緣區域包括淺溝渠隔絕物。 、 4. 如申請專利範圍第】項所述之製邊方法,其中該第 一氮化矽層的厚度為400〜1000埃。 5. 如申請專利範圍第1項所述之製屋方法,其中該第 一氣化石夕層在經過上述部份回棘到後,其尽度為5 〇〜1 5 0 埃。 6. 如申請專利範圍第1項所述之製邊方法,其中該第 一絕緣層包括四乙氧基矽烷(TEOS)。 7,如申請專利範圍第1項所述之製造方法,其中該第 一絕緣層包括硼磷矽玻璃(BPSG)。 8·如申請專利範圍第1項所述之製造方法’其中該第 一絕緣層係以化學機械研磨法進行平坦化。 9.如申請專利範圍第〗項所述之製造方法’其中該第 一絕緣層係以回钮刻進行平坦化。 10·如申請專利範圍第1項所述之製造方法,其中該第 一蝕刻係利用C4F8、CH2F2、CO、02、Ar等氣體,在 1〇〇〇〜1 800瓦的rf功率,30〜50mTorr的壓力下進行蝕刻, 其中氧化矽對氮化矽的蝕刻選擇比約2 0 : 1。 Π.如申請專利範圍第1項所述之製造方法,其中該第 二姓刻係利用<^8、(:1^2、(:0、02、八1^等氣體,在200〜800 瓦的RF功率及30〜200mTorr的壓力下進行蝕刻,其中氮化 碎對氧化矽的蝕刻選擇比約4 0 : 1。 如申請專利範圍第1項所述之製造方法,其中更包No. 16 True 425706 6. Scope of patent application 3 ‘The manufacturing method described in item 丨 of the scope of patent application, wherein the insulated region includes a shallow trench insulation. 4. The edge-making method as described in item [Scope of the patent application], wherein the thickness of the first silicon nitride layer is 400 to 1000 angstroms. 5. The method of making a house as described in item 1 of the scope of the patent application, wherein the first gasified fossil layer is 50 to 150 angstroms as far as possible after passing through the above part. 6. The edge-making method according to item 1 of the scope of patent application, wherein the first insulating layer includes tetraethoxysilane (TEOS). 7. The manufacturing method according to item 1 of the scope of patent application, wherein the first insulating layer comprises borophosphosilicate glass (BPSG). 8. The manufacturing method according to item 1 of the scope of the patent application, wherein the first insulating layer is planarized by a chemical mechanical polishing method. 9. The manufacturing method as described in item No. 17 of the scope of patent application, wherein the first insulating layer is flattened with a button inscription. 10. The manufacturing method as described in item 1 of the scope of the patent application, wherein the first etching system uses C4F8, CH2F2, CO, 02, Ar and other gases at an rf power of 1000 to 1 800 watts and 30 to 50 mTorr The etching is performed under a pressure of about 200 to about 1 in a silicon oxide to silicon nitride etching selection ratio. Π. The manufacturing method described in item 1 of the scope of patent application, wherein the second name is engraved with gas such as < ^ 8, (: 1 ^ 2, (: 0, 02, eight 1 ^, etc.) between 200 and 800 The etching power is performed under the RF power of 30 watts and a pressure of 30 to 200 mTorr, in which the etching selection ratio of silicon nitride to silicon oxide is about 40: 1. The manufacturing method described in item 1 of the scope of patent application, which includes more 第17頁 425706 六、申請專利範園 括沈積一層金屬矽化物覆於該複晶矽層表面。 13.如申請專利範圍第1項所述之製造方法,其中該第 二側壁層包括氮化矽。 1 4.如申請專利範圍第1項所述之製造方法’其中該第 二側壁層包括氮氧化矽。 15·如申請專利範圍第1項所述之製造方法’其中該第 二絕緣層包括一層厚度為7000〜10000埃的硼磷矽玻璃’直 該硼磷矽玻璃層經過熱流將其表面平坦化。 1 6.如申請專利範圍第1項所述之製造方法’其中該第 二絕緣層包括一層厚度為7000〜10000埃的旋塗式玻璃 (SOG),且該旋塗式玻璃層經過部份的回蝕刻將其表面平 坦化。 17.如申請專利範圍第1項所述之製造方法,其中該第 一導電層包括複晶矽、鎢、以及氮化鈦其中之一。 18_如申請專利範圍第1項所述之製造方法,其中該第 三絕緣層包括一層厚度為5000〜10000埃的氧化矽。 19.如申請專利範圍第1項所述之製造方法,其中該電 容介電層包括氧化鈕(Ta205 )、鈦酸頻鳃(BST),鈦酸磷錯 (P2T)、氧化矽/氮化矽/氧化矽(〇叩)其中之一,且厚度為 30~200 埃。 20·如申請專利範圍第1項所述之製造方法,其中該第 二導電層包括複晶矽、鎢、以及氮化鈦其中之一,且厚度 為5 00〜1 500埃。 21. —種具有電容器之動態隨機存取記憶體(DRAM)的Page 17 425706 VI. Application for Patent Park includes depositing a layer of metal silicide on the surface of the polycrystalline silicon layer. 13. The manufacturing method according to item 1 of the patent application scope, wherein the second sidewall layer comprises silicon nitride. 1 4. The manufacturing method according to item 1 of the scope of patent application, wherein the second sidewall layer includes silicon oxynitride. 15. The manufacturing method according to item 1 of the scope of the patent application, wherein the second insulating layer includes a layer of borophosphosilicate glass having a thickness of 7000 to 10,000 angstroms. The surface of the borophosphosilicate glass layer is flattened by heat flow. 1 6. The manufacturing method according to item 1 of the scope of the patent application, wherein the second insulating layer includes a layer of spin-on glass (SOG) having a thickness of 7000 to 10,000 angstroms, and the spin-on glass layer passes through a part of the Etching back planarizes its surface. 17. The manufacturing method according to item 1 of the scope of patent application, wherein the first conductive layer includes one of polycrystalline silicon, tungsten, and titanium nitride. 18_ The manufacturing method according to item 1 of the scope of patent application, wherein the third insulating layer includes a layer of silicon oxide having a thickness of 5000 to 10,000 angstroms. 19. The manufacturing method according to item 1 of the scope of patent application, wherein the capacitor dielectric layer includes an oxide button (Ta205), a titanate gill (BST), a phosphorous titanate (P2T), and silicon oxide / silicon nitride. / Silicon oxide (〇 叩), and the thickness is 30 ~ 200 Angstroms. 20. The manufacturing method according to item 1 of the scope of patent application, wherein the second conductive layer includes one of polycrystalline silicon, tungsten, and titanium nitride, and has a thickness of 500 to 1,500 angstroms. 21. —Dynamic Random Access Memory (DRAM) with capacitor 第18頁 425706 六 、申請專利範圍 製造方法,包括下列步驟: h供' 一具有主動區夕主»*· 個絕緣區域將其他主動區隔離而;…主動區係由複數 極與底域上分別形成複數個閘 極區; 在以基底中开> 成對應的源極區與汲 沈積-第-氮化發層覆於上述㈣ 基底、以及絕緣區域表面上; 門迓沐千導體 線的該?—氮化碎[以在該等閘極與該等内連 ㈡壁層,並在上述閘極、内連線 ,."糙緣區域表面殘留該第一氮化矽層; 沈積一第一絕緣層覆於該基底上; 形成一光阻罩幕於該第一絕緣層上; If芸2 ϋ f ·刻’去除該第一絕緣層中未被該光阻罩幕 覆J的Μ:以在每個閘極與每個内連線的上方形成柱 -氮:::的ί中該蝕刻步驟係在該第-絕緣層對該第 :氮=層的姓刻比約20:1的條件下進行,藉以在钮刻 過程中利用該第一氮化矽層保護上述絕緣區域; 第二㈣’去除該第一氮化石夕層中未被該光阻罩 幕f盘的部份,以露出該半導體基❹的源極錢極區; 其中’該餘刻步驟係在該第一氮化石夕層對該第一絕緣層的 姓刻比約4 0 : 1的條件下進行; 沈積一複晶矽層覆於該基底上,並連接所露出的源極 與汲極區;Page 18 425706 VI. Manufacturing method for patent application scope, including the following steps: h. Provide a main area with active area and separate the other active areas from each other;… The active area is composed of a complex pole and a bottom area respectively. Forming a plurality of gate regions; opening a corresponding source region in the substrate> and depositing a -ditride-nitride layer on the above-mentioned ㈣ substrate and the surface of the insulation region; ? —Nitride fragmentation [to the gates and the interconnecting wall layers, and the first silicon nitride layer remaining on the surface of the above-mentioned gates and interconnects; " rough surface area; depositing a first An insulating layer is coated on the substrate; a photoresist mask is formed on the first insulating layer; If Yun 2 ϋ f · etched to remove the M of the first insulating layer that is not covered by the photoresist mask J: A pillar-nitrogen ::: is formed above each gate and each interconnect. The etching step is based on the condition that the first-insulating layer has a surname etch ratio of about 20: 1 to the nitrogen layer. The next step is to use the first silicon nitride layer to protect the above-mentioned insulating area during the engraving process; the second step is to remove the portion of the first nitride layer that is not the photoresist mask f disk to expose A source region of the semiconductor substrate; wherein the remaining step is performed under the condition that the first nitride layer and the first insulating layer have a surname ratio of about 40: 1; depositing a complex crystal A silicon layer covers the substrate and connects the exposed source and drain regions; 4257 〇6 六、申請專利範圍 沈積一層金屬矽化物覆於該複晶矽層上; 沈積一第二氮化矽層覆於該金屬矽化物層; 利用一罩幕層蝕刻該第二氮化矽層、該金屬矽化物 層、及該複晶矽層,直到該等柱狀絕緣物為止,藉以形成 一位元線,並使該複晶矽層殘留於該等柱狀絕緣物之, 而形成複數個與上述源極/汲極區相連接之複晶石夕平台插 塞’其中位於該位元線下方之複晶矽平台插塞即構成位元 線接觸’其中並露出該等柱狀絕緣物之邊角; 蝕去該等柱狀絕緣物所露出之邊角; ,於該位元線的側壁形成第二側壁層,且該第二側壁層 形成在所蝕去的柱狀絕緣物的邊角上; s 沈積一第二絕緣層覆於該基底上; 利用一罩幕層姓刻該第二絕緣層,以形成複數個接觸 開口而露出該位元線兩側之複晶矽平台插塞; 沿著該第二絕緣層與該接觸開口的輪廓沈積一第一導 電層,作為該電容器的下電極; 一沈積一第三絕緣層覆於該第—導電層表面,並研磨該 第三絕緣層,直到將第二絕緣層上表面的第一導電層去 除’而露出該第二絕緣層為止; 去除該第三絕緣層以及露出的第二絕緣層: 沈積一電容介電層覆於該第—導電層上;以及 ^ 沈積一第二導電層覆於該電容介電層上,以作為該電 谷器之上電極’完成該具有電容器之動態隨機存取記憶體 的製造。4257 〇 6. Apply for a patent. Deposit a metal silicide layer on the polycrystalline silicon layer; deposit a second silicon nitride layer on the metal silicide layer; use a mask layer to etch the second silicon nitride Layer, the metal silicide layer, and the polycrystalline silicon layer until the columnar insulators are formed, thereby forming a bit line, and leaving the polycrystalline silicon layer on the columnar insulators to form A plurality of polycrystalline silicon platform plugs that are connected to the source / drain regions described above, wherein the polycrystalline silicon platform plugs located below the bit line constitute bit line contacts, and the columnar insulation is exposed. Corners of objects; etched corners exposed by the columnar insulators; forming a second sidewall layer on a side wall of the bit line, and the second sidewall layer is formed on the etched columnar insulator At the corners; s depositing a second insulating layer overlying the substrate; engraving the second insulating layer with a mask layer to form a plurality of contact openings to expose the polycrystalline silicon platform plugs on both sides of the bit line A plug; depositing a line along the outline of the second insulating layer and the contact opening A conductive layer is used as the lower electrode of the capacitor; a third insulating layer is deposited on the surface of the first conductive layer, and the third insulating layer is ground until the first conductive layer on the upper surface of the second insulating layer is removed ' Until the second insulating layer is exposed; removing the third insulating layer and the exposed second insulating layer: depositing a capacitor dielectric layer overlying the first conductive layer; and depositing a second conductive layer overlying the capacitor The dielectric layer is used as the electrode on the valley device to complete the manufacture of the dynamic random access memory with a capacitor. 第20頁 425706 六、申請專利範圍 22.如申請專利範圍第21項所述之製造方法,其中該 絕緣區域包括場氧化物。 2 3.如申請專利範圍第21項所述之製造方法,其中該 絕緣區域包括淺溝渠隔絕物。 24. 如申請專利範圍第2 1項所述之製造方法,其中該 第一氮化矽層的厚度為400~1000埃。 25. 如申請專利範圍第21項所述之製造方法,其中該 第一氮化矽層在經過上述部份回蝕刻後,其厚度為5 0 ~ 1 5 0 埃。 2 6.如申請專利範圍第2 1項所述之製造方法,其中該 第一絕緣層包括四乙氧基矽烷(TE0S)。 27.如申請專利範圍第21項所述之製造方法,其中該 第一絕緣層包括硼磷矽玻璃(BPSG )。 2 8.如申請專利範圍第21項所述之製造方法,其中該 第一絕緣層係以化學機械研磨法進行平坦化。 29. 如申請專利範圍第21項所述之製造方法,其中該 第一絕緣層係以回蝕刻進行平坦化。 30. 如申請專利範圍第21項所述之製造方法,其中該 第一蝕刻係利用C4F8、CH2F2、(:0、02、Ar等氣體,在 1 0 0 0〜1 800瓦的RF功率,30〜50mTorr的壓力下進行蝕刻。 3 1.如申請專利範圍第2 1項所述之製造方法,其中該 第二蝕刻係利用C4F8、CH2F2、CO、02、Ar等氣體,在 200〜800瓦的RF功率,30~ 2 0 0mTorr的壓力下進行蝕刻。 3 2.如申請專利範圍第21項所述之製造方法,其中該Page 20 425706 6. Scope of patent application 22. The manufacturing method as described in item 21 of the scope of patent application, wherein the insulating region includes a field oxide. 2 3. The manufacturing method according to item 21 of the scope of patent application, wherein the insulation region includes a shallow trench insulation. 24. The manufacturing method as described in item 21 of the scope of patent application, wherein the thickness of the first silicon nitride layer is 400 to 1000 Angstroms. 25. The manufacturing method as described in item 21 of the scope of the patent application, wherein the thickness of the first silicon nitride layer after the partial etch-back is 50 to 150 angstroms. 2 6. The manufacturing method according to item 21 of the scope of patent application, wherein the first insulating layer includes tetraethoxysilane (TEOS). 27. The manufacturing method of claim 21, wherein the first insulating layer comprises borophosphosilicate glass (BPSG). 2 8. The manufacturing method according to item 21 of the scope of patent application, wherein the first insulating layer is planarized by a chemical mechanical polishing method. 29. The manufacturing method as described in claim 21, wherein the first insulating layer is planarized by etch-back. 30. The manufacturing method as described in item 21 of the scope of patent application, wherein the first etching is using C4F8, CH2F2, (: 0, 02, Ar and other gases) at an RF power of 100 to 1 800 watts, 30 Etching is performed at a pressure of ~ 50mTorr. 3 1. The manufacturing method described in item 21 of the scope of patent application, wherein the second etching is performed using a gas such as C4F8, CH2F2, CO, 02, Ar, etc. RF power, etching at a pressure of 30 ~ 200mTorr. 3 2. The manufacturing method described in item 21 of the scope of patent application, wherein 第21頁 425706 六、申請專利範圍 第二側壁層包括a 33. 如申請專利範圍第21項所述之製造方法,其中戎 第二側壁層包括氮氧化矽。 34. 如申請專利範圍第21項所述之製造方法’,、^ 第二絕緣層包括—層厚度為〇〇〇〇埃的硼磷矽玻瑀, 且邊硼磷矽坡璃層經過熱流將其表面平坦化。 35. 如申請專利範圍第21項所述之製造方法,其中該 第一絕緣層包括一層厚度為7〇〇〇~1〇〇〇〇埃的旋塗式玻璃 (SOG)’且該旋塗式玻璃層經過部份的回蝕刻將其表面平 坦化。 3 6,如申請專利範圍第2丨項所述之製造方法,其中該 第一導電層包括複晶矽、鎢、以及氮化鈦其中之一。 3 7.如申請專利範圍第21項所述之製造方法,其中該 第三絕緣層包括一層厚度為50004 0000埃的氧化梦。 鈦酸磷 且厚度 其中該 _,且厚 包括: 38,如申請專利範圍第21項所述之製造方法,其中該 電容介電層包括氧化钽(Ta2〇5)、鈦酸鋇锶(BST) 錯(PZT)、氧化碎/氮化石夕/氧化矽(ΟΝΟ)其中之— 為30〜200埃。 3 9.如申請專利範圍第21項所述之製造方法 第一導電層包括複晶梦、鶴、以及氮化鈦其中之 度為5 0 0〜1 500埃。 40. —種具有電容器之動態隨機存取記憶體 一半導體基底上之主動區’該主動區係由數"個 區域將其他主動區隔離而得; 數個,,邑緣Page 21 425706 VI. Patent application scope The second sidewall layer includes a 33. The manufacturing method described in item 21 of the patent application scope, wherein the second sidewall layer includes silicon oxynitride. 34. According to the manufacturing method described in item 21 of the scope of the patent application, the second insulating layer includes a layer of borophosphosilicate glass with a thickness of 10,000 angstroms, and the edge borophosphosilicate slope glass layer is subjected to heat flow to Its surface is flat. 35. The manufacturing method as described in item 21 of the scope of patent application, wherein the first insulating layer includes a layer of spin-on glass (SOG) 'having a thickness of 70,000 to 10,000 angstroms, and the spin-on type The glass layer is partially etched back to flatten its surface. 36. The manufacturing method as described in item 2 of the patent application range, wherein the first conductive layer includes one of polycrystalline silicon, tungsten, and titanium nitride. 3 7. The manufacturing method according to item 21 of the scope of patent application, wherein the third insulating layer includes an oxide dream having a thickness of 50004 0000 angstroms. The thickness of phosphorous titanate is _, and the thickness includes: 38, The manufacturing method described in item 21 of the scope of patent application, wherein the capacitor dielectric layer includes tantalum oxide (Ta205), barium strontium titanate (BST) Among them (PZT), oxidized crushed / nitride stone / silicon oxide (NO), is 30 ~ 200 angstroms. 3 9. The manufacturing method according to item 21 of the scope of the patent application. The first conductive layer includes a polycrystalline dream, a crane, and titanium nitride, the degree of which is 500 to 1,500 angstroms. 40. — A kind of dynamic random access memory with a capacitor. An active area on a semiconductor substrate ’is the number of active areas obtained by isolating the other active areas. 第22頁 425706 六、申請專利範圍 複數個閘極與複數個内連線,分別位於該半導體基底 與該等絕緣區域上,以及對應的源極區與汲極區,形成於 該基底中; 複數個II化矽側壁層,位於該等閘極與該等内連線的 側壁; 複數個複晶矽平台插塞,連接上述源極與汲極區; 一位元線,覆於該等複晶矽平台插塞其中之一; 一具有冠狀外型的電容器,包括: 一第一導電層,於該位元線的兩側連接該等複晶矽平 台插塞;其中該等複晶矽平台插塞構成該電容器之節點, 且該第一導電層構成該電容器的下電極; 一電容介電層,覆於該第—導電層上;以及 一第二導電層,覆於該電容介電層上,其中該第二導 電層構成該電容器的上電極,而完成該具有電容器之動態 隨機存取記憶體。 41 如申請專利範圍第40項所述之動態隨機存取記憶 體,其中該位元線包括: 一第一複晶矽層; 一金屬矽化物層,覆於該第一複晶矽層上; 一氮化矽層,覆於該金屬矽化物層上;以及 複數個氮化矽侧壁層,形成於該位元線的側壁。 4 2.如申請專利範圍第40項所述之動態隨機存取記憶 體’其中該第一導電層包括複晶矽、鎢、以及氮化鈦其中 之—。Page 22 425706 6. The scope of the patent application is that a plurality of gates and a plurality of interconnects are respectively located on the semiconductor substrate and the insulation regions, and the corresponding source region and drain region are formed in the substrate; Two siliconized silicon sidewall layers, located on the side walls of the gates and the interconnects; a plurality of polycrystalline silicon platform plugs connecting the source and drain regions; a bit line covering the polycrystalline silicon One of the silicon platform plugs; a capacitor with a crown shape, comprising: a first conductive layer connected to the polycrystalline silicon platform plugs on both sides of the bit line; wherein the polycrystalline silicon platform plugs The plug constitutes the node of the capacitor, and the first conductive layer constitutes the lower electrode of the capacitor; a capacitor dielectric layer is coated on the first conductive layer; and a second conductive layer is coated on the capacitor dielectric layer. The second conductive layer constitutes the upper electrode of the capacitor, and completes the dynamic random access memory with the capacitor. 41. The dynamic random access memory according to item 40 of the scope of the patent application, wherein the bit line includes: a first polycrystalline silicon layer; a metal silicide layer covering the first polycrystalline silicon layer; A silicon nitride layer is covered on the metal silicide layer; and a plurality of silicon nitride sidewall layers are formed on the sidewall of the bit line. 4 2. The dynamic random access memory according to item 40 of the scope of the patent application, wherein the first conductive layer includes polycrystalline silicon, tungsten, and titanium nitride. 第23頁 -425706 ____ 六、申請專利範圍 4 3.如申請專利範圍第4 〇項所述之動態隨機存取έ己十思 體’其中該電容介電層包括氧化组(Ta205)、鈥酸鋇鹤 (BST),鈦酸磷鲒(ρζτ)、氧化矽/氮化矽/氧化矽(〇N〇)其 中之一’且厚度為30〜2〇〇埃。 44·如申請專利範圍第40項所述之動態隨機存取記憶 體,其中該第二導電層包括複晶矽、鎢、以及氮化鈦其中 之—’且厚度為500〜1 500埃。 1^9 第24頁Page 23-425706 ____ VI. Patent application scope 4 3. Dynamic random access as described in item 40 of the patent application scope, where the capacitor dielectric layer includes an oxide group (Ta205), an acid Barium crane (BST), phosphorous titanate (ρζτ), one of silicon oxide / silicon nitride / silicon oxide (ON), and the thickness is 30 to 200 angstroms. 44. The dynamic random access memory according to item 40 of the scope of the patent application, wherein the second conductive layer includes one of polycrystalline silicon, tungsten, and titanium nitride— 'and has a thickness of 500 to 1,500 angstroms. 1 ^ 9 Page 24
TW88113795A 1999-08-12 1999-08-12 Dynamic random access memory with capacitor and its fabrication method TW425706B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW88113795A TW425706B (en) 1999-08-12 1999-08-12 Dynamic random access memory with capacitor and its fabrication method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW88113795A TW425706B (en) 1999-08-12 1999-08-12 Dynamic random access memory with capacitor and its fabrication method

Publications (1)

Publication Number Publication Date
TW425706B true TW425706B (en) 2001-03-11

Family

ID=21641891

Family Applications (1)

Application Number Title Priority Date Filing Date
TW88113795A TW425706B (en) 1999-08-12 1999-08-12 Dynamic random access memory with capacitor and its fabrication method

Country Status (1)

Country Link
TW (1) TW425706B (en)

Similar Documents

Publication Publication Date Title
US6492245B1 (en) Method of forming air gap isolation between a bit line contact structure and a capacitor under bit line structure
US5956594A (en) Method for simultaneously forming capacitor plate and metal contact structures for a high density DRAM device
US7126180B2 (en) Semiconductor device including a capacitor having improved structural stability and enhanced capacitance, and method of manufacturing the semiconductor device
US6037216A (en) Method for simultaneously fabricating capacitor structures, for giga-bit DRAM cells, and peripheral interconnect structures, using a dual damascene process
US6136643A (en) Method for fabricating capacitor-over-bit-line dynamic random access memory (DRAM) using self-aligned contact etching technology
US6479341B1 (en) Capacitor over metal DRAM structure
US6080620A (en) Method for fabricating interconnection and capacitors of a DRAM using a simple geometry active area, self-aligned etching, and polysilicon plugs
US6200898B1 (en) Global planarization process for high step DRAM devices via use of HF vapor etching
US6077738A (en) Inter-level dielectric planarization approach for a DRAM crown capacitor process
US6100137A (en) Etch stop layer used for the fabrication of an overlying crown shaped storage node structure
JPH10321814A (en) Planarization technique for dram cell capacitor electrode
US6037220A (en) Method of increasing the surface area of a DRAM capacitor structure via the use of hemispherical grained polysilicon
US6458692B1 (en) Method of forming contact plug of semiconductor device
JP4964407B2 (en) Semiconductor device and manufacturing method thereof
US6010933A (en) Method for making a planarized capacitor-over-bit-line structure for dynamic random access memory (DRAM) devices
US8846485B2 (en) Method for fabricating bottom electrode of capacitors of DRAM
US6037211A (en) Method of fabricating contact holes in high density integrated circuits using polysilicon landing plug and self-aligned etching processes
JP2914359B2 (en) Method for forming capacitor of semiconductor device
JPH1050962A (en) Manufacture of semiconductor device
US6448146B1 (en) Methods of manufacturing integrated circuit capacitors having hemispherical grain electrodes
US6548348B1 (en) Method of forming a storage node contact hole in a porous insulator layer
US7244649B2 (en) Method of manufacturing a capacitor having improved capacitance and method of manufacturing a semiconductor device including the capacitor
US6730563B2 (en) Method of manufacturing semiconductor device
US6060353A (en) Method of forming a ring shaped storage node structure for a DRAM capacitor structure
JP3250617B2 (en) Method for manufacturing semiconductor device

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent