TW400608B - The manufacturing method of the Shallow Trench Isolation (STI) - Google Patents

The manufacturing method of the Shallow Trench Isolation (STI) Download PDF

Info

Publication number
TW400608B
TW400608B TW86119488A TW86119488A TW400608B TW 400608 B TW400608 B TW 400608B TW 86119488 A TW86119488 A TW 86119488A TW 86119488 A TW86119488 A TW 86119488A TW 400608 B TW400608 B TW 400608B
Authority
TW
Taiwan
Prior art keywords
layer
trench
stop layer
substrate
manufacturing
Prior art date
Application number
TW86119488A
Other languages
Chinese (zh)
Inventor
Jr-Shiang Shiau
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to TW86119488A priority Critical patent/TW400608B/en
Application granted granted Critical
Publication of TW400608B publication Critical patent/TW400608B/en

Links

Landscapes

  • Element Separation (AREA)

Abstract

This is a manufacturing method of the Shallow Trench Isolation (STI) to provide an etching stop layer with the edge opening of the aligned trench. The etching stop layer has a surface composed by SiOxNy and a down surface composed by SiN or Si3N4. The reflective index n of the silicon oxygen nitride is about 1.8-2.0. Next, fill up the trench by silicon monoxide and extend to the surface of the etching stop layer. Then, removing the excess oxide on the surface of the etching stop layer by the chemical mechanic polishing (CMP) method for identifying an oxide plug. Since the polishing property of the SiOxNy etching stop layer is close to the property of the oxide plug than the traditional one. Thus, when the etching process is proceeding on the surface of the SiOxNy etching stop layer, the phenomena of etching the oxide plug in priority will have the trend of alleviation gradually. After the polishing process, remove the etching stop layer to complete the identified structure of the shallow trench isolation (STI).

Description

1921TWF.DOC/006 A7 1921TWF.DOC/006 A7 經濟部中央標準局員工消費合作杜印製 _____B7 五、發明説明(/ ) 本發明是有關於一種積體電路元件間之元件隔離結構 的製造方法,且特別是有關於一種以化學機械硏磨法形成 淺溝渠隔離結構之製造方法。 元件隔離區係用以防止載子(carrier)通過基底而在相鄰 之元件間移動,傳統上,元件隔離區形成於稠密的半導體 電路中相鄰的場效電晶體間,藉以減少由場效電晶體(field effect transistor, FET)產生的電荷浅露(charge leakage),此 種稠密的半導體電路例如是動態隨機存取記憶體 (DRAMs)。元件隔離區時常以厚場氧化層的形式延伸而在 半導體基底表面下形成,其中最傳統普遍的技術爲矽局部 氧化技術(LOCOS)。LOCOS技術之日趨成熟,因此可藉此 技術,以較低的成本獲得可靠度高且有效之元件隔離結 構,然而,LOCOS仍具有多項缺點,包括已知應力產生之 相關問題與LOCOS場隔離結構周圍鳥嘴區(bird’s beak)之 形成等。而特別是鳥嘴區所造成的問題,使得在小型元件 上之LOCOS場隔離結構不能有效地隔離,而無法形成高密 度(high density)元件。 淺溝渠隔離(Shallow trench isolation, STI)亦是一種普遍 的元件隔離方法,一般使用氮化矽作爲一硬罩幕,以非等 向性蝕刻法(Anisotropic)在半導體基底上定義一近垂直的 溝渠。之後再將溝渠塡滿氧化物層,而提供一元件隔離結 構’且此結構具有一與原基底表面同高之上表面。接著, 元件形成於P型的矽基底之上,並在STI結構周圍形成FET 元件’其中包括基底通道區周圍之N型源/汲極區 3 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (讀先閲讀背面之注意事項再填寫本頁) -«- 、1Ί l92lTWF.DOC/006 A7 B7______ 五、發明説明(2 ) (source/drain),以及以閘極氧化物層與通道區分離之複晶砂 閘極。STI結構的厚度可提供有效的隔離效果且可應用在較 小的元件上,於此,STI結構則不同於L0C0S隔離區’因 後者會在LOCOS隔離區之周圍形成鳥嘴結構’且不能提供 有效的隔離作用。另外,形成STI結構的技術可以在隔離 結構上產生一全面的平坦化表面,而有利於後續製程之進 行。淺溝渠隔離結構之製造方法詳述在第1圖至第4圖。 第1圖係說明一般積體電路中形成一淺溝渠隔離結構 之習知步驟。在此製程中,在矽基底10上以化學氣相沈積 法(CVD)形成一氮化矽層12,而氮化矽層係作爲化學機械 硏磨法之蝕刻終點。接著,藉塗佈而形成一定義溝渠的光 阻層14,再曝光、顯影與選擇性蝕刻光阻層,以形成在氮 化矽層12表面,且具有開口 16之一罩幕層14。再藉此罩 幕層,依序蝕刻氮化矽層12及矽基底,則在基底上形成一 溝渠18,而如上所述,蝕刻製程藉由光阻之開口,經蝕刻 氮化矽層12而形成溝渠,因之溝渠的側牆具有一輕微的斜 度。之後,再移除溝渠蝕刻罩幕14。 然後,溝渠18塡滿一矽氧化物層20,例如,以矽酸四 乙酯(tetra-ethyl-ortho-silicate, TE0S)爲氣體來源,例如使 用常壓化學氣相沈積法(APCVD)沈積,而在沈積溝渠時, 使矽氧化物充滿溝渠,如第3圖所示。因TE0S氧化層需 經密化(Densification)步驟,而經密化後,TE0S會收縮, 其中.,TE0S密化在溫度1000°C上下,時間約10-30分鐘進 行。在密化步驟之後,再以化學機械硏磨法(Cheimcal 本紙張尺度適用中國國家標率(CNS ) A4規格(210X297公釐) 192 1TWF.DOC/006 經濟部中央標準局員工消費合作社印製 Α7 Β7 五、發明説明(s)1921TWF.DOC / 006 A7 1921TWF.DOC / 006 A7 Consumption cooperation by employees of the Central Standards Bureau of the Ministry of Economic Affairs __B7 V. Description of the invention (/) The present invention relates to a method for manufacturing a component isolation structure between integrated circuit components In particular, it relates to a manufacturing method for forming a shallow trench isolation structure by chemical mechanical honing. The element isolation region is used to prevent carriers from moving between adjacent elements through the substrate. Traditionally, the element isolation region is formed between adjacent field effect transistors in a dense semiconductor circuit, thereby reducing field effect. Charge leakage generated by field effect transistors (FETs). Such dense semiconductor circuits are, for example, dynamic random access memories (DRAMs). Element isolation regions often form in the form of thick field oxide layers and are formed under the surface of the semiconductor substrate. The most traditional and common technology is the silicon local oxidation technology (LOCOS). The LOCOS technology is becoming more and more mature, so this technology can be used to obtain a highly reliable and effective component isolation structure at a lower cost. However, LOCOS still has a number of disadvantages, including problems related to known stress generation and surrounding LOCOS field isolation structures. Formation of bird's beak and so on. In particular, the problems caused by the bird's beak area make the LOCOS field isolation structure on small components unable to effectively isolate, and cannot form high density components. Shallow trench isolation (STI) is also a common element isolation method. Generally, silicon nitride is used as a hard mask. Anisotropic etching is used to define a nearly vertical trench on a semiconductor substrate. . The trench is then filled with an oxide layer to provide an element isolation structure ', and the structure has an upper surface that is the same height as the original substrate surface. Next, the element is formed on a P-type silicon substrate, and a FET element is formed around the STI structure, including an N-type source / drain region around the substrate channel region. 3 This paper is in accordance with the Chinese National Standard (CNS) A4 specification ( 210X297 mm) (Read the precautions on the back before filling this page)-«-, 1Ί l92lTWF.DOC / 006 A7 B7______ V. Description of the invention (2) (source / drain), and the gate oxide layer and Complex crystal sand gate separated in channel area. The thickness of the STI structure can provide effective isolation and can be applied to smaller components. Here, the STI structure is different from the L0C0S isolation area 'because the latter will form a bird's beak structure around the LOCOS isolation area' and cannot provide effective Isolation. In addition, the technology of forming the STI structure can produce a comprehensive flattened surface on the isolation structure, which is beneficial for subsequent processes. The manufacturing method of the shallow trench isolation structure is detailed in FIGS. 1 to 4. Figure 1 illustrates the conventional steps for forming a shallow trench isolation structure in a general integrated circuit. In this process, a silicon nitride layer 12 is formed on the silicon substrate 10 by a chemical vapor deposition (CVD) method, and the silicon nitride layer is used as an etching end point of the chemical mechanical honing method. Then, a photoresist layer 14 defining a trench is formed by coating, and then the photoresist layer is exposed, developed, and selectively etched to form a mask layer 14 on the surface of the silicon nitride layer 12 and having one of the openings 16. Then using this mask layer to sequentially etch the silicon nitride layer 12 and the silicon substrate, a trench 18 is formed on the substrate. As described above, the etching process etches the silicon nitride layer 12 through the photoresist opening. A ditch is formed because the side wall of the ditch has a slight slope. After that, the trench etching mask 14 is removed. Then, the trench 18 is filled with a silicon oxide layer 20, for example, using tetra-ethyl-ortho-silicate (TEOS) as a gas source, for example, using atmospheric pressure chemical vapor deposition (APCVD) deposition, When sinking the trench, the trench is filled with silicon oxide, as shown in Figure 3. The TE0S oxide layer needs to undergo a densification step, and after the densification, the TE0S will shrink. Among them, the TE0S is densified at a temperature of about 1000 ° C for about 10-30 minutes. After the densification step, the chemical mechanical honing method (Cheimcal) is applied to the Chinese National Standard (CNS) A4 specification (210X297 mm) 192 1TWF.DOC / 006 Printed by the Consumers' Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs Β7 5. Description of the invention (s)

Mechanical Polishing, CMP)去除氮化矽層 12 上之 TE0S 氧 化層,而以氮化矽爲硏磨終點,留下溝渠區中一氧化物插 塞12(第4圖)。 如第4圖所示,化學機械硏磨法進行時,由於氧化物插 塞22(oxide plug)較氮化矽層12爲軟,因此氮化矽表面下的 氧化物插塞22會有輕微的凹入現象(dishing)24。接著,再 移去與基底表面接觸的氮化矽層12,留下在基底表面之一 氧化物插塞22。通常,氧化蝕刻或其它製程步驟會造成氧 化物插塞22的部份蝕刻,而使氧化物插塞22表面可能低 於矽基底10表面。氧化物層蝕刻步驟的進行常常引起氧化 物插塞的過度蝕刻(over etching),而使氧化物插塞22表面 凹入而低於基底10表面。過度蝕刻發生在氧化物插塞鄰接 著基底10表面的邊緣,或是氧化物插塞表面會有低於基底 表面的凹入現象,過度蝕刻亦引起基底形成一“肩狀物” (shoulder)及溝槽側牆部份地蝕刻,或是僅有一薄氧化層可 以覆蓋在鄰接溝槽側牆之基底表面。 在STI結構的氧化物插塞定義後,以熱生長的方式,在 基底表面的主動區上形成一閘極氧化物層,而閘極氧化物 層通常在靠近溝渠隔離區基底肩狀物區形成一突出的邊緣 輪廓。一般而言,以化學氣相沈積法在基底的表面沈積一 複晶砂層,而複晶砂層以離子植入法(ion implantion)植入雜 質再進行回火(annealing),亦可在複晶砂沈積時直接摻入所 需雜質’續再以微影蝕刻製程形成一導線(wiring line)。而 由於導線在閘極氧化物層及氧化物插塞上延伸,氧化物插 5 f ' * (請先閱讀背面之注意事項再填寫本頁)Mechanical Polishing (CMP) removes the TE0S oxide layer on the silicon nitride layer 12, and uses silicon nitride as the honing end point, leaving an oxide plug 12 in the trench area (Figure 4). As shown in Figure 4, when the chemical mechanical honing method is performed, since the oxide plug 22 is softer than the silicon nitride layer 12, the oxide plug 22 under the surface of the silicon nitride will be slightly Depression 24. Next, the silicon nitride layer 12 in contact with the surface of the substrate is removed, leaving an oxide plug 22 on the surface of the substrate. Generally, oxidative etching or other process steps cause partial etching of the oxide plug 22, so that the surface of the oxide plug 22 may be lower than the surface of the silicon substrate 10. The progress of the oxide layer etching step often causes over-etching of the oxide plug, and the surface of the oxide plug 22 is recessed to be lower than the surface of the substrate 10. Over-etching occurs at the edge of the oxide plug adjacent to the surface of the substrate 10, or the surface of the oxide plug will have a concave phenomenon below the surface of the substrate. Over-etching also causes the substrate to form a "shoulder" and The trench sidewalls are partially etched, or only a thin oxide layer can cover the surface of the substrate adjacent to the trench sidewalls. After the oxide plugs of the STI structure are defined, a gate oxide layer is formed on the active area on the substrate surface by thermal growth, and the gate oxide layer is usually formed near the shoulder region of the substrate near the trench isolation region. A prominent edge contour. Generally speaking, a polycrystalline sand layer is deposited on the surface of a substrate by chemical vapor deposition, and the polycrystalline sand layer is implanted with impurities by ion implantation and then annealed. The desired impurities are directly doped during deposition, and then a wiring line is formed by a lithographic etching process. And because the wire extends on the gate oxide layer and the oxide plug, the oxide plug 5 f '* (Please read the precautions on the back before filling this page)

本纸張尺度適用中國國£^^( CNS〉M規格(21〇χ297公楚) 1921TWF.DOC/006 A7 B7 經濟部中央標準局員工消費合作社印製 五、發明説明(f) 塞亦會延伸至近溝渠側邊之肩狀物區,因此,在肩狀物區 形成的不良品質閘極氧化物層,使得閘極或導線與基底間 引起電性耦接,而在肩狀物區形成一寄生 MOSFET(Parasitic MOSFET)。其中導線作爲寄生 MOSFET 之一部份閘極,導線上的信號則控制寄生MOSFTE的行 爲。寄生MOSFET的形成與其它形式導線與基底間的電性 耦接將會減低電晶體“導通”的臨限電壓(threshold voltage) 而引起頸結效應(kink effect)中不正常的次臨限電流(subthreshold current) , 而頸 結效應 的發生將會影 響元件 與電路 的效能。 有鑑於此,本發明的主要目的,就是在利用一化學機械 硏磨法來平坦化表面,藉以降低淺溝渠隔離結構表面凹陷 的現象。 爲達上述之目的,本發明提供一種淺溝渠隔離結構的製 造方法,其至少包括下列步驟:首先,提供具有一溝渠的 .一基底,且溝渠在基底表面具有一上邊緣。接著,在基底 上形成一蝕刻終止層,蝕刻終止層具有一與基底溝渠上邊 緣相關之開口,並在触刻終止層上形成一材料層,材料層 具有足夠的厚度塡滿基底之溝渠。最後硏磨去除蝕刻終止 層表面之材料層而定義一塡滿溝渠的插塞。其中,蝕刻終 止層具有一上表面及一下表面,上表面之一硏磨速率較下 表面之一硏磨速率與塡滿溝渠之材料層相似。 本發明提供一種淺溝渠隔離結構的製造方法’其至少包 括下列步驟:首先,提供具有一溝渠的一基底,而溝渠在 請 先 閱 讀 背 1¾ 意 事 項 裝 訂 線 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇X297公釐) 192 1TWF.DOC/006 192 1TWF.DOC/006 經濟部中央標準局員工消費合作社印製 A7 ----B7 五、發明説明(G ) · 基底表面具有一上邊緣。接著,在基底上形成一鈾刻終止 層,而鈾刻終止層具有一與基底溝渠上邊緣相關之開口。 並在蝕刻終止層上形成〜材料層,材料層具有足夠的厚度 塡滿基底的溝渠’而溝渠材料包括氧化矽。最後,硏磨去 除触刻終止層表面的材料層而定義一塡滿溝渠的插塞。其 中’蝕刻終止層具有一氮氧化物表面層及一下層,氮氧化 物表面層具有一氮氧化物硏磨速率,下層在一氧化蝕刻製 程中具有一較慢的硏磨速率。 爲讓本發明之上述和其他目的、特徵、和優點能更明顯 易懂’下文特舉一較佳實施例,並配合所附圖式,作詳細 說明如下: 圖式之簡單說明: 第1圖至第4圖係顯示一種習知技藝淺溝渠隔離結構製 造流程剖面圖。 第5圖至第10圖係顯示根據本發明較佳實施例淺溝渠 隔離結構之製造流程剖面圖。 其中,各圖標號與構件名稱之關係如下: 10 ' 30 :基底 12 ' 32 :氮化矽層 14 ' 36 :罩幕層 20、42 :氧化砂 24、44 :氧化物插塞 46 :保護氧化物層 48 .閘極氧化物層 7 本紙張尺度適用中國國^^ ( CNsyA4S^( 2丨0X297公釐) " ~~'---— t請先閱讀背面之注意事項再填寫本頁) -裝-The size of this paper is applicable to the country of China £ ^^ (CNS> M specification (21〇χ297 公 楚) 1921TWF.DOC / 006 A7 B7 Printed by the Consumer Cooperatives of the Central Standards Bureau of the Ministry of Economic Affairs 5. Description of the invention (f) The plug will also be extended To the shoulder area near the side of the ditch, so the poor quality gate oxide layer formed in the shoulder area causes the gate or wire to electrically couple with the substrate, and a parasite is formed in the shoulder area MOSFET (Parasitic MOSFET). The wires serve as part of the gate of the parasitic MOSFET, and the signals on the wires control the behavior of the parasitic MOSFTE. The formation of parasitic MOSFETs and the electrical coupling between other forms of wires and the substrate will reduce the transistor The threshold voltage of "on" causes abnormal subthreshold current in the kink effect, and the occurrence of the neck effect will affect the performance of components and circuits. In view of the Therefore, the main purpose of the present invention is to planarize the surface by a chemical mechanical honing method, so as to reduce the phenomenon of the surface depression of the shallow trench isolation structure. The invention provides a method for manufacturing a shallow trench isolation structure, which includes at least the following steps: First, a substrate having a trench is provided, and the trench has an upper edge on the surface of the substrate. Then, an etch stop layer is formed on the substrate, and the etching is performed. The termination layer has an opening related to the upper edge of the substrate trench, and a material layer is formed on the contact termination layer. The material layer has a sufficient thickness to fill the trench of the substrate. Finally, the material layer is defined by honing to remove the surface of the etching termination layer. A trench-filled plug. The etch stop layer has an upper surface and a lower surface, and the honing rate of one of the upper surfaces is similar to that of the lower surface. The honing rate is similar to the material layer of the trench. The method of manufacturing a trench isolation structure includes at least the following steps: First, provide a substrate with a trench, and the trench must be read first. Note: The binding line of this paper applies the Chinese National Standard (CNS) A4 specification (21〇). X297 mm) 192 1TWF.DOC / 006 192 1TWF.DOC / 006 Printed by the Consumer Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs A7 ---- B7 V. Description of the Invention (G) · The surface of the substrate has an upper edge. Then, a uranium-cut stop layer is formed on the substrate, and the uranium-cut stop layer has an opening related to the upper edge of the base trench. A material layer is formed on the etch stop layer. The material layer has a sufficient thickness to fill the trenches of the substrate and the trench material includes silicon oxide. Finally, honing removes the material layer on the surface of the etching stop layer to define a trench-filled trench. The etch stop layer has an oxynitride surface layer and a lower layer, the oxynitride surface layer has an oxynitride honing rate, and the lower layer has a slower honing rate in the oxidative etching process. In order to make the above and other objects, features, and advantages of the present invention more comprehensible, a preferred embodiment is exemplified below, and in conjunction with the accompanying drawings, the detailed description is as follows: Brief description of the drawings: FIG. 1 Fig. 4 to Fig. 4 are cross-sectional views showing a manufacturing process of a shallow trench isolation structure of a conventional technique. 5 to 10 are cross-sectional views showing a manufacturing process of a shallow trench isolation structure according to a preferred embodiment of the present invention. Among them, the relationship between each icon number and the component name is as follows: 10 '30: substrate 12' 32: silicon nitride layer 14 '36: cover layer 20, 42: oxide sand 24, 44: oxide plug 46: protective oxidation Physical layer 48 .Gate oxide layer 7 This paper size is applicable to China ^^ (CNsyA4S ^ (2 丨 0X297mm) " ~~ '----- tPlease read the precautions on the back before filling this page) -Load-

、1T 經濟部中央標準局員工消費合作社印製 1921TWF.DOC/006 4 ^ A7 ___ _B7 五、發明説明(6) 50 :導線 52 :閘極 實施例 本發明較佳實施例係在進行化學機械硏磨法時,提供一 具有不同層或具有不同成份之蝕刻終止層,而蝕刻終止層 的上表面與下表面至少相距一部分的長度。蝕刻終止層的 上表面具有較易硏磨的材料組成,而下表面的性質較不易 方< 硏磨。而在硏磨製程時,使用上述的組成作爲餓刻終止 層’由於硏磨墊對蝕刻終止層材料硏磨速率的不同,因之 可藉此移除爲塡滿溝渠或開口過多的沈積材料。同樣地, 與蝕刻終止層相比而具有較高硏磨速率的溝渠或開口中的 沈積材料,亦可減緩其硏磨速率。故此製程頗適於使用在 形成一淺溝渠隔離結構的製程中。 本發明較佳實施例,係在一基底上,對準溝渠的邊緣, 而使蝕刻終止層具有一開口,溝渠中過度塡滿氧化矽,並 使氧化矽層延伸至蝕刻終止層表面上。通常,塡滿溝渠的 氧化矽材料爲Si02,而在本實施例中,蝕刻終止層表面組 成爲SiOxNy,而下表面的組成爲SiN或Si3N4。上述的結構 可以藉由二不同材料層或是藉由改變沈積時沈積來源氣體 組成而形成。而蝕刻終止層的矽氧氮化物表面材料折射指 數(refractive index)約爲1.8-2.0。化學機械硏磨法係使用在 移除蝕刻終止層表面上過多的氧化矽,藉此定義一在溝渠 中的氧化物插塞。當蝕刻製程進行至蝕刻終止層的表面 時,由於蝕刻終止層材料的硏磨性質較習知技藝更接近氧 8 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ:297公釐) (讀先閲讀背面之注意事項再填寫本頁)Printed by the Consumer Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs, 19T, 1921TWF.DOC / 006 4 ^ A7 ___ _B7 V. Description of the invention (6) 50: Wire 52: Gate electrode embodiment The preferred embodiment of the present invention is in chemical mechanical engineering. During the grinding method, an etch stop layer having different layers or different compositions is provided, and the upper surface and the lower surface of the etch stop layer are at least a part of a distance apart. The upper surface of the etch stop layer has a material composition that is easier to hob, and the properties of the lower surface are less easy. In the honing process, the above-mentioned composition is used as the etch stop layer. Since the honing pads have different honing rates of the etch stop layer material, the deposited material that is overfilled with trenches or excessive openings can be removed by this. Similarly, the deposition rate in trenches or openings that have a higher honing rate compared to the etch stop layer can also slow down the honing rate. Therefore, this process is suitable for the process of forming a shallow trench isolation structure. A preferred embodiment of the present invention is to align the edge of a trench on a substrate so that the etch stop layer has an opening, the trench is overfilled with silicon oxide, and the silicon oxide layer extends to the surface of the etch stop layer. Generally, the silicon oxide material that fills the trenches is Si02. In this embodiment, the surface group of the etch stop layer is SiOxNy, and the composition of the lower surface is SiN or Si3N4. The above structure can be formed by two different material layers or by changing the composition of the deposition source gas during deposition. The refractive index of the silicon oxynitride surface material of the etch stop layer is about 1.8-2.0. The CMP method uses an excess of silicon oxide on the surface of the etch stop layer to define an oxide plug in the trench. When the etching process is carried out to the surface of the etching stop layer, the honing properties of the material of the etching stop layer are closer to oxygen than conventional techniques. 8 This paper is in accordance with the Chinese National Standard (CNS) A4 specification (210 ×: 297 mm) (read (Read the notes on the back before filling out this page)

、1T 線 1921TWF.DOC/006 A7 B7 五、發明説明(η) ~~ _~~ 化物插塞’因此蝕刻氧化物插塞的速率會有減緩的趨勢。 在進行習知技藝與本發明實施例的硏磨方法,在硏磨製程 接近終點時’硏磨墊會同時面對蝕刻終止層與氧化物插 塞’而在習知技藝進行時.,.由於氮化矽蝕刻終止層舆氧化 物插塞的硬度相差頗多,因此會造成如第4圖所示的凹陷 現象。相反地,利用本發明較佳實施例所提供的矽氧氮化 物蝕刻終止層表面,由於矽氧氮化物具有較接近氧化物插 塞的硏磨性質,因此不會過度硏磨氧化物插塞,而可減少 凹陷的程度。 本發明實施例提供鄰接溝渠的平坦化表面,而可增進元 件的可靠度,例如’本發明可降低頸結效應發生的頻率與 頸結效應發生時影響的範圍。而當溝渠硏磨製程與最佳參 數略爲不同時,諸如繼續進行硏磨製程超過蝕刻終止層上 表面一深度時,即超過蝕刻終止層的矽氧氮化物部份時’ 則硏磨製程將不會進行,而會造成氧化物插塞些許凹陷的 現象。而在不可忽視硏磨製程最佳參數的變化中,硏磨製 程因延伸至蝕刻終止層的氮化矽部份,而使氧化物插塞產 生凹陷的現象。雖然在此會產生些許的凹陷現象,然此以 較習知技藝改進許多。 本發明之實施例另一的優點,由於矽氧氮化物的組成與 習知技藝氮化矽鈾刻終止層並不會有很大的不同,因之在 製程上毋須因配合蝕刻終止層的不同組成而做大幅度改 變。例如,在基底蝕刻形成溝渠前,利用乾蝕刻定義蝕刻 終止層,同樣亦可使用在本發明實施例中多層的蝕刻終止 9 ------—_____________ ____—-- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) ο .裝. 、17 經濟部中央標準局員工消費合作社印製 1921TWF.DOC/00 6 Β7 經濟部中央標準局員工消費合作社印製 五、發明説明(5 ) 層上,另外,在溝渠氧化物插塞定義後,可使用一熱磷酸 溶液剝除蝕刻終止層。 第5圖至第10圖係顯示根據本發明較佳實施例淺溝渠 隔離結構之製造流程剖面圖·。請參照第5圖,首先,在溝 渠形成前,先在基底30表面上形成一墊氧化物層(Pad oxide),以保護基底免於硏磨製程時的損害,而墊氧化物層 可以一熱氧化法成長,亦可以化學氣相沈積法(CVD)沈積。 而墊氧化物層的厚度需足以保護基底,且在蝕刻終止層與 基底材料具一致性時,更是需要墊氧化物層的存在。實際 上,在進行熱製程例如TEOS氧化物的密化時,作爲蝕刻 終止層的下層氮化矽層與基底間會有應力產生,而墊氧化 物層的存在可以釋放諸如此類的應力。 接著,在基底30上形成一蝕刻終止層,藉蝕刻終止層 定義一淺溝渠隔離結構,並在溝渠中塡滿氧化矽層。因此, 蝕刻終止層的上層係作爲一蝕刻終止層的緩衝層,且具有 與氧化矽相似的硏磨性質,而可藉由硏磨法去除。而鈾刻 終止層下層的硏磨性質則與習知作爲鈾刻終止層的特性較 爲相似。而本發明人決定作爲蝕刻終止層上層的矽氧氮化 物緩衝層需與足夠的氧結合,而氮氧化物則不須與足夠的 氧結合以致形成與塡滿溝渠氧化物材料相似的硏磨性質。 量測SiOxNy與氧的結合程度最方便的方法爲利用一光學測 量,如反射式光譜(reflection spectroscopy)或橢圓儀 (Ellipsometry)所得的折射係數。而本發明SiOxNy較佳的折 射係數η約爲1.8_2.〇,而Si02的折射係數η約爲1.45正負 10 (請先閱讀背面之注意事項再填寫本頁) ,0 . -17 .¾ 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 1921TWF.DOC/006 A7 1921TWF.DOC/006 A7 經濟部中央標準局員工消費合作社印製 B7_______ 五、發明説明(Ϋ ) 幾個百分比。Si3N4的折射係數變化較大’但一般約爲2_1。 如上,折射係數1.8-2.0顯示出的組成’代表具有相當含量 的氧且具有高度組成的氮。 而根據本發明所提供的蝕刻終止層厚度約與習知中之 氮化矽蝕刻終止層厚度相當,而氮化矽層32與矽氧氮化物 層34的厚度取決於淺溝渠結構元件製程所需。其中,氮化 石夕層32可以一化學氣相沈積法形成,厚度約爲ΙΟΟΟΑ,續 再以相同的CVD法沈積一厚度約爲1000A的矽氧氮化物 層。在沈積氮化矽層後,使用不同的的氣體來源混合物, 再進行矽氧氮化物的沈積步驟。在CVD成長製程進行時, 可逐漸改變來源氣體混合物的組成,而在連續的層間可產 生較多變化的組成。在提供飩刻終止層3 2、3 4後,接著在 蝕刻終止層上形成一光阻層,而光阻層經曝光顯影後,形 成一餽刻光罩36,而緩衝層34暴露出欲形成溝渠的區域 38表面。通常,光阻罩幕;36用來定義形成基底溝渠側邊範 圍的所有鈾刻步驟。 之後,再小心地蝕刻層34、層32與墊氧化物層(未繪 出),並蝕刻基底30而定義溝渠,蝕刻製程具高度非等向 性,例如以反應性離子蝕刻法(Reactive ion etching,RIE)進 行。而蝕刻一矽氧氮化層3 4、一氮化砂層3 2的蝕刻氣體混 合物包括SF6、氦氣與氧氣。而墊氧化物層的蝕刻氣體則包 括有CHF3、氧氣與氬氣。利用RIE蝕刻矽基底,而其蝕刻 氣體則包括有氯氣、氦氣、HBr與氧氣,溝渠底部則以sf6 進行,以使溝渠底部具有圓形的邊緣。第6圖所示爲形成 11 本紙張尺度適财賴家;( CNS ) &视格_( 2ι〇χ297公釐) ' - (請先閱讀背面之注意事項再填寫本頁) _σ •裝. 、1Τ 1921TWF.DOC/006 A7 B7 五、發明説明(/0 ) 一淺溝渠隔離結構合適的溝渠40,而其蝕刻深度約爲 4000-6000A左右,較佳深度爲45〇〇A。而若有需要,可在 溝渠的底部及側邊形成一熱氧化物層,藉此移除經蝕刻所 造成的缺陷。在之後的製種中,熱氧化物層可以成爲溝渠 插塞之一部份,另外亦可移除此熱氧化物層。 如第7圖所示,沈積一厚層之絕緣材料42,並塡滿溝 渠區以提供一表面供其它材料沈積。以臭氧TEOS或CVD TEOS沈積一厚氧化層,而傳統的化學氣相沈積法(CVD)技 術包括常壓化學氣相沈積法(APCVD)、低壓化學氣相沈積 法(LPCVD)及電漿化學氣相沈積法(PECVD),均可形成塡滿 溝渠插塞材料之氧化物層,其中,TEOS氧化物層42之沈 積厚度約在6000埃左右。TEOS氧化物層之所以需過度塡 滿溝渠,係因TEOS氧化物層在經由密化後會收縮約6%的 比例,在此TEOS氧化物層係藉加熱溫度至l〇〇〇°C,週期 在10至30分鐘間而密化。 本實施例中以一 TEOS氧化物層42或其他絕緣體作爲 將溝渠塡滿之材料,接著繼續進行蝕刻,將氧化物層42延 伸在基底30表面的多餘部份移去,而較佳是以CMP在一 固定的時間內完成,在蝕刻步驟接近至罩幕層的表面時即 停止。第8圖係顯示第7圖之元件在經一固定時間CMP程 序後,而移除在緩衝蝕刻終止層34表面上過多的氧化物層 42 ’藉此定義一塡滿溝渠的氧化物插塞44。在選擇合適的 緩衝層34,亦即具有一與氧化物層相似的硏磨性質的材料 後,再使氧化物插塞44的表面與緩衝硏磨層34的表面共 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) (讀先閱讀背面之注意事項再填寫本頁) •裝. €}絲 經濟部中央標準局員工消費合作社印製 1921TWF.DOC/006 A7 B7 經濟部中央標準局員工消費合作社印製 五、發明説明(") 平面。而其中,蝕刻終止層緩衝層的硏磨速率較蝕刻終止 層底部材料(如上述之氮化矽層)更接近溝渠氧化物插塞的 硏磨速率。 在進行CMP後形成如第8圖所示之結構,去除触刻終 止層34、32。在一單一製程中,將元件置於約15〇-18〇它之 熱磷酸溶液中,以去除矽氧氮化物層34與氮化砍層32。在 剝除蝕刻終止層後,氧化物插塞44會延伸至基底表面上約 1000-2000A的距離,而此突出的氧化物插塞部份係不必要 的,因此再進行一平坦化步驟。由於多使用較易控制的硏 磨製程定義氧化物插塞,因此控制的蝕刻製程可完成所需 的氧化物插塞平面。通常,利用一稀釋的HF溶液將基底與 鈾刻終止層間的墊氧化物層去除,同時亦可去除部份的氧 化物插塞44。HF溶液需要一段時間的浸泡而去除墊氧化物 層,因此氧化物插塞也需突出基底一段高度,而與前一步 驟整合,再包括之後進一步的氧化物蝕刻步驟,因此毋須 在此時即使氧化物插塞44與基底表面30共平面。 在層34及層32去除之後,一般會形成厚度約在200-300 埃左右之新保護氧化物層46,其可保護基底表面且限制在 離子植入步驟中離子的植入。同時,井(well)的植入與通道 的植入則經由保護氧化層而形成,較佳的方法是以快速熱 氧化法主動化井區及通道區之雜質,同時亦主動化源區和 汲極區之雜質(例如在1000°c加熱元件幾秒或數十秒鐘)。 接著以稀釋的HF去除保護氧化層46時,同時亦再蝕刻部 份的絕緣插塞44,經由此製程後,溝渠的邊牆仍處於被覆 (讀先閲讀背面之注意事項再填寫本頁)1T line 1921TWF.DOC / 006 A7 B7 V. Description of the invention (η) ~~ _ ~~ Compound plugs' Therefore, the rate of etching oxide plugs will slow down. When the conventional technique and the honing method according to the embodiment of the present invention are performed, when the honing process is nearing the end point, the 'honing pad will face the etching stop layer and the oxide plug at the same time' and when the conventional technique is performed. The hardness of the silicon nitride etch stop layer and the oxide plugs are quite different, so the depression phenomenon shown in FIG. 4 will be caused. On the contrary, the surface of the silicon oxynitride etching stopper layer provided by the preferred embodiment of the present invention, because silicon oxynitride has a honing property closer to the oxide plug, therefore, the oxide plug will not be excessively honed. And can reduce the degree of depression. The embodiment of the present invention provides a planarized surface adjacent to the trench, and can improve the reliability of the element. For example, the present invention can reduce the frequency of the neck knot effect and the range of the effect when the neck knot effect occurs. When the trench honing process is slightly different from the optimal parameters, such as when the honing process is continued beyond a depth of the upper surface of the etching stop layer, that is, when the silicon oxynitride portion of the etching stop layer is exceeded, the honing process will be It will not occur, but will cause a slight depression of the oxide plug. In the variation of the optimal parameters of the honing process, the honing process extends to the silicon nitride portion of the etch stop layer, and the oxide plug is depressed. Although there will be some sags here, this is a lot better than conventional techniques. Another advantage of the embodiment of the present invention is that since the composition of silicon oxynitride is not very different from that of the conventional silicon uranium nitride etch stop layer, there is no need to match the etch stop layer in the manufacturing process. Make a big change. For example, before the substrate is etched to form a trench, dry etching is used to define the etch stop layer. It is also possible to use multiple layers of etch stop in the embodiment of the present invention. 9 ----------_____________ ____-- This paper standard is applicable to China Standard (CNS) A4 specification (210X297 mm) (Please read the precautions on the back before filling out this page) ο. .. 17 Printed by the Central Consumers Bureau of the Ministry of Economic Affairs 1921TWF.DOC / 00 6 Β7 Central of the Ministry of Economic Affairs Printed by the Consumer Bureau of Standards Bureau on the fifth, invention description (5) layer. In addition, after the trench oxide plug is defined, a hot phosphoric acid solution can be used to strip the etch stop layer. 5 to 10 are cross-sectional views showing a manufacturing process of a shallow trench isolation structure according to a preferred embodiment of the present invention. Please refer to FIG. 5. First, before the trench is formed, a pad oxide layer is formed on the surface of the substrate 30 to protect the substrate from damage during the honing process, and the pad oxide layer can be heated. Oxidation growth can also be performed by chemical vapor deposition (CVD). The thickness of the pad oxide layer needs to be sufficient to protect the substrate, and the presence of the pad oxide layer is required when the etch stop layer is consistent with the base material. In fact, when a thermal process such as densification of TEOS oxide is performed, stress is generated between the underlying silicon nitride layer as an etch stop layer and the substrate, and the presence of a pad oxide layer can release such stress. Next, an etch stop layer is formed on the substrate 30. A shallow trench isolation structure is defined by the etch stop layer, and a silicon oxide layer is filled in the trench. Therefore, the upper layer of the etch stop layer serves as a buffer layer of the etch stop layer and has a honing property similar to that of silicon oxide, and can be removed by honing. The honing properties of the lower layer of the uranium etch stop layer are similar to those known as uranium etch stop layers. The inventors have decided that the silicon oxynitride buffer layer as the upper layer of the etch stop layer needs to be combined with sufficient oxygen, and the nitrogen oxide does not need to be combined with sufficient oxygen to form a honing property similar to that of the manganese trench oxide material. . The most convenient way to measure the degree of combination of SiOxNy and oxygen is to use an optical measurement, such as the refractive index obtained by reflection spectroscopy or ellipsometry. And the better refractive index η of the SiOxNy of the present invention is about 1.8_2.〇, and the refractive index η of Si02 is about 1.45 plus or minus 10 (please read the precautions on the back before filling this page), 0. -17. ¾ paper The standard is applicable to the Chinese National Standard (CNS) A4 specification (210X297 mm) 1921TWF.DOC / 006 A7 1921TWF.DOC / 006 A7 Printed by the Consumer Cooperatives of the Central Standards Bureau of the Ministry of Economic Affairs B7_______ 5. The percentage of invention description (Ϋ). The refractive index of Si3N4 varies greatly 'but is generally about 2_1. As described above, the composition 'shown by the refractive index 1.8-2.0 represents nitrogen having a considerable content of oxygen and having a high composition. The thickness of the etch stop layer provided according to the present invention is about the same as the thickness of the conventional silicon nitride etch stop layer, and the thickness of the silicon nitride layer 32 and the silicon oxynitride layer 34 depends on the process requirements of the shallow trench structure element. . Among them, the nitrided layer 32 can be formed by a chemical vapor deposition method with a thickness of about 100 Å, and then a silicon oxynitride layer with a thickness of about 1000 A is deposited by the same CVD method. After depositing the silicon nitride layer, a different gas source mixture is used, and then a silicon oxynitride deposition step is performed. As the CVD growth process proceeds, the composition of the source gas mixture can be gradually changed, and more varying compositions can be produced between successive layers. After the engraving stop layer 3 2, 3, 4 is provided, a photoresist layer is formed on the etch stop layer, and the photoresist layer is exposed and developed to form a feed mask 36, and the buffer layer 34 is exposed to be formed. Surface 38 of the trench. In general, photoresist masks; 36 are used to define all uranium engraving steps that form the side of the base trench. After that, the layers 34, 32, and pad oxide layers (not shown) are carefully etched, and the substrate 30 is etched to define the trenches. The etching process is highly anisotropic, for example, by reactive ion etching , RIE). The etching gas mixture for etching a silicon oxynitride layer 34 and a nitrided sand layer 32 includes SF6, helium, and oxygen. The etching gas for the pad oxide layer includes CHF3, oxygen, and argon. The silicon substrate is etched by RIE, and the etching gas includes chlorine, helium, HBr, and oxygen, and the bottom of the trench is performed with SF6 to make the bottom of the trench have a rounded edge. Figure 6 shows the formation of 11 paper-size papers; (CNS) & Vision_ (2ι〇χ297mm) '-(Please read the precautions on the back before filling out this page) _σ • Packing. 1T 1921TWF.DOC / 006 A7 B7 V. Description of the Invention (/ 0) A shallow trench with a suitable trench isolation structure 40, and its etching depth is about 4000-6000A, preferably a depth of 4500A. If necessary, a thermal oxide layer can be formed at the bottom and sides of the trench to remove defects caused by etching. In subsequent seeding, the thermal oxide layer can be part of the trench plug, and this thermal oxide layer can also be removed. As shown in Fig. 7, a thick layer of insulating material 42 is deposited and fills the trench area to provide a surface for other materials to be deposited. A thick oxide layer is deposited using ozone TEOS or CVD TEOS. Traditional chemical vapor deposition (CVD) techniques include atmospheric pressure chemical vapor deposition (APCVD), low pressure chemical vapor deposition (LPCVD), and plasma chemical gas. The phase deposition method (PECVD) can form an oxide layer of a trench filled plug material. The TEOS oxide layer 42 has a thickness of about 6000 angstroms. The reason why the TEOS oxide layer needs to overfill the trench is because the TEOS oxide layer shrinks by about 6% after being densified. Here, the TEOS oxide layer is heated by heating to 1000 ° C. The cycle Densify in 10 to 30 minutes. In this embodiment, a TEOS oxide layer 42 or other insulator is used as a material for filling the trench, and then the etching is continued to remove the excess portion of the oxide layer 42 extending on the surface of the substrate 30. Preferably, CMP is used. It is completed in a fixed time, and stops when the etching step approaches the surface of the mask layer. FIG. 8 shows that the device of FIG. 7 removes an excessive oxide layer 42 ′ on the surface of the buffer etch stop layer 34 after a fixed time CMP process, thereby defining a trench-filled oxide plug 44 . After selecting a suitable buffer layer 34, that is, a material having a honing property similar to that of the oxide layer, the surface of the oxide plug 44 and the surface of the buffer honing layer 34 are made common. The paper size applies the Chinese national standard (CNS) Α4 specification (210X297 mm) (Read the precautions on the back before filling this page) • Packing. €} Printed by the Central Consumers Bureau of the Ministry of Economic Affairs 1921TWF.DOC / 006 A7 B7 Central Standard of the Ministry of Economic Affairs Printed by the Bureau ’s Consumer Cooperatives V. Invention Description (") Plane. Among them, the honing rate of the buffer layer of the etch stop layer is closer to the honing rate of the trench oxide plug than the bottom material of the etch stop layer (such as the silicon nitride layer described above). After performing CMP, the structure shown in FIG. 8 is formed, and the etch stop layers 34 and 32 are removed. In a single process, the device is placed in a hot phosphoric acid solution of about 150 to 180 ° to remove the silicon oxynitride layer 34 and the nitride cutting layer 32. After stripping the etch stop layer, the oxide plug 44 will extend to a distance of about 1000-2000 A on the surface of the substrate, and this protruding oxide plug portion is unnecessary, so a planarization step is performed. Since oxide plugs are more commonly defined using a more controlled honing process, a controlled etch process can complete the required oxide plug plane. Generally, a dilute HF solution is used to remove the pad oxide layer between the substrate and the uranium etch stop layer, and at the same time, a part of the oxide plug 44 can be removed. The HF solution requires a period of immersion to remove the pad oxide layer, so the oxide plug also needs to protrude from the substrate for a certain height, and is integrated with the previous step, and then includes a subsequent oxide etching step, so there is no need to oxidize at this time. The object plug 44 is coplanar with the substrate surface 30. After the layers 34 and 32 are removed, a new protective oxide layer 46 with a thickness of about 200-300 angstroms is generally formed, which can protect the surface of the substrate and restrict ion implantation in the ion implantation step. At the same time, the implantation of wells and the implantation of channels is formed by the protective oxide layer. The better method is to use the rapid thermal oxidation method to actively activate impurities in the well area and the channel area, and also activate the source area and the drain area. Impurities in the polar region (eg heating element at 1000 ° c for a few seconds or tens of seconds). When the protective oxide layer 46 is subsequently removed with diluted HF, part of the insulating plug 44 is also etched at the same time. After this process, the side walls of the trench are still covered (read the precautions on the back before filling this page)

I Λ--Λ A Q -裝 、ya .©線 本紙張尺度適用中國國家檩準(CNS ) A4規格(210X297公釐) A7 1921TWF.DOC/006 ___B7 五、發明説明(/1) 蓋及被保護的情形下,而氧化物插塞44的表面則會略高於 基底表面30。 請參照第10圖。所需的積體電路元件在基底30上形 成,如MOS電晶體。爲形成MOS電晶體,在一氧氣的環 境下,溫度約維持在900-l〇a〇°C,形成一厚度約爲30-200 埃之閘極氧化物層48,並在元件上沈積一導線材料而形成 一最低導線層。將基底溫度維持在約62(TC左右,而以低壓 化學氣相沈積法(LPCVD)形成一厚度約爲2000-3000埃之 複晶矽層。在導線層包含複晶矽時,可以離子植入法摻入 硼離子或磷離子之雜質’且藉快速熱回火製程主動化雜 質。並以一耐熱的金屬或金屬矽化物,諸如鎢矽化物,沈 積在複晶矽層上,進行自行對準金屬化(self_aligned silicide) 製程,微影蝕刻複晶砂層。因此,導線結構具有一金屬砂 化物結構且導線之厚度約在2000-3000埃左右。而當導線 材料層形成如FET之閘極時,閘極所需厚度需併入導線靥 厚度之計算中,半導體電路續藉微影餓刻導線層而形成導 線50或一閘極w,如第1〇圖所示。 傳統上更進一步之製程則在閘極52之周圍形成源區及 汲極區,其中提供一淡濃度植入區,並在閘極周圍形成氧 化物間隙壁,再形成元件所需之接觸窗或內連接線而完成 半導體電路。如將第10圖的部份電路形成在一 DRAM的記 憶胞上’亦可提供電荷儲存電容或或位元線等結構,在此 一 DRAM記憶胞中,導線5〇可能與閘極或另一 MOS電晶 體連接,此部份則未在弟10圖中說明。而由此形成淺溝集 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 192 1 T WF.DOC/0 06 Α7 192 1 T WF.DOC/0 06 Α7 經濟部中央標準局員工消費合作社印製 Β7 五、發明説明(/3) 隔離區之方法,使存在於導線通過處,亦即鄰接溝渠基底 表面之邊緣,不可靠氧化物層形成之可能性降低。因此, MOS電晶體連接至導線50之效能較不致因寄生 (parasitic)MOS電晶體,或因在導線50與鄰接溝渠基底處 形成不需要之電性耦接而降低。 藉由上述本發明所形成之淺溝渠隔離結構,降低了蝕刻 步驟進行時,絕緣插塞的表面低於基底表面的機會,因此, 形成在溝渠鄰接處之閘極氧化物層亦可具有較高之品質。 雖然本發明已以一較佳實施例揭露如上,然其並非用以 限定本發明,任何熟習此技藝者,在不脫離本發明之精神 和範圍內,當可作各種之更動與潤飾,因此本發明之保護 範圍當視後附之申請專利範圍所界定者爲準。 (請先閱讀背面之注意事項再填寫本頁)I Λ--Λ AQ-installed, ya. © The size of the paper is applicable to the Chinese National Standard (CNS) A4 (210X297 mm) A7 1921TWF.DOC / 006 ___B7 V. Description of the invention (/ 1) Cover and protected In this case, the surface of the oxide plug 44 is slightly higher than the surface of the substrate 30. Refer to Figure 10. The required integrated circuit elements are formed on a substrate 30, such as a MOS transistor. In order to form a MOS transistor, a gate oxide layer 48 having a thickness of about 30-200 angstroms is formed in an oxygen atmosphere at a temperature of about 900-l0a0 ° C, and a wire is deposited on the element. Material to form a lowest wire layer. The substrate temperature is maintained at about 62 ° C, and a polycrystalline silicon layer having a thickness of about 2000-3000 angstroms is formed by low pressure chemical vapor deposition (LPCVD). When the wire layer contains polycrystalline silicon, ion implantation can be performed. Doped with impurities of boron ions or phosphorus ions, and the impurities are activated by a rapid thermal tempering process. A heat-resistant metal or metal silicide, such as tungsten silicide, is deposited on the polycrystalline silicon layer for self-alignment. Metallization (self_aligned silicide) process, lithographic etching of the polycrystalline sand layer. Therefore, the wire structure has a metal sand structure and the thickness of the wire is about 2000-3000 angstroms. When the wire material layer is formed as a gate of a FET, The required thickness of the gate electrode needs to be incorporated into the calculation of the thickness of the wire, and the semiconductor circuit continues to use the lithography to etch the wire layer to form the wire 50 or a gate w, as shown in Figure 10. Traditionally, a further process A source region and a drain region are formed around the gate electrode 52. A light-concentration implantation region is provided, and an oxide spacer is formed around the gate electrode. Then, a contact window or an interconnect line required by the element is completed to complete the semiconductor circuit. If part of the circuit in Figure 10 is formed on a DRAM memory cell, a structure such as a charge storage capacitor or a bit line can also be provided. In this DRAM memory cell, the wire 50 may be connected to a gate or another MOS transistor connection, this part is not illustrated in the figure 10. And the shallow groove set formed on this paper is applicable to the Chinese National Standard (CNS) A4 specification (210X297 mm) 192 1 T WF.DOC / 0 06 Α7 192 1 T WF.DOC / 0 06 Α7 Printed by the Consumers' Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs B7 V. Description of the Invention (/ 3) The method of isolating the zone so that it exists at the place where the wire passes, that is, the edge adjacent to the surface of the trench base The possibility of the formation of unreliable oxide layers is reduced. Therefore, the performance of the MOS transistor connected to the wire 50 is less likely to be caused by parasitic MOS transistors, or because unwanted electrical properties are formed at the wire 50 and the adjacent trench substrate The above-mentioned shallow trench isolation structure formed by the present invention reduces the chance that the surface of the insulating plug is lower than the surface of the substrate when the etching step is performed. Therefore, a gate oxide layer is formed adjacent to the trench. also Has higher quality. Although the present invention has been disclosed as above with a preferred embodiment, it is not intended to limit the present invention. Any person skilled in the art can make various modifications without departing from the spirit and scope of the present invention. Changes and retouching, so the protection scope of the present invention shall be determined by the scope of the attached patent application. (Please read the precautions on the back before filling this page)

本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)This paper size applies to Chinese National Standard (CNS) A4 (210X297 mm)

Claims (2)

92 1TWF.DOC/006 A8 B8 C8 D8 中請專利範圍 1. 一種淺溝渠隔離結構之製造方法,其至少包括下列步 驟: 提供具有一溝渠的一基底,該溝渠在該基底表面具有— 上邊緣; ‘ _ 在該基底上形成一蝕刻終止層,該蝕刻終止層具有 該基底溝渠上邊緣相關之開口; 在該蝕刻終止層上形成一材料層,該材料層具有足夠的 厚度塡滿該基底之該溝渠;以及 硏磨去除該融刻終止層表面之該材料層而定義—塡、滿 溝渠的插塞, 其中,該蝕刻終止層具有一上表面及一下表面,該上表 面之一硏磨速率較該下表面之一硏磨速率與塡滿溝渠之該 材料層相似。 2. 如申請專利範圍第1項所述之製造方法,其中,該餓 刻終止層包括一矽氧氮化物的上靥與一氮化矽的下層。 3. 如申請專利範圍第2項所述之製造方法,其中,該砂 氧氮化物的折射係數經由一光學測量後約爲1 8_2 〇左1^ 4. 如申請專利範圍第1項所述之製造方法,其中,該虫 刻終止層具有一上層與一下層,對塡滿該溝榘的材料= 言,該上層具有一第一硏磨速率,該下層具有一第二=而 速率,該第一硏磨速率大於該第二硏磨速率。 〜研1磨 5. 如申請專利範圍第4項所述之製造方法,其中> 刻終止層之該開口以一連續式乾蝕刻製程形成f ’該鈾 6. 如申請專利範圍第4項所述之製造方法 ,其中,更進 16 — 1 裝 . 訂 ί線 (諳先聞讀背面之注意事項再填寫本頁} 經濟部中央標準局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) 經濟部中央標準局員工消費合作社印製 4006Q'S A8 1921TWFD0C/006 cl D8 六、申請專利範圍 一步的步驟包括,在一單一製程步驟中移除該上層與該下 層。 7. 如申請專利範圍第6項所述之製造方法,其中,該移 除步驟爲一濕蝕刻製程。‘ 8. 如申請專利範圍第7項所述之製造方法,其中,該移 除步驟以一包括熱磷酸之溶液進行。 9. 一種淺溝渠隔離結構之製造方法,其至少包括下列步 驟: 提供具有一溝渠的一基底,該溝渠在該基底表面具有一 上邊緣; 在該基底上形成一蝕刻終止層,該蝕刻終止層具有一與 該基底溝渠該上邊緣相關之開口; 在該蝕刻終止層上形成一材料層,該材料層具有足夠的 厚度塡滿該基底之該溝渠,該溝渠材料包括氧化矽;以及 硏磨去除該蝕刻終止層表面之該材料層而定義一塡滿 溝渠的插塞, 其中,該蝕刻終止層具有一氮氧化物表面層及一下層, 該氮氧化物表面層具有一氮氧化物硏磨速率,該下層在一 氧化硏磨製程中具有一較慢的硏磨速率。 1 〇.如申請專利範圍第9項所述之製造方法,其中,該 氮氧化物的折射係數經由一光學測量後約爲1.8-2.0左右。 11. 如申請專利範圍第9項所述之製造方法,其中,該 下層包括一氮化矽層。 12. 如申請專利範圍第11項所述之製造方法,其中,該 17 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) . 0—裝I:~~i丨丨訂 <線 .1 (請先聞讀背面之注意事項再填寫本頁) 400608 A892 1TWF.DOC / 006 A8 B8 C8 D8 Patent scope 1. A method for manufacturing a shallow trench isolation structure, which includes at least the following steps: providing a substrate having a trench, the trench having an upper edge on the surface of the substrate; '_ An etch stop layer is formed on the substrate, the etch stop layer has openings related to the upper edge of the substrate trench; a material layer is formed on the etch stop layer, and the material layer has a sufficient thickness to fill the substrate. Trenches; and honing to remove the material layer on the surface of the melting stop layer—a plug of a hob and a full trench, wherein the etch stop layer has an upper surface and a lower surface, and one of the upper surfaces has a higher honing rate The honing rate of one of the lower surfaces is similar to that of the material layer in the Hom trench. 2. The manufacturing method according to item 1 of the scope of patent application, wherein the etching stop layer includes an upper layer of silicon oxynitride and a lower layer of silicon nitride. 3. The manufacturing method as described in item 2 of the scope of the patent application, wherein the refractive index of the sand oxynitride is about 1 8_2 0 left 1 ^ after an optical measurement. 4. As described in the item 1 of the scope of patent application The manufacturing method, wherein the insect-engraved termination layer has an upper layer and a lower layer, and for the material filled with the gully, the upper layer has a first honing rate, and the lower layer has a second honing rate, and the first One honing rate is greater than the second honing rate. 〜 研 1 磨 5. The manufacturing method described in item 4 of the scope of patent application, wherein > the opening of the etch stop layer is formed by a continuous dry etching process to form f 'the uranium 6. As described in the scope of patent application No. 4 The manufacturing method described above, including 16 — 1 packs. Ordering line (谙 Please read the precautions on the back before filling out this page} Printed by the Central Consumers Bureau of the Ministry of Economic Affairs, Consumer Cooperatives The paper size is applicable to Chinese national standards (CNS ) Α4 size (210X297mm) Printed by the Consumer Cooperatives of the Central Standards Bureau of the Ministry of Economic Affairs, 4006Q'S A8 1921TWFD0C / 006 cl D8 6. One step in the patent application process includes removing the upper layer and the lower layer in a single process step. 7 . The manufacturing method according to item 6 of the patent application scope, wherein the removing step is a wet etching process. '8. The manufacturing method according to item 7 of the patent application scope, wherein the removing step starts with a A solution including hot phosphoric acid is performed. 9. A method for manufacturing a shallow trench isolation structure, which includes at least the following steps: A substrate having a trench is provided on the base. The surface has an upper edge; an etch stop layer is formed on the substrate, the etch stop layer has an opening related to the upper edge of the substrate trench; a material layer is formed on the etch stop layer, and the material layer has sufficient The trench having a thickness that fills the substrate, the trench material including silicon oxide; and a plug that defines a trench filled by honing to remove the material layer on the surface of the etch stop layer, wherein the etch stop layer has an oxynitride The surface layer and the lower layer, the nitrogen oxide surface layer has an oxynitride honing rate, and the lower layer has a slower honing rate during the oxidizing honing process. The manufacturing method described above, wherein the refractive index of the oxynitride is about 1.8-2.0 after an optical measurement. 11. The manufacturing method according to item 9 of the scope of patent application, wherein the lower layer includes a silicon nitride 12. The manufacturing method as described in item 11 of the scope of patent application, wherein the 17 paper sizes are in accordance with China National Standard (CNS) A4 (210 X 297 mm). 0 --Install I: ~~ i 丨 丨 Order &Line; 1 (Please read the precautions on the back before filling this page) 400608 A8 192.TWF.DOC/006 BS D8 六、申請專利範圍 氧氮化物的折射係數經由一光學測量後約爲1.8-2.0左右。 13.如申請專利範圍第12項所述之製造方法,其中,該 氮化矽層之一折射係數爲2.1左右。 (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 本紙張尺度適用t國國家標準(CNS ) A4規格(210X297公釐)192.TWF.DOC / 006 BS D8 6. Scope of patent application The refractive index of oxynitride is about 1.8-2.0 after an optical measurement. 13. The manufacturing method according to item 12 of the scope of patent application, wherein one of the silicon nitride layers has a refractive index of about 2.1. (Please read the notes on the back before filling out this page) Printed by the Consumer Cooperatives of the Central Bureau of Standards of the Ministry of Economic Affairs This paper size is applicable to the national standard (CNS) A4 specification (210X297 mm)
TW86119488A 1997-12-22 1997-12-22 The manufacturing method of the Shallow Trench Isolation (STI) TW400608B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW86119488A TW400608B (en) 1997-12-22 1997-12-22 The manufacturing method of the Shallow Trench Isolation (STI)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW86119488A TW400608B (en) 1997-12-22 1997-12-22 The manufacturing method of the Shallow Trench Isolation (STI)

Publications (1)

Publication Number Publication Date
TW400608B true TW400608B (en) 2000-08-01

Family

ID=21627459

Family Applications (1)

Application Number Title Priority Date Filing Date
TW86119488A TW400608B (en) 1997-12-22 1997-12-22 The manufacturing method of the Shallow Trench Isolation (STI)

Country Status (1)

Country Link
TW (1) TW400608B (en)

Similar Documents

Publication Publication Date Title
US6717231B2 (en) Trench isolation regions having recess-inhibiting layers therein that protect against overetching
US5933748A (en) Shallow trench isolation process
US6297126B1 (en) Silicon nitride capped shallow trench isolation method for fabricating sub-micron devices with borderless contacts
US6468853B1 (en) Method of fabricating a shallow trench isolation structure with reduced local oxide recess near corner
US6069058A (en) Shallow trench isolation for semiconductor devices
US5989977A (en) Shallow trench isolation process
US6734066B2 (en) Method for fabricating split gate flash memory cell
US20050224907A1 (en) Isolation structure with nitrogen-containing liner and methods of manufacture
US6797579B2 (en) Semiconductor device having trench isolation structure and method of fabricating the same
US5968842A (en) Techniques for reduced dishing in chemical mechanical polishing
US6555430B1 (en) Process flow for capacitance enhancement in a DRAM trench
US6074932A (en) Method for forming a stress-free shallow trench isolation
US6331472B1 (en) Method for forming shallow trench isolation
US6551901B1 (en) Method for preventing borderless contact to well leakage
US20070170511A1 (en) Method for fabricating a recessed-gate mos transistor device
US6358818B1 (en) Method for forming trench isolation regions
TW304293B (en) Manufacturing method for shallow trench isolation
US6358785B1 (en) Method for forming shallow trench isolation structures
US6372606B1 (en) Method of forming isolation trenches in a semiconductor device
TW200428504A (en) Method of manufacturing a semiconductor component
US20140070357A1 (en) Soi device with embedded liner in box layer to limit sti recess
US6602759B2 (en) Shallow trench isolation for thin silicon/silicon-on-insulator substrates by utilizing polysilicon
US7323377B1 (en) Increasing self-aligned contact areas in integrated circuits using a disposable spacer
JP4197576B2 (en) Manufacturing method of semiconductor device
US7098515B1 (en) Semiconductor chip with borderless contact that avoids well leakage

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent