TW299463B - - Google Patents

Download PDF

Info

Publication number
TW299463B
TW299463B TW85108236A TW85108236A TW299463B TW 299463 B TW299463 B TW 299463B TW 85108236 A TW85108236 A TW 85108236A TW 85108236 A TW85108236 A TW 85108236A TW 299463 B TW299463 B TW 299463B
Authority
TW
Taiwan
Prior art keywords
dielectric
patent application
item
substrate
layer
Prior art date
Application number
TW85108236A
Other languages
Chinese (zh)
Original Assignee
Allied Signal Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Allied Signal Inc filed Critical Allied Signal Inc
Application granted granted Critical
Publication of TW299463B publication Critical patent/TW299463B/zh

Links

Landscapes

  • Mechanical Treatment Of Semiconductor (AREA)

Description

經濟部中央標準局員工消費合作社印製 A7 B? 五、發明説明(1 ) 利説明 本專利案承受1995年6月26日提出的美國申請中專利第 6〇/〇00,515號,其在此併供參考。 兔背景 憂範圍 本發明係關於基體的製備,該基體用於積體電路(IC)、 多晶片調變、印刷電路板、高速邏輯裝置、平板顯示器與 其它微電子裝置。特別地,本發明係關於一改良方法以填 充2隙、在基體的極化介電層與金屬接點之間使空間齊平 ’用以製造上述次微米大小的裝置。這是以化學機械磨光 方式藉由使用旋轉上玻璃材料而_—達-成。 t用技藝説明 半導體技術中連續有一種趨勢,即在晶片2形成具有更 多更快電路的積體電路晶片。'這種超大型積艘使得特徵大 小持續縮小,結果是在單晶片上可用許多裝置。在有限的 晶片表面上’互連密度通常以多層配置在基體上暴露,而 裝置必須在這些多層上互連,互連必須互相是電的絕緣, 除了於设計上要作接觸的之外,通常電的絕緣需要將介電 膜沈積或旋轉在表面上。可參考聯合信號公司(1994)(熱處 理旋轉上膜)出版的册子「於層間與金屬間介電平面化時 使用旋轉上玻璃材料的旋轉/烘乾/處理法」。 J成區域互連的主要處理困難是裝置表面的地形,不僅 基fla表面本身極不平坦,而且裝置形成過程中額外產生地 形不规則如空隙。平坦的缺乏會產生許多問題,其對於製 本躲尺度適财p:^7—) (請先鬩讀背•面之注意事項再填寫本頁) 訂A7 B printed by the Employee Consumer Cooperative of the Central Bureau of Standards of the Ministry of Economic Affairs. V. Description of Invention (1) It is stated that this patent case bears the US Patent No. 60 / 〇00,515 filed on June 26, 1995. for reference. Rabbit Background Worrying Scope This invention relates to the preparation of substrates for integrated circuits (IC), multi-chip modulation, printed circuit boards, high-speed logic devices, flat panel displays and other microelectronic devices. In particular, the present invention relates to an improved method to fill the 2 gaps and make the space flush between the polarized dielectric layer of the substrate and the metal contacts to manufacture the aforementioned submicron-sized devices. This is chemical mechanical polishing by using rotating glass material. t illustrates with technology that there is a continuous trend in semiconductor technology to form integrated circuit wafers with more and faster circuits on wafer 2. 'This ultra-large accumulation vessel has continued to shrink the feature size, with the result that many devices can be used on a single wafer. On a limited wafer surface, the interconnect density is usually exposed on the substrate in a multi-layer configuration, and the devices must be interconnected on these multi-layers. The interconnects must be electrically insulated from each other, except for the design to make contact, usually Electrical insulation requires the deposition or rotation of a dielectric film on the surface. Refer to the booklet "Rotation / Drying / Treatment Method Using Rotating Glass Material for Interlayer and Intermetal Dielectric Planarization" published by United Signal Corporation (1994) (Heat Treatment Spinning Film). The main processing difficulty of the J-region interconnection is the topography of the device surface. Not only is the base fla surface itself extremely uneven, but also irregularities such as voids are generated during device formation. The lack of flatness will cause many problems, and its cost for the standard is p: ^ 7—) (please read the notes on the back and fill in this page first)

.A ^99463五、發明説明(2 ).A ^ 99463 5. Description of the invention (2)

A7 BJ 經濟部中央標準局員工消費合作社印製 造有不利影響,包含因層間介電厚度不勻、下層材料的不 良接合、步移覆蓋,及聚焦深度等因素而不能打開容器。 因此在1C基體中填充窄空隙的能力對於形成次微米大小極 爲重要=已知業界有各種基雙空隙填充方法,包含:沈積 蝕刻沈積循環,與次大氣四乙基正矽酸鹽(SATEOS),大 氣電漿四乙基正矽酸鹽(APTEOS),化學蒸汽沈積(CVD)的 應用,高密度電漿(HDP)系統與旋轉上玻璃(SOG)材料。使 用SOG材料應用的方法比上述強調設備的方法更經濟。 其它1C基體的重要因素包括區域與整體介電表面。表面 的平坦與平滑對於積體電路的製造極爲重要。隨著用光學 印刷法來定義漸小的特徵,而^減^少-暴露工具的聚焦深度。 因爲需要使用平坦膜以使微電子裝置的地形平滑或齊平, 以便將漸增複雜的積體電路正確打樣。使用j學印刷法產 生的1C特徵需要區域與整體介電平坦化以大夬限制聚焦深 度,即在0.35//m以下,在沒有足夠的區域與整體平坦下 ,聚焦深度的缺少使其本身變成有限的印刷處理窗。 一種改良1C表面平坦化的方法包括化學機械磨光(CMP) 法,因此CMP有獨特優點,即它可在不大量減少平坦區域 下快速移除增高的地形特徵。與凹陷區域相比,CMP可更 減少凸起區域的施加氧化物外層厚度,因爲凸起區域與磨 光墊的接觸更多,因此磨損程度比凸起區域較大。藉由施 加測量與化學磨光至上表面,.則藉由CMP可以比傳統蝕刻 得到更大的平坦化。 雖然可用CMP達成平坦化,它的使用仍不能去除填充空 -5- (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國S家標準(CNS ) A4規格(210X 297公釐) 隙的需要。因此相信SOG與CMP法的使用是互相排拒的過 程。這是因爲大家相信S0G層是泡狀,因此S0G的磨光率 比其它塡充空隙過程要高很多。 因此期望旎提供-種改良法-以連續形成均勻的微電子基 體,藉此使基體大致上是無空洞,以有效地使金屬接點絕 緣’以有效地使層平坦化。 發明之概诫 本發月it 種方法用以形成一無空洞連續之平坦化基 體,其包含: _ (a) 施加;丨电成伤至一基禮_表_面,其量足以均勻地敷施 及填充空隙在表面上;及 一 a — (b) 執行一化學機械磨光步驟至該介電成份直到該基體表 面上之該介電成份大致平坦化。 本發明提供一種方法以處理半導體基體表面_,其包含: (a) 旋轉沈積一層液體介電成份至一半導體基體之表面; (b) 在一溫度下加熱該介電層,其加熱時間足以於表面上 形成一連續乾的介電層;及 (c) 以化學機械磨光介電層之方式移除至少一部分介電層 0 經濟部中央橾準局員工消費合作杜印製 附圖之簡單説Bfl 圖1(a)是石夕氧烷類的原子力微_圖片(AFM)影像,由聯合 信號公司以商標Accuglass® 3丨丨的名稱出品,在氮氣下於 425C加熱處理60分鐘,及(1))是5〇(}八(^%1邮8@311矽氧烷 類的AFM影像,其以SC_U2牌子的加煙二氧化矽黏合劑作 _____ -6- $紙張尺度適用中11國家縣((:>^)4峨格(21()\297公疫) A 7 B7 五、發明説明(4 CMP處理。 請 先 閱_ 讀 背 ιδ Ϊ- 事 項 再 填一 i装 頁 圖2是使用變化pH黏合劑的聯合信號公司的SOG Accuglass® 3 11的磨光率(A/分鐘)的圖形。 圖3是使用SC-112黏合劑於f種有機率下以熱氧化物外 層,熱處理的SOG矽氧烷類,及眞空處理SOG矽氧烷類之 下基體的磨光率(A/分鐘)的圖形。 圖4(a-d)是使用SC-112黏合劑於各種滾筒rpm下以熱 氧化物,及聯合信號公司出品PETEOS,PESiH4, Accuglass® 311矽氧烷類與FlareTM氟化聚(乙囀)施敷基體的磨光率(A/ 分鐘)圖形。 - 訂 圖5是使用各種不同黏合劑於毒種磷含量速率下二氧化 矽SOG材料磨光率(A/分鐘)的圖形。 圖6是監測馬達電流變化的Luxtron 2350端點偵測器的畫 面。 圖7(a)與(b)顯示的晶圓表面係藉由掃描電子顯微(SEM) 微圖形以顯示於CMP之前與之後晶圓的表面情況。A7 BJ The Ministry of Economic Affairs Central Standards Bureau employee consumer cooperative printing and printing has an adverse effect, including factors such as uneven dielectric thickness between the layers, poor bonding of the underlying materials, step coverage, and depth of focus. Therefore, the ability to fill narrow voids in the 1C matrix is extremely important for the formation of sub-micron sizes = there are various known methods for filling double voids in the industry, including: deposition etching deposition cycle, and sub-atmospheric tetraethyl orthosilicate (SATEOS), Atmospheric plasma tetraethyl orthosilicate (APTEOS), chemical vapor deposition (CVD) application, high density plasma (HDP) system and rotating glass (SOG) material. The method of applying SOG materials is more economical than the method of emphasizing equipment described above. Other important factors of the 1C matrix include the area and the overall dielectric surface. The flatness and smoothness of the surface are extremely important for the manufacture of integrated circuits. With the use of optical printing to define progressively smaller features, ^ decrease ^ less-expose the depth of focus of the tool. Because it is necessary to use a flat film to make the terrain of the microelectronic device smooth or flush, so as to correctly sample the increasingly complex integrated circuit. The 1C features produced using the J-printing method require area and overall dielectric flattening to greatly limit the depth of focus, which is below 0.35 // m. Without sufficient area and overall flatness, the lack of depth of focus makes it itself Limited print processing window. A method of improving 1C surface planarization includes chemical mechanical polishing (CMP), so CMP has the unique advantage that it can quickly remove elevated terrain features without significantly reducing flat areas. Compared with the recessed area, CMP can reduce the thickness of the applied oxide outer layer of the raised area, because the raised area has more contact with the polishing pad, so the degree of wear is greater than the raised area. By applying measurement and chemical polishing to the upper surface, CMP can achieve greater planarization than traditional etching. Although it can be flattened by CMP, its use still cannot remove the filling space-5- (please read the precautions on the back and then fill in this page) This paper scale is applicable to the Chinese S family standard (CNS) A4 specification (210X 297 mm) Gap needs. Therefore, it is believed that the use of SOG and CMP methods are mutually exclusive processes. This is because everyone believes that the SOG layer is bubble-like, so the polishing rate of SOG is much higher than other void filling processes. It is therefore desirable to provide an improved method to continuously form a uniform microelectronic substrate, thereby making the substrate substantially void-free, to effectively insulate the metal contacts' to effectively planarize the layer. SUMMARY OF THE INVENTION It is a method to form a continuous flat substrate without cavities, which includes: _ (a) application; 丨 electric wound to a base _ surface _ surface, the amount is sufficient to apply evenly Applying and filling voids on the surface; and a— (b) performing a chemical mechanical polishing step to the dielectric component until the dielectric component on the surface of the substrate is substantially flattened. The present invention provides a method for treating the surface of a semiconductor substrate, which includes: (a) spin-depositing a layer of liquid dielectric components onto the surface of a semiconductor substrate; (b) heating the dielectric layer at a temperature for a heating time sufficient for A continuous dry dielectric layer is formed on the surface; and (c) At least a portion of the dielectric layer is removed by chemical mechanical polishing of the dielectric layer. 0 Bfl Figure 1 (a) is an atomic force micro-image (AFM) image of shixioxanes, produced by the United Signal Company under the trademark Accuglass® 3 丨 丨, heat-treated under nitrogen at 425C for 60 minutes, and (1 )) Is an AFM image of 5〇 () 8 (^% 1mail 8 @ 311 siloxanes, which uses SC_U2 brand fumed silica adhesive as _____-6-paper size is applicable to 11 countries and counties ((: ≫ ^) 4 Ege (21 () \ 297 public epidemic) A 7 B7 V. Description of the invention (4 CMP treatment. Please read first _ Read back ιδ Ϊ- Matters and then fill in one. The page 2 is Graphic of the polishing rate (A / min) of SOG Accuglass® 3 11 of United Signaling Corporation using a pH-changing adhesive. Figure 3 The graph of the polishing rate (A / min) of the substrate under the f-organic rate with the thermal oxide outer layer, heat-treated SOG siloxanes, and the void-treated SOG siloxanes under f organic rate. 4 (ad) is applied with SC-112 adhesive at various roller rpms with thermal oxide, and PETEOS, PESiH4, Accuglass® 311 siloxanes and FlareTM fluorinated poly (ethylene sulfide) applied by United Signal Corporation. Polishing rate (A / min) graph.-Order 5 is a graph of the polishing rate (A / min) of silica SOG material using various binders at the rate of poisonous phosphorus content. Figure 6 is the monitoring of motor current changes The screen of the Luxtron 2350 endpoint detector. Figure 7 (a) and (b) show the wafer surface by scanning electron microscopy (SEM) micrographs to show the surface of the wafer before and after CMP.

A 圖8顯示具有金屬接點樣本的基體,上面分別沈積著一 CVD層與S0G層。 經濟部中央標準局員工消費合作社印製 圖9顯示具有金屬接點樣本的基體,上面分別沈積著一 CVD層,SOG層,與一額外CVD層。. 較佳具體實例之詳細説明 在其最廣泛的實例中,該方/法包括提供一半導體基體的 上表面的步驟,該基體具有一介電層。此後引導CMP以移 除至少部分的介電層,其量足以於基體上形成一平坦層。 -7- 本紙浪尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 經濟部中央標隼局員工消費合作社印裝 五、發明説明(5 通常將介電成份施加於晶圓基體内,以處理成爲-1C或 另-微電子裝置。大致上適於本發明的平坦基體包含:坤 田鎵(GaAs) ’石夕與包含石夕的成份如結晶狀矽,聚矽,非結 曰“夕,外延層石夕,與二氧化,夕_2),及其混合物,其可 ';或不必於表面上具有—電路圖樣。通常基體具有一直 徑在約2封至㈣英相範圍,雖然本發明料用於較 大或較小的基體。 可知·液體介電層最好是旋轉上玻璃(簡稱S0G)如矽酸 鹽或石夕氧燒類以適當的溶劑在周.獨條件下施加在基體表面 。適當的溶劑大致包括水及有機溶劑,其量足以形成介電 材料的均勻溶液或擴散。 _ _ 市面上已有各種介電材料可用於此目的,這種適當的介 私材料可旋轉在基體上,基體包括:梦酸鹽,梦酸鹽蹲, 矽氧烷類如苯矽氧烷,甲基矽氧烷,甲基苯$氧烷,磷矽 氧烷,矽二三氧烷,甲基矽二三氧烷,甲基苯矽二三氧 ,與有機聚合物如氟化聚合物,特別是氟化聚乙烯,其 聯合信號公司以nareTNI的品牌出品,及其共聚合混合 等’但不僅限於此。有機介電即包含碳原子者較佳,若 石夕氧垸類與氟化聚乙烯則最好。較佳的妙氧燒類是非結 碎,交連的玻璃型材料,具有Si〇x的化學式,其中x大於 或等於1,且小於或等於2’並根7據矽氧烷類材料的總重量 ’而具有約2%至約90%且以從約10%至25%的有機群如烷 基群較佳,其具有約1至約1 〇個磕,芳香群具有約4至丨〇個 碳,脂肪群具有約4至10個碳,其及混合物,另外,矽 烷由物是 0曰 氧 ---:---Γ----衣-- (請先閱讀背赴之注*-事項再填寫本頁) -3 -8 - 本纸法尺度適用中國國家標率(CNS ) A4規格(210X297公釐) 五、發明説明(6 ) 經濟部中央標準局員工消費合作社印製 烷頟與一氧化矽材料也可包含約0%至〗的嶙,根據介電 材料的總莫耳比最好約從2%至4%。適用於本發明的較佳 矽氧烷類材料如聯合信號公司出品的Accuglass<&。 適當的矽氧烷類材料包含今100 PPB (十億分之一)或更 V且以或更少較佳’最好是ppB或更少個這縱 兀素雜質如鈉,鉀’氣’鎳,鎂,絡,銅,錳,鐵,鈣等 ’且最好具有莫耳量爲約1,000至約50,000,且以莫耳量約 300至約1,〇00最好。 在較佳實例中,介電材料具有_:當施加約〇 8至約7〇 的範圍時的一黏度,當根據介電材料,溶劑與水的總重 而施加從約3%至約36%的範及從約〇至約! 1%的水 量約10或更少的介電含量,最好從約2.4至約3.2,以 從約1.37至約ι·65的折射率。 可經由業界常用的傳統旋轉外層,深入外|,噴灑, 弯面外層法將介電材料施加基體上。這種方法的詳情可 考整合科技公司發行的「處理設備與自動系統」的册子 最好用旋轉外層,基體上介電膜的厚度依施加在基體的% 體介電量而定,但通常厚度在約5〇〇 A至約2微米,且最好 k、力3000 A至約9000 A。施加在基體的介電液體量從約1 ml至約10 ml,且最好從約2 ml至約8如。 在較佳實例’根據已知的旋轉^法將液體材料旋轉在 體的上表面,較佳地,從中央施加至基體的溶液中施加T 電’接著從約500 rpm至約600 rpm的速度於旋轉輪上旋轉 ,且最好在1500與4〇〇〇rpm之間,旋轉約5至6〇秒,最好是 cP 量 含 及 或 參 液 基 介 -9 Μ氏乐尺度適用中關家標準(CNS ) M規格(2咖297公楚 五、發明説明( A7 B7 10至約30秒,以便將溶液均勻地散布在整基體表面。一旦 作好,液體介電成份即在基體與間距較密的金屬導體之間 填充谷或凹地,以提供光滑效應,其表示凹地中的某些平 坦化,但是不足以使該區域4全平坦化。 將介電材料施加於基體後,即可合併介電基體,但最好 加熱一段時間,其溫度足以使任何介電膜殘餘溶液蒸發, 以減少膜的黏度,並強化基體上膜的齊平,及增加或減少 膜的密度,化學阻力性質與/或物理磨損阻力,這可由一 般熟於此技術者輕易決定。密度輿介電化學性質的變化使 其對於CMP移除力產生變化。_溫-度或加熱暴露時間的增加 會增加介電層的化學與機械性鲁·哟-變化,藉此使CMP移除 率變化。根據介電層材料與特別CMP化學黏合劑的性質, 及施加的機械壓力條件而將移除固定於較高或較低的速度 請 先 閱-讀 背 意. 事 項 再 填一ί装 頁 經濟部中央標準局員工消費合作社印製 大致上,將介電外層的基體以約50°C至約400°C的溫度 下加熱,較佳地,從約50°C至約250°C加熱約.5至10分鐘 ,且以從約1至3分鐘較佳。這最好在熱板上執行,但也可 於烤箱中執行。在較佳實例,先以50°C將介電加熱約30秒 至1分鐘,接著以約150°C加熱約30秒至1分鐘。第3次再以 約250°C加熱約30秒至1分鐘,這種加、熱後會使得液體介電 材料部分交連及固化。 ^ 加熱外層後,最後膜的厚度範圍從約0.2至約3.0 mm,從 約0.5至2.5 mm較佳,最好從約0.7至2.0 mm。由本發明產 生的膜大致上展示小於2%的厚度標準偏差,且最好小於 -10- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐)A Figure 8 shows a substrate with a metal contact sample, on which a CVD layer and a SOG layer are deposited, respectively. Printed by the Employee Consumer Cooperative of the Central Bureau of Standards of the Ministry of Economic Affairs. Figure 9 shows a substrate with metal contact samples on which a CVD layer, an SOG layer, and an additional CVD layer are deposited. . Detailed Description of the Preferred Specific Examples In its broadest example, the method includes the step of providing the upper surface of a semiconductor substrate having a dielectric layer. Thereafter, the CMP is guided to remove at least part of the dielectric layer in an amount sufficient to form a flat layer on the substrate. -7- This paper wave scale is applicable to the Chinese National Standard (CNS) A4 specification (210X 297 mm) Printed by the Consumer Standardization Facility of the Central Standard Falcon Bureau of the Ministry of Economic Affairs. 5. Description of the invention (5 The dielectric component is usually applied to the wafer substrate, It can be processed into a -1C or other-microelectronic device. The flat substrate generally suitable for the present invention includes: Kuntian gallium (GaAs) Shi Xi and ingredients containing Shi Xi such as crystalline silicon, polysilicon, non-junction " Xi, epitaxial layer shixi, with dioxide, xi_2), and mixtures thereof, may or may not have a circuit pattern on the surface. Usually the substrate has a diameter ranging from about 2 to the English phase, although The material of the present invention is used for larger or smaller substrates. It is known that the liquid dielectric layer is preferably rotated on glass (referred to as SOG) such as silicate or silicate oxygen with appropriate solvent and applied under special conditions. On the surface of the substrate, suitable solvents generally include water and organic solvents in an amount sufficient to form a uniform solution or diffusion of dielectric materials. _ _ There are various dielectric materials on the market that can be used for this purpose. Rotate on the base, the base Including: monate salt, monate salt squat, siloxanes such as phenylsiloxane, methylsiloxane, methylbenzene oxane, phosphosiloxane, silatrioxane, methylsilica Oxalane, tolyl benzotrioxane, and organic polymers such as fluorinated polymers, especially fluorinated polyethylene, its joint signal company produced under the brand nareTNI, and its copolymerization blend, etc. but not limited to this. Organic dielectrics that contain carbon atoms are preferred, and Shixi oxyalkyls and fluorinated polyethylenes are preferred. The preferred oxy-oxygens are non-fragmented, cross-linked glass materials with the chemical formula of Si〇x, Where x is greater than or equal to 1 and less than or equal to 2 'and 7 has an organic group such as an alkyl group of about 2% to about 90% and from about 10% to 25% according to the total weight of the siloxane-based material' Preferably, the group has about 1 to about 10 knots, the aromatic group has about 4 to 10 carbons, and the fat group has about 4 to 10 carbons, and mixtures thereof. In addition, the silane group is oxygen. -: --- Γ ---- clothing-- (Please read the note to go back *-items before filling out this page) -3 -8-The standard of this paper is applicable to China National Standard Rate (CNS) A4 specification ( twenty one 0X297mm) V. Description of invention (6) Printed alkane and silicon monoxide materials printed by the Employee Consumer Cooperative of the Central Bureau of Standards of the Ministry of Economic Affairs can also contain approximately 0% to〗, according to the total molar ratio of dielectric materials is best Approximately from 2% to 4%. Preferred silicone materials suitable for the present invention are Accuglass < & from United Signal Corporation. Suitable silicone materials include 100 PPB (one billionth) or More V and more preferably or less, preferably ppB or less, such as sodium, potassium, "gas" nickel, magnesium, complex, copper, manganese, iron, calcium, etc. The amount of ears is about 1,000 to about 50,000, and the molar amount is about 300 to about 1,000. In a preferred example, the dielectric material has a viscosity when applied in the range of about 0.8 to about 70, when applied from about 3% to about 36% based on the total weight of the dielectric material, solvent and water Fan and from about 0 to about! The 1% water content has a dielectric content of about 10 or less, preferably from about 2.4 to about 3.2, and a refractive index from about 1.37 to about ι · 65. The dielectric material can be applied to the substrate through the traditional rotating outer layer commonly used in the industry, deep into the outer |, spraying, and curved outer layer method. For details of this method, consider the booklet "Processing Equipment and Automatic Systems" issued by the integrated technology company. It is best to use a rotating outer layer. The thickness of the dielectric film on the substrate depends on the% of the dielectric constant applied to the substrate, but usually the thickness At about 500A to about 2 microns, and preferably k, force 3000A to about 9000A. The amount of dielectric liquid applied to the substrate is from about 1 ml to about 10 ml, and preferably from about 2 ml to about 8. In a preferred example, the liquid material is rotated on the upper surface of the body according to a known rotation method, preferably, the T is applied to the solution applied from the center to the substrate, and then the speed is from about 500 rpm to about 600 rpm. Rotate on the rotating wheel, and preferably between 1500 and 4,000 rpm, rotate for about 5 to 60 seconds, preferably cP content and or liquid reference base -9 M's scale is suitable for Zhongguanjia standard (CNS) M specifications (2 coffee 297 g. V. Description of the invention) (A7 B7 10 to about 30 seconds, in order to spread the solution evenly on the surface of the whole substrate. Once it is done, the liquid dielectric components are densely spaced in the substrate The metal conductors are filled with valleys or concave grounds to provide a smooth effect, which means some flattening in the concave ground, but not enough to fully planarize the area 4. After applying the dielectric material to the substrate, the dielectric can be merged The substrate, but it is best to heat it for a period of time, the temperature is sufficient to evaporate any residual solution of the dielectric film, to reduce the viscosity of the film, and to strengthen the level of the film on the substrate, and increase or decrease the film density, chemical resistance properties and / Physical wear resistance, which can be It is easy for those skilled in the art to decide. Changes in the density and electrochemical properties cause changes in the CMP removal force. The increase in temperature or heating exposure time will increase the chemical and mechanical properties of the dielectric layer. -Variation, thereby changing the CMP removal rate. Depending on the nature of the dielectric layer material and the special CMP chemical adhesive, and the mechanical pressure conditions applied to fix the removal at a higher or lower speed, please read first-read Contrary to the matter. Fill in another page. Printed by the Employee Consumer Cooperative of the Central Standards Bureau of the Ministry of Economy. Generally, heat the substrate of the dielectric outer layer at a temperature of about 50 ° C to about 400 ° C, preferably from about Heating from 50 ° C to about 250 ° C for about .5 to 10 minutes, and preferably from about 1 to 3 minutes. This is best performed on a hot plate, but can also be performed in an oven. In a preferred example, first The dielectric is heated at 50 ° C for about 30 seconds to 1 minute, and then heated at about 150 ° C for about 30 seconds to 1 minute. The third time is heated at about 250 ° C for about 30 seconds to 1 minute, this addition, After heating, the liquid dielectric material will be partially cross-linked and cured. ^ After heating the outer layer, the final film thickness ranges from about 0. 2 to about 3.0 mm, preferably from about 0.5 to 2.5 mm, preferably from about 0.7 to 2.0 mm. The film produced by the present invention generally exhibits a thickness standard deviation of less than 2%, and preferably less than -10- the paper size Applicable to China National Standard (CNS) A4 specification (210X 297mm)

I 經濟部中央標準局員工消費合作社印製 299463_^_五、發明説明(8 ) 1%的平均膜厚度。 CMP之前介電層也可作處理循環,其由一層與一段時間 界定,其係固化與改變介電層的化學成份所需者。於較佳 處理實例中,加熱的介電層會使得介電成份中的機械硬度 與化學改變增加,這是在250°C至約1000°C的溫度下加熱 約5分鐘至約240分鐘,於300°C至800°C的溫度下加熱約30 分鐘至約120分鐘,最好是於350°C至450°C的溫度下加熱 約30分鐘至約120分鐘以便對於該層作進一步處理。 在另一處理實例中,於移除介.聲層之前可在足以處理介 電材料的條件下將介電層暴霧在電子光束輻射下。藉由一 氣體的存在而暴露基體表面至-n電-子束而處理介電處理的 基體,此氣體選自一群,其由氧,氬,氮,氦及其混合基 體組成,且最好是由氧,氬,氮及其混合物組成。若是氮 氣更好,暴露-電子束的溫度依最後膜的期望特徵及期望的 處理時間長度而定。一般熟於此技術者可輕易的將暴露條 件最佳化以得到期望結果,但溫度會大致在約25°C至約 250°C的範圍中,且最好在約150°C至250°C之間。電子束 處理時的壓力會在約10 mtorr至約200 mtorr之間,且最好 在約10 mtorr至約40 mtorr之間。電子束暴露的時間依施加 在基體的射束劍的強度而定,一般熟於此技術者可輕易將 暴露條件最佳化以得到期望的結-果,但大致上暴露會在約 5至45分鐘的之間,於施加2000至約50,000的電子束劑下, 則最好從約7至約15分鐘,較佳地,約7500至約10,000微庫 倫/cm。電子束的加速電壓的範圍從約5至1 5 KeV。選擇的 -11 - (請先閱讀背面之注*事項再填寫本頁) .裝. 、\*a 泉 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 五、發明説明(g ) A7 B7 經濟部中央標準局員工消費合作社印裝 劑量與能量依要處理的膜的厚度而定。可將介電外層基體 暴露至任何室中的電子束,其具有一裝置以提供電子束輻 射至置入其中的基體。通常室也配有—裝置以便將電子射 =氣狀的大氣,其包含:氧,氬,氮,氣及其混合物,且 最好是氧,氬,氮,以便同時以電子束暴露。在較佳實例 中,將介電外層基體置入一室,其由加州聖地牙哥市的電 子影像公司以「電子處理」的商品銷售,其操作原理與性 能特徵如美國專利第5,001,178號所述,在此併供參考。 將介電層作最佳處理後,可根據已知的方法而將介電層 作CMP處理。這種處理方法的詳情在業界很著名,如美國 專利第5’5 16,729號所述,在此脊-供-參考。大致上,藉由用 損傷粉黏合劑將介電層損傷而執行化學機械磨光步驟,咳 黏合劑由鹼二氧化矽,煙燻的二氧化矽或鈽$化物組成, 使用一磨光墊如發泡的氟磷磨光墊,其利二用從5至約2〇 Ibs/m2的壓力,最好是從約5至1〇11„/丨112。較佳的黏合劑是 鈽氧化物,具有約14至約i〇Q nm的結晶大小。且以14至約 20 nm較佳。較佳地,黏合劑成份具有的pH範園是從約 至約11,或從約10.3至約11較佳。特別有效的黏合 大致上包含SCI12,其係煙壞二氧切,由伊州奥:拉的 加寶公司出品,具有10.3的pH ;而11^1300是—種煙燻二 氧化矽,由亞州史考代市的羅得-公司出品,且具有^‘‘0: pH,而SS25係一煙燻二氧化矽由加寶公司出品,、具有⑺^ 的pH。較佳地,用市售的磨光劑作CMp,這種劑如ipEc/ 西方公司出品的亞芳尼372或472,具有約1(^i〇〇rpm的衰 12- 本纸張尺度適用中國國家標準(CNS ) A4規格(210χ297公釐) (請先聞讀背面之注意事項再填寫本頁) •裝. 訂 ,Α 1- «^1— I. I I I 1 - 經濟部中央標準局員工消費合作社印製 A7 ___五、發明説明(1〇 ) 筒速度,從約25至約60 rpm最佳,而從磨光塾介電基體表 面的向下壓力則從約5至20 psi,最好從7至11 psi。可執行 CMP約.5至約60分鐘。較佳地,從.5至約30分鐘,從約.5 至約60分鐘更好。移除的介電^層的量通常依施加的介電層 厚度而定。 將介電層部分移除,以便於移除這些部分後,殘餘層即 比先前的CMP更平,以下的廣泛例子在説明本發明。 例1 ·· SOG外層晶圓的製備 藉由將3至4 ml的SOG施加在晶.词表面,即可以矽氧烷類 SOG外層於6英吋直徑的矽上_,該SOG是由聯合信號公司 出品的Accuglass® 311,接著將-晶旋轉SOG外層軌上,以 約3 50 rpm在72°F下外層2秒,該軌係DNS公司製造的。外 層晶圓後又在相似條件下以3000 rpm旋轉約20秒,接著於 熱板上的外層軌中於120秒的3個連續時段中--在80°C,120 °C,175°C下加熱。 於步驟1中外層的晶圓接著於MRL公司製造的爐子中處 理,其條件是425°C與一大氣壓並使用氮以產生處理過的 介電層。 例2 :磨光晶圓的厚度 由例1產生的晶圓接著以IPEC/西方公司出品的亞芳尼 472磨光,以及具有10.3 pH至ΙΚρΗ的pH。以向下壓力7.0 psi板速度28 rpm,在2 mm/分鐘的速度下於5 mm的範圍内 振盪。使用羅得公司出品的1C 1000磨光墊於110°F下磨光 。黏合劑的流率是13 0 ml/分鐘。於CMP之前使用天工公司 -13- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) -装· -53 經濟部中央標準局員工消費合作社印製 A7 ___五、發明説明(11 ) 製造的澎米工具來預測量晶圓厚度,方法是在晶圓上的25 個不同位置中,接著將25個値平均。 於CMP後接著將磨光SOG外層晶圓作後測量,於晶圓上 的25所述不同位置中求出厚或,接著將25個値平均。從預 測量厚度中減去後測量厚度即可得到磨光率。用澎米工具 可作自動計算圖1(a)顯示於氮大氣下以425°C作熱處理60分 鐘後的SOG Accuglass® 3 11的原子力微圖片(AFM)影像,及 (b)是以SC-112黏合劑作CMP處理後的SOG Accuglass® 311 的AFM影像。圖2顯示SOG Accugtass® 3 11的磨光率(A/分鐘) 的圖形,從0至2000 A/分鐘在具有10.3 pH至11 pH的pH 値的黏合劑下作處理。 _ —— 例3 :以不同有機比例來製備晶圓 於爐中的裸露矽晶圓上長出一熱氧化物膜,以製造一熱 Μ.ι N> 氧化物晶圓其接著根據例1中所述的程序作熱-處理。例1中 使用的額外S0G層則根據例1的程序而施加其上,接著於 DNS公司製造的眞空爐中處理晶圓,以產生眞空處理的 SOG晶圓。圖3顯示不同介電表面的磨光率,其係SOG有 機含量的函數。此例子顯示熱氧化物膜的磨光率,熱處理 的SOG與眞空處理的S0G是SOG中有機含量的函數。 例4 :使用不同S0G材料的晶圓製備 重覆例1但是以不同介電S0G材料,如熱氧化物, PETEOS,PESiH4,Accuglass® 3 11矽氧烷類與 FLAREtn^ 化聚(乙烯)。此例子是CMP磨光率的典型實例,如圖4(a-d) 所示,係磨光下壓力與各種SOG材料的滾筒rpm的函數。 -14- 本紙浪尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) (請先閱讀背命之注意事項再填寫本頁) 五、發明説明(12 丄 0 不ίΑΜΛΑΜ. 例 以具有不同磷重量%的不同S0G材科來重覆例丨,這是由 聯合信號公司以Accuglass® 203AS,P112A,P114A的商品 出售。接著以亞芳尼472磨光刳來磨光,其使用sc_n2, ss_ 25,ILD-1300黏合劑。如例2所示將晶圓厚度作預測量與 後測量。磨光率是磷含量的函數如圖5所示,此例子顯示 使用具有各種磷含量的SOG材料。 麗的製備來僧測竑點 可在整個介電層中作CMP直到達到下層。此下層通常是 具有金屬導體與氧化物層的基體,當部分期望的下層於 CMP時到達,則到達了停止點条端濃。 將具有2kA厚度一層TE0S經由CVD以約35〇χ至4〇〇β(:的 溫度及10 mt〇rr的壓力施加於聚矽晶圓。將色圓以例i的方 法處理後,晶圓接著類似地用另一厚度8kAf 一層吓郎膜 沈積。 用露克同2350端點偵測系統來磨光與監測晶圓的製備, 以觀察晶圓磨光頭的定旋轉速度,以保持一定的磨光率, 結果如圖6所示。 經濟部中央樣準局員工消費合作社印製 外層晶圓的竑點偵測 以CVD膜,PESiH4的不同層來重覆例6,以產生測試晶 圓,接者用露克同2350端點偵測-系統來磨光與監測晶圓的 製備,以觀察晶圓磨光頭的定旋轉速度,以保持一定的磨 光率。 二I Printed by the Employees Consumer Cooperative of the Central Bureau of Standards of the Ministry of Economic Affairs 299463 _ ^ _ V. Description of the invention (8) 1% average film thickness. Before CMP, the dielectric layer can also be used for processing cycles, which is defined by a layer and a period of time, which is required for curing and changing the chemical composition of the dielectric layer. In the preferred processing example, the heated dielectric layer will increase the mechanical hardness and chemical changes in the dielectric composition. This is heated at a temperature of 250 ° C to about 1000 ° C for about 5 minutes to about 240 minutes. It is heated at a temperature of 300 ° C to 800 ° C for about 30 minutes to about 120 minutes, preferably at a temperature of 350 ° C to 450 ° C for about 30 minutes to about 120 minutes for further processing of the layer. In another processing example, the dielectric layer can be exposed to electron beam radiation under conditions sufficient to process the dielectric material before removing the dielectric layer. Dielectrically processed substrates are processed by exposing the surface of the substrate to the -n electron-beam by the presence of a gas selected from a group consisting of oxygen, argon, nitrogen, helium and their mixed substrates, and preferably Composed of oxygen, argon, nitrogen and their mixtures. If nitrogen gas is better, the temperature of the exposure-electron beam depends on the desired characteristics of the final film and the desired length of treatment time. Generally, those skilled in the art can easily optimize the exposure conditions to obtain the desired results, but the temperature will be roughly in the range of about 25 ° C to about 250 ° C, and preferably about 150 ° C to 250 ° C between. The pressure during electron beam treatment will be between about 10 mtorr and about 200 mtorr, and preferably between about 10 mtorr and about 40 mtorr. The exposure time of the electron beam depends on the intensity of the beam sword applied to the substrate. Generally, those skilled in the art can easily optimize the exposure conditions to obtain the desired results, but generally the exposure will be about 5 to 45. Between minutes, with the application of an electron beam agent from 2000 to about 50,000, it is preferably from about 7 to about 15 minutes, preferably, from about 7500 to about 10,000 microcoulombs / cm. The acceleration voltage of the electron beam ranges from about 5 to 15 KeV. Selected -11-(please read the note * on the back before filling in this page). Installed. \ * A The size of the Izumi paper is applicable to the Chinese National Standard (CNS) A4 specification (210X 297mm) V. Description of invention ( g) A7 B7 The printing dose and energy of the Employees ’Cooperative of the Central Bureau of Standards of the Ministry of Economic Affairs depend on the thickness of the film to be processed. The dielectric outer substrate can be exposed to the electron beam in any chamber, and it has a device to provide irradiation of the electron beam to the substrate placed therein. Usually the chamber is also equipped with a device to emit electrons into a gaseous atmosphere, which contains: oxygen, argon, nitrogen, gas and mixtures thereof, and preferably oxygen, argon, nitrogen, so as to be simultaneously exposed with an electron beam. In a preferred example, the dielectric outer substrate is placed in a room, which is sold by the electronic imaging company of San Diego, California as "electronic processing", and its operating principle and performance characteristics are as described in US Patent No. 5,001,178 Said, and here for reference. After the dielectric layer is optimally processed, the dielectric layer can be CMP-processed according to known methods. The details of this processing method are well known in the industry, as described in U.S. Patent No. 5'5 16,729, here for reference. Roughly, the chemical mechanical polishing step is performed by damaging the dielectric layer with a damage powder adhesive. The cough adhesive is composed of alkali silica, smoked silica or plutonium compound, using a polishing pad such as The foamed fluorophosphorus polishing pad uses a pressure of from 5 to about 20 Ibs / m2, preferably from about 5 to 1011 ... / 丨 112. The preferred adhesive is plutonium oxide, It has a crystal size of about 14 to about 100 nm. And preferably 14 to about 20 nm. Preferably, the binder component has a pH range of from about to about 11, or from about 10.3 to about 11. Good. The particularly effective bond generally includes SCI12, which is a smoke-dioxygen dioxygen, produced by Jiabao Company of Yizhou Ao: La, with a pH of 10.3; and 11 ^ 1300 is a kind of smoked silica, by It is produced by Rhode Company in Scottsdale, Yazhou, and has ^ '' 0: pH, and SS25 is a smoked silica produced by Garbo Company, and has a pH of ⑺ ^. Preferably, use the city The polishing agent is sold as CMp, such as ipEc / Avalon 372 or 472 produced by Western company, with a decay of about 1 (^ i〇〇rpm 12- This paper scale is applicable to the Chinese National Standard (C NS) A4 specification (210 × 297 mm) (please read the precautions on the back before filling in this page) • Pack. Order, Α 1- «^ 1— I. III 1-Printed by the Staff Consumer Cooperative of the Central Bureau of Standards of the Ministry of Economic Affairs A7 ___V. Description of the invention (1〇) The barrel speed is preferably from about 25 to about 60 rpm, and the downward pressure from the surface of the polished dielectric substrate is from about 5 to 20 psi, preferably from 7 to 11 psi. CMP can be performed from about .5 to about 60 minutes. Preferably, from .5 to about 30 minutes, preferably from about .5 to about 60 minutes. The amount of dielectric layer removed is generally dependent on the applied Depending on the thickness of the dielectric layer, the dielectric layer is partially removed so that after removing these parts, the residual layer is flatter than the previous CMP. The following broad examples illustrate the invention. Example 1 · SOG outer wafer It is prepared by applying 3 to 4 ml of SOG on the surface of the crystal, that is, a silicon oxide-based SOG can be coated on a 6-inch diameter silicon. The SOG is Accuglass® 311 produced by United Signaling Corporation, and then Rotate the -Crystal on the outer SOG rail at about 3 50 rpm at 72 ° F for 2 seconds. The rail is made by DNS. The outer wafer is again under similar conditions Rotate at 3000 rpm for about 20 seconds, then heat in the outer layer rail on the hot plate in 3 consecutive periods of 120 seconds-at 80 ° C, 120 ° C, 175 ° C. In step 1, the outer layer crystal The circle is then processed in a furnace manufactured by MRL under the conditions of 425 ° C and atmospheric pressure and nitrogen is used to produce a processed dielectric layer. Example 2: Polished wafer thickness The wafer produced in Example 1 is then processed by Avalon 472 produced by IPEC / Western Company is polished and has a pH of 10.3 pH to ΙΚρΗ. Oscillate within a range of 5 mm at a down pressure of 7.0 psi and a plate speed of 28 rpm at a speed of 2 mm / minute. Use a 1C 1000 polishing pad from Rhodes to polish at 110 ° F. The flow rate of the adhesive is 130 ml / min. Use tiangong company before CMP-13- This paper scale is applicable to China National Standard (CNS) A4 specification (210X297mm) (please read the precautions on the back before filling in this page) -installed -53 Central Bureau of Economic Affairs Employee consumer cooperatives printed A7 ___ 5. Description of the invention (11) The Pengmi tool manufactured to predict the thickness of the wafer by averaging 25 values in 25 different locations on the wafer. After CMP, the polished SOG outer wafer is post-measured, and the thickness OR is found at 25 different positions on the wafer, and then the 25 values are averaged. The polishing rate can be obtained by subtracting the pre-measured thickness from the pre-measured thickness. The Pengmi tool can be used for automatic calculation. Figure 1 (a) shows the atomic force micrograph (AFM) image of SOG Accuglass® 3 11 after heat treatment at 425 ° C for 60 minutes under nitrogen atmosphere, and (b) is SC- AFM image of SOG Accuglass® 311 with 112 adhesive as CMP treatment. Figure 2 shows the graph of the polishing rate (A / min) of SOG Accugtass® 3 11 from 0 to 2000 A / min under a binder with a pH value of 10.3 pH to 11 pH. _ —— Example 3: Preparation of wafers with different organic ratios. A thermal oxide film was grown on the exposed silicon wafer in the furnace to produce a thermal oxide film. Then the oxide wafer was followed according to Example 1. The procedure described is heat-treated. The additional SOG layer used in Example 1 was applied to it in accordance with the procedure of Example 1, and then the wafer was processed in an empty furnace manufactured by DNS to produce an empty SOG wafer. Figure 3 shows the polishing rate of different dielectric surfaces as a function of the organic content of SOG. This example shows the polishing rate of the thermal oxide film, the heat-treated SOG and the void-treated SOG as a function of the organic content in the SOG. Example 4: Wafer preparation using different SOG materials Repeat Example 1 but with different dielectric SOG materials, such as thermal oxide, PETEOS, PESiH4, Accuglass® 3 11 siloxanes and FLAREtn ^ poly (ethylene). This example is a typical example of CMP polishing rate. As shown in Fig. 4 (a-d), it is a function of the pressure under polishing and the rpm of various SOG materials. -14- This paper wave scale is applicable to the Chinese National Standard (CNS) A4 specification (210 X 297 mm) (please read the precautions before filling this page) 5. Description of the invention (12 丄 0 不 ίΑΜΛΑΜ. The different SOG materials with different phosphorus weight% repeat the example, which is sold by United Signal Company as Accuglass® 203AS, P112A, P114A. Then it is polished with Avoni 472 polishing, which uses sc_n2, ss_25, ILD-1300 adhesive. The wafer thickness is predicted and post-measured as shown in Example 2. The polishing rate is a function of the phosphorus content as shown in Figure 5, this example shows the use of SOG materials with various phosphorus content The preparation of Lai is to measure CMP in the entire dielectric layer until it reaches the lower layer. This lower layer is usually a substrate with a metal conductor and an oxide layer. When some of the desired lower layers arrive during CMP, the stop is reached The dots are thick. A layer of TEOS with a thickness of 2kA is applied to the polysilicon wafer by CVD at a temperature of about 35〇x to 400〇β (: and a pressure of 10 mt〇rr. The color circle is processed as in example i After that, the wafer is then similarly replaced with another thickness of 8kAf A layer of scar film is deposited. Use Luke's 2350 endpoint detection system to polish and monitor the preparation of the wafer to observe the fixed rotation speed of the wafer polishing head to maintain a certain polishing rate. The results are shown in Figure 6. The point detection of the outer wafers printed by the Employee Consumer Cooperative of the Central Prototype Bureau of the Ministry of Economic Affairs is repeated with the CVD film and different layers of PESiH4 in Example 6 to generate test wafers. Detection-system to polish and monitor the preparation of the wafer to observe the fixed rotation speed of the wafer polishing head to maintain a certain polishing rate.

A7B7 五、發明説明(13 ) 例8 SEM顯示當於一裸露沒打樣的矽晶圖上重覆例1與2時, 藉由SOG/CMP的合併過程而得到的部分與整體平坦化。圖 7(a)與(b)顯示CMP之前與之後y的晶圓表面。 例9 重覆例1與2,除了用於CMP的黏合劑是鈽氧化物,在去 破水,pH,粒子大小的各種重量比之下,而介電層是由 Accuglass®311石夕氧淀類,Accuglass®418曱基石夕二三氧烷 ,FlareTM氟化聚(乙烯)與TEOS。一以例2方式測量磨光率, 結果如表1所示。 _ — (請先閱讀背面之注意事項再填寫本頁) .叫裝 經濟部中央標準局員工消費合作社印製 表 *1 一 黏合劑 磨光率(A/分鐘) 晶格* %CeO PH 粒子 Accuglass® Accuglass® Flare TEOS 大小 311 418 晶格1 10% (+) 7.0㈩ 350 nm (+) 2484 9900 4000 6000 晶格2 10%⑴ 2.8 (-) 20 nm (-) 2833 165 1034 740 晶格3 10%(+) 7.0 (+) 170 nm (-) 2490 3719 5000 2120 晶格4 10% (+) 2.8 (-) 350 nm (+) 519 4263 4655 1135 晶格5 3% (-) 2.8 (-) 20 nm (-) 1092 1053 1295 1321 晶格6 3% (-) 7.〇(+) 170 nm (-) 5328 10278 1650 5124 晶格7 3% (-) 7.0 (+) 350 nm (+) 5060 2171 4380 2432 晶格8 3% (-) 2.8㈠ 350 nm (+) 248 8172 2432 1434 *晶格是指澎米測量工具的晶格。 -16- 本紙張尺度適用中國國家標準(CN'S ) A4規格(210X297公釐) 、vaA7B7 V. Description of the invention (13) Example 8 SEM shows that when Examples 1 and 2 are repeated on a bare silicon pattern that is not proofed, the part and the whole obtained by the SOG / CMP merge process are flattened. Figures 7 (a) and (b) show the wafer surface before and after CMP. Example 9 Repeat Examples 1 and 2, except that the binder used for CMP is plutonium oxide, under various weight ratios of dewatering, pH, and particle size, and the dielectric layer is made of Accuglass®311 , Accuglass® 418 methyl stone dioxin, FlareTM fluorinated poly (ethylene) and TEOS. First, the polishing rate was measured in Example 2 and the results are shown in Table 1. _ — (Please read the precautions on the back before filling out this page). Ordered to print a table by the Employees ’Consumer Cooperative of the Central Bureau of Standards of the Ministry of Economic Affairs * 1 One binder polishing rate (A / min) Lattice *% CeO PH particles ® Accuglass® Flare TEOS Size 311 418 Lattice 1 10% (+) 7.0㈩ 350 nm (+) 2484 9900 4000 6000 Lattice 2 10% (2.8) (-) 20 nm (-) 2833 165 1034 740 Lattice 3 10 % (+) 7.0 (+) 170 nm (-) 2490 3719 5000 2120 Lattice 4 10% (+) 2.8 (-) 350 nm (+) 519 4263 4655 1135 Lattice 5 3% (-) 2.8 (-) 20 nm (-) 1092 1053 1295 1321 lattice 6 3% (-) 7.〇 (+) 170 nm (-) 5328 10278 1650 5124 lattice 7 3% (-) 7.0 (+) 350 nm (+) 5060 2171 4380 2432 Lattice 8 3% (-) 2.8㈠ 350 nm (+) 248 8172 2432 1434 * Lattice refers to the lattice of the Pengmi measurement tool. -16- This paper scale is applicable to China National Standard (CN'S) A4 specification (210X297mm), va

J 經濟部中央標準局員工消費合作社印製 A 7 B7五、發明説明(14 ) SOG/CMP過程的最重要使用之一是端點控制,即當磨光 通過介電層而到達下層時,即CMP結束時操作者的控制點 。下層通常是具有金屬導體與氧化物層的基體,當藉由磨 光操作而到達部分下層時,則_到達了停止點或端點。SOG 層的使用於平坦化過程中有一停止層如圖8與9所示,其顯 示一矽基體1具有一金屬接觸樣本,其中沈積PETEOS或 PETEOS的一 CVD層3,接著是SOG層4。圖9顯示PESiHyil PETEOS的另一 CVD夕卜層5,可執行CMP步驟,其中磨光可 於SOG層4,C VD層5或VCD層3中-停止,這是依端點使用 而定0 一. 將會了解的是熟於此技術者不從冰文揭示的方法中得到 啓示,即本發明能應用於多層互連,且可重覆以形成各互 連層之間的層間介電。此外也可了解的是本發明顯示與説 明的形式僅係目前的典型較佺實例,如申請拳利範圍所述 ,在不偏離本發明之精神與範圍情況下,可對本發明作各 種修正及變化。而以下申請專利範圍的目的是要將其解釋 爲包含所有的這種變化與正確。 — — — d- 一 t (請先閣讀背面之注意事項再填寫本頁) 訂 -17- 本纸張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐)J A7 B7 printed by the Staff Consumer Cooperative of the Central Bureau of Standards of the Ministry of Economy V. Description of the invention (14) One of the most important uses of the SOG / CMP process is endpoint control, that is, when polishing reaches the lower layer through the dielectric layer, ie The operator's control point at the end of the CMP. The lower layer is usually a substrate with a metal conductor and an oxide layer. When a part of the lower layer is reached by the polishing operation, the stop point or the end point is reached. The use of the SOG layer is a stop layer as shown in FIGS. 8 and 9 during the planarization process, which shows that a silicon substrate 1 has a metal contact sample in which a CVD layer 3 of PETEOS or PETEOS is deposited, followed by an SOG layer 4. Fig. 9 shows another CVD layer 5 of PESiHyil PETEOS, which can perform the CMP step, in which the polishing can be stopped in the SOG layer 4, the C VD layer 5 or the VCD layer 3, which depends on the endpoint usage It will be understood that those skilled in the art do not draw inspiration from the method disclosed in the ice article, that is, the present invention can be applied to multilayer interconnections and can be repeated to form interlayer dielectrics between the interconnection layers. In addition, it can also be understood that the form of display and description of the present invention is only a typical example at present. As described in the scope of the application, the present invention can be modified and changed without departing from the spirit and scope of the present invention. . The purpose of the following patent application scope is to interpret it to include all such changes and correctness. — — — D- a t (please read the precautions on the back before filling in this page) Order -17- This paper size is applicable to China National Standard (CNS) A4 specification (210X 297mm)

Claims (1)

1. 1. A8 Μ C8 D8 申請專利範圍 種用以形成—無空洞連續之平坦化基體之方法,此方 法包含: (a) 施加一介電成份至一基體表面,其量足以均勻地塗 覆及%充在表面上之空隙;及 (b) 對1¾介電成份執行—化學機械磨光步驟,直到該基 體表面上之該介電成份大致平坦化爲止。 2_根據申请專利範圍第1項之方法,更包含在一溫度下加 熱介電成份與基體,其加熱時間足以於步驟(a)之後但於 步驟(b)之前,於基體上形成一.嗅續之乾介電膜。 3. 根據申清專利範圍第1項之―方法,其中介電成份包含一 材料’其選自由石夕酸鹽 '碎鹽^难、石夕氧垸、梦二三氧 燒、有機聚合物、共聚合物及其混合物組成之群3 4. 根據申請專利範圍第1項之方法’其中該介電成份包含 一秒氧烷,其根據該矽氧烷之總重而具有,2%至約90% 之有機群,、其包含:具有約1至約1 〇個碳之烷基群,具 有約4至約1〇個碳之芳香群,具有約4至約1〇個碳之脂肪 群,或其混合物。 5_根據申請專利範圍第2項之方法’其中於步驟(b)之前在 從約25°C至约250eC溫度下,藉由一額外後續加熱而再 烘乾該介電成份。 - 6. 根據申請專利範圍第2項之方祛,其中藉由一電子.束烘 乾處理再烘乾該介電成份。- 7. 根據申請專利範圍第1項之方_法,其中以一烷基二氧化 矽黏合劑作化學機械磨光。 18- 本纸張尺度速用中國國家標準(CNS ) A4規格(2丨〇X297公廣) !^,---Η装------訂! |~?來 (請先閲讀背®-之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 A8 B8 C8 D8 六、申請專利範圍 8·根據申請專利範圍第1項之方法,其中該表面包含:— 金屬電導體之圖樣,及在金屬接點上之一氧化層。 9. 根據申請專利範圍第【項之方法,其中該表面包含一 金屬電導體之圖樣,及在考屬接點上之一氧化物層,而 該方法更包含於執行步驟(b)之前,將一第二氧化物層沈 積於介電層上。 10. —種基體,係根據申請專利範圍第i項之方法而製造。 11. 一種微電子裝置,係包含根據申請專利範園第i項之 體。 -一 12. —種用以處理一半導體基體表面之方法,此方法包含· a)旋轉沈積一層液體介電戎_喻^ —半導體基體之表面 (請先閣讀背赴之注意事項再填寫本頁) -裝· b) 在一溫度下加熱該介電層,其加熱時間足以於表 上形成一連續之乾介電層;及 〜 c) 以化學機械磨光介電層之方式移除至少—部分介 層0 面 電 、1Τ 經濟部中央標準局®Λ工消費合作社印製 -19- 本紙張尺度適用中國國家橾準(CNS ) ( 210X29?公釐)1. 1. A8 Μ C8 D8 patent application method to form-a cavity-free continuous flattening substrate, this method includes: (a) applying a dielectric component to a substrate surface in an amount sufficient to evenly coat And% fill the voids on the surface; and (b) perform a chemical mechanical polishing step on the dielectric component until the dielectric component on the surface of the substrate is substantially flattened. 2_ The method according to item 1 of the patent application scope further includes heating the dielectric component and the substrate at a temperature, the heating time of which is sufficient after step (a) but before step (b) to form a olfactory on the substrate Continued dry dielectric film. 3. According to item 1 of the scope of the patent application-method, where the dielectric component contains a material 'selected from Shixi salt' broken salt ^ Nan, Shixi oxygen embankment, Mengditrioxane, organic polymer, Group 3 composed of co-polymers and their mixtures 4. The method according to item 1 of the patent application scope 'wherein the dielectric component contains one second of oxane, which is based on the total weight of the siloxane, from 2% to about 90 % Organic group, which includes: an alkyl group having about 1 to about 10 carbons, an aromatic group having about 4 to about 10 carbons, an aliphatic group having about 4 to about 10 carbons, or Its mixture. 5_ The method according to item 2 of the patent application scope wherein the dielectric component is dried by an additional subsequent heating at a temperature from about 25 ° C to about 250eC before step (b). -6. According to Item 2 of the patent application scope, the dielectric component is dried by an electron beam drying process. -7. According to the method of item 1 of the patent application scope, which uses an alkyl silicon dioxide binder for chemical mechanical polishing. 18- This paper uses the Chinese National Standard (CNS) A4 specifications (2 丨 〇297297)! ^, --- Η 装 ------ book! | ~? Come (please read the precautions of back ®- before filling this page) A8 B8 C8 D8 printed by the Employee Consumer Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs 6. Scope of patent application 8. According to the method of item 1 of the patent application scope, The surface includes:-a pattern of metal electrical conductors, and an oxide layer on the metal contacts. 9. According to the method in item [item of the scope of patent application, wherein the surface contains a pattern of a metal electrical conductor and an oxide layer on the test contact, and the method is further included before performing step (b), A second oxide layer is deposited on the dielectric layer. 10.-This kind of matrix is made according to the method of patent application scope item i. 11. A microelectronic device comprising the body according to item i of the patent application. -一 12. —A method for treating the surface of a semiconductor substrate, this method includes a) spin-depositing a layer of liquid dielectric material_ Yu ^ —surface of a semiconductor substrate (please read the precautions before filling in this booklet Page)-Installation · b) Heating the dielectric layer at a temperature for a heating time sufficient to form a continuous dry dielectric layer on the surface; and ~ c) removing at least the dielectric layer by chemical mechanical polishing —Part of the interlayer 0 surface electricity, 1T Printed by the Central Standards Bureau of the Ministry of Economic Affairs® Λ 工 consumer cooperatives-19- This paper size is applicable to the Chinese National Standard (CNS) (210X29? Mm)
TW85108236A 1995-06-26 1996-07-08 TW299463B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US51595P 1995-06-26 1995-06-26
US51596P 1996-06-24 1996-06-24

Publications (1)

Publication Number Publication Date
TW299463B true TW299463B (en) 1997-03-01

Family

ID=51565569

Family Applications (1)

Application Number Title Priority Date Filing Date
TW85108236A TW299463B (en) 1995-06-26 1996-07-08

Country Status (1)

Country Link
TW (1) TW299463B (en)

Similar Documents

Publication Publication Date Title
JP3264936B2 (en) Method for forming a continuously planarized surface without voids
US6214748B1 (en) Semiconductor device and method for the fabrication thereof
TWI358105B (en) Method for fabricating semiconductor device
JP4142941B2 (en) Manufacturing method of semiconductor device
US6153525A (en) Methods for chemical mechanical polish of organic polymer dielectric films
CN100356521C (en) Ordered two-phase dielectric film, and semiconductor device containing the same
KR20020019877A (en) Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate
EP0686680A1 (en) Isolation film of semiconductor device, coating fluid for forming the film, and process for producing the film
EP1814150A1 (en) Coating liquid for formation of protective film for semiconductor processing, method for preparation thereof, and protective film for semiconductor processing provided therefrom
EP1543549A1 (en) Interlayer adhesion promoter for low k materials
JP4709506B2 (en) Electrical interconnection structure and method of forming the same
Chen et al. Chemical Mechanical Polishing of Low‐Dielectric‐Constant Polymers: Hydrogen Silsesquioxane and Methyl Silsesquioxane
Standaert et al. Etching of xerogel in high-density fluorocarbon plasmas
WO1998050945A2 (en) Low density film for low dielectric constant applications
TW299463B (en)
JP2006503165A (en) Organosiloxane
JPH09241518A (en) Resin composition and method for forming multilayer interconnection
Schulz et al. Influence of barrier and cap layer deposition on the properties of capped and non-capped porous silicon oxide
CA2431993A1 (en) Layered dielectric nanoporous materials and methods of producing same
JP2000286255A (en) Manufacture for semiconductor device
Ryuzaki et al. Chemical mechanical polishing with nanocolloidal ceria slurry for low-damage planarization of dielectric films
CN1488170A (en) Planarizers for spin etch planarization of electronic components and methods of use thereof
JP2003059866A (en) Cmp abrasive and method of polishing board
Hashim et al. Characterization and optimization of inorganic spin on glass process for inter-metal dielectric using field emission scanning electron microscopy
JPH0362588A (en) Wiring board and manufacture thereof