TW268140B - Process for lightly doped drain with shallow trench and device thereof - Google Patents

Process for lightly doped drain with shallow trench and device thereof Download PDF

Info

Publication number
TW268140B
TW268140B TW83105232A TW83105232A TW268140B TW 268140 B TW268140 B TW 268140B TW 83105232 A TW83105232 A TW 83105232A TW 83105232 A TW83105232 A TW 83105232A TW 268140 B TW268140 B TW 268140B
Authority
TW
Taiwan
Prior art keywords
gate
silicon
drain
oxygen
source
Prior art date
Application number
TW83105232A
Other languages
Chinese (zh)
Inventor
Jenn-Tsong Shyu
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to TW83105232A priority Critical patent/TW268140B/en
Application granted granted Critical
Publication of TW268140B publication Critical patent/TW268140B/en

Links

Landscapes

  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

A process of lightly doped drain with shallow trench comprises: forming pad oxide and Si3N4 in sequence on silicon substrate; via one field mask to define active region, and via one field oxide to grow one field oxide; via one mask exposing, developing and etching Si3N4, making Si3N4 form trench with length L, in which the L value is sum of device gate width and two times subsequent sidewall spacer width; forming sidewall spacer around gate edge; removing pad oxide, then growing one gate oxide through thermal oxidization; depositing one polysilicon layer doped by impurity with high concentration; etching back to proper polysilicon thickness under Si3N4 surface to form device gate, and removing polysilicon left on field oxide; removing Si3N4, and forming source/drain with high concentration by impurity ion implantation with high concentration; forming metal silicide on gate and source/drain with high concentration to decrease its impedance; removing sidewall spacer, and with metal silicide and field oxide as etching mask etching silicon substrate to form one shallow trench; performing large-angle-tilt low-concentration impurity ion implantation to form lightly doped drain/drain; and through one thermal oxidization making inner edge of shallow trench grow one oxide and cover the whole chip with one dielectric layer; by shallow trench preventing depletion region of high-concentration source/drain expand into horizontal direction so as to achieve the effect of preventing punch through phenomena generate.

Description

26S140 A7 五 、發明説明( ' —1 發 明 背 景 ; 1 1 ! Μ 當 場 效 電 晶 體 元 件 尺 寸 愈 小 時 9 有 效 通 道 ( c h an n e 1 ) 變 短 使 電 子 被 源 極 與 汲 極 間 之 電 場 加 速 而 得 到 超 過 矽 與氧 ί* 背-卜 1 化 層 間 之 能 董 障 礙 ( —-- 般 而 為 3 · 2e V) 9 該 電 子 即 稱 熱電 5t 意t -1 子 0 這 些 熱 電 子 極 易 跳 至 閘 氧 化 眉 9 部 份 熱 電 子 會 流 失, Ψ til I * 大 部 份 電 子 會 被 當 初 成 長 閘 氧 化 層 之 些 許 缺 陷 如 介 面 陷阱 x ? 1 l 1 1 % h (I n t e r fa c e T r a ps ) 所 捕 捉 • 或 是 汲 極 1 閘 極 當 接 高 電壓 時 t 汲 極 與 通 道 介 面 易 產 生 累 增 崩 溃 ( Αν a 1 an c h e Br e a kd ί 1 I i 0 W η ) 現象 使 電 子 極 易 獲 得 高 能 置 形 成 热 電 子 • 當 這些 c 1 熱 電 子 被 氧 化 層 捕 捉 將 造 成 元 件 工 作 產 生 不 良 效 應 ,諸 1 如 臨 限 電 壓 ( vt ) 昇 高 由 熱 電 子 形 成 之 不 必 要 閘 極 電流 1 | * 汲 極 之 介 面 崩 溃 電 壓 降 低 Gm ( Tr an S C on d u c t an c e )變 1 訂 小 及 降 低 元 件 之 工 作 壽 命 等 • 1 I * 般 為 了 防 止 热 電 子 產 生 係 作 一 些 元 件 結 構 改 變以 1 1 避 免 於 源 / 汲 極 與 通 道 之 介 面 形 成 高 電 場 $ 如 低 度 摻 雜汲 1 1 極 (Li gh t 1 y Do pe d Dr a i η LDD ) 結 構 t 其 主 要 係 藉 由N, 線 / P* 源 / 汲 極 與 閛 極 間 受 低 濃 度 N- / p- 源 / 汲 極 相隔 1 I 開 t 而 不 致 於 N* / 源 / 汲 極 周 邊 產 生 高 電 場 而 達 到抑 1 1 制 熱 電 子 產 生 之 功 效 • t 1 娩 然 而 由 於 元 件 尺 寸 變 小 源 極 與 汲 極 之 距 離 非 常 小 9 則當 \ I 濟 部 中 汲 極 電 壓 愈 高 ,汲極之空乏區 (D e p 1 e t i on L ay e r ) 會隨 1 1 I 夹 標 Ψ 著 擴 大 , 直 至 汲 極 空 乏 區 與 源 極 空 乏 區 相 接 鋦 時 $ 導 致汲 1 1 屬 A X 極 電 流 急 速 上 昇 9 意 即 源 極 與 汲 極 產 生 擊 穿 ( Pu n c h- Thro 1 1 消 * 合 ik Ug h )現象 ,尤其LDD製程在〇 .5 微 米 以 下 t 此 種 現 象更 1 | 社 印 3 1 1 268140 A7 268140 A7 壓 五、發明说明() 不能避免’該汲極電®值稱為擊穿電·® (Punch Through Voltage) ·又擊穿電壓值係與通道長度、妙基底之阻值 (即矽基底之表面雜質濃度)有關,因此有人利用一道抗 擊穿之離子植入以提高妙基底之近表面雜質濃度,使汲極 之空乏區不易隨著汲極電應昇高而擴大,以避免擊穿現象 之產生,然此極易使元件之臨限電愿(Threshold Volta ge )异高不易控制· 當然習知技術上有各種提髙NM〇S LDD擊穿電 之做法,以下將舉數個具有代表性例子簡述如下:一· 設有P♦袋狀(Pocket)結構,如圖1所示•在N-/N♦源/ 汲極之周圍形有厂袋狀區將其包®,使源極和汲極之空乏 區將被限制於該p袋狀區内’如此源極空乏區和汲極空乏 區則不易接觸’以避免擊穿現象之產生•二.提高源/设 極之空間位置,如圖2所示,該源/汲極S/D係與閘極22 幾位於同一平面,且源/汲極S/D係藉LDD部份與閘極 22相隔開,藉由該源/汲極S/D之特殊空間型態使其空乏 區不易橫向擴大而相接鰣,以達避免擊穿現象產生之功效 三·形成具有凹槽閘極之構造,如躕3所示•即先形成_ 凹槽再熱氧化成長一閘氧化層21,並填滿複晶矽做為閑極 2,之後於凹槽兩側形成源/汲極S/D·該具有凹槽閑接 t構造亦係藉由源/汲極S/D之空乏區受閛氧化盾21之阻 辑不易相接觸而達到防止擊穿現象產生之功效•四.改變 I:牆隔離物(Spacer)來調整源/汲極與複晶矽閘極逄綠 、一 ' •以上種種提高NMOS LDD擊穿電壓之方 -4 («先«讀背*之ii*事項再項笨本1 > .裝. 訂 線 姓濟部t夹櫺單«ΛΧ-消费含作钍印« 1 · · - J/— y',*·1·-3 f 4.- t距離等 A7 268140 五、發明説明() 法不是受限於製程繁複,即受限於改善效果,使其不適合 置產•因此遂有必要提出一種製程簡易適於董產且改善效泛 果良好之一種提高擊穿電壓之製法及其裝置· | 發明概述: |26S140 A7 V. Description of the invention ('—1 Background of the invention; 1 1! Μ When the size of the field effect transistor element is smaller, the effective channel (ch an ne 1) becomes shorter so that the electrons are accelerated by the electric field between the source and the drain Exceed the energy barrier between silicon and oxygen * back-bu 1 layer (--- generally 3 · 2e V) 9 This electron is called thermoelectric 5t meaning t -1 son 0 These hot electrons are very easy to jump to the gate oxidation Eyebrow 9 Part of the hot electrons will be lost, Ψ til I * Most of the electrons will be captured by some defects of the original gate oxide layer such as interface traps x? 1 l 1 1% h (I nter fa ce T ra ps) • Or when the drain 1 gate is connected to a high voltage, the interface between the drain and the channel is prone to cumulative collapse (Αν a 1 an che Br ea kd ί 1 I i 0 W η), which makes it easy for electrons to obtain high energy and form heat. Electrons • When these c 1 hot electrons are captured by the oxide layer Catching will cause adverse effects on the operation of the components, such as the threshold voltage (vt) increases the unnecessary gate current formed by hot electrons 1 | * The breakdown voltage of the drain interface decreases Gm (Tr an SC on duct an ce) Change 1 to set smaller and reduce the working life of the device, etc. • 1 I * In general, to prevent the generation of hot electrons, some device structure changes are made to 1 1 to avoid the formation of a high electric field at the interface between the source / drain and the channel. 1 1 pole (Li gh t 1 y Dope d Dr ai η LDD) structure t which is mainly through N, line / P * source / drain and drain pole by low concentration N- / p- source / drain Separated by 1 I open t without generating high electric field around N * / source / drain to achieve the effect of suppressing the generation of 1 1 heating electrons • t 1 is produced but the distance between the source and the drain is very small due to the smaller component size 9 Then when \ I Jiji Drainage The higher, the drain depletion area of the drain (D ep 1 eti on L ay er) will expand with the 1 1 I clip Ψ until the drain depletion area of the drain is connected to the source drain area. The rapid rise of the pole current 9 means that the source and the drain are broken down (Pu nc h- Thro 1 1 elimination * ik Ug h) phenomenon, especially when the LDD process is below 0.5 micron t This phenomenon is more 1 | 3 1 1 268140 A7 268140 A7 Five, the description of invention () can not be avoided 'the value of the drain electrode ® is called the breakdown current · (Punch Through Voltage) · and the breakdown voltage value is related to the channel length and the resistance of the wonderful substrate The value (ie, the surface impurity concentration of the silicon substrate) is related, so some people use a breakdown-resistant ion implantation to increase the near-surface impurity concentration of the wonderful substrate, so that the empty region of the drain is not easy to expand as the drain current should increase. In order to avoid the breakdown phenomenon, it is very easy to make the threshold of the component (Threshold Volta ge) different height is not easy to control. Of course, there are various methods to improve the breakdown of the NM〇S LDD in the conventional technology. A few representative examples will be briefly described as follows: 1. A P ♦ Pocket structure is provided, as shown in FIG. 1 • There is a factory-like pocket around the N- / N ♦ source / drain Wrap it up, so that the empty depletion regions of the source and the drain will be limited to the p-bag region 'so that the source and drain depletion regions are not easily accessible' to avoid the occurrence of breakdown phenomena. The spatial location of the source / drain is shown in FIG. 2. The source / drain S / D is located in the same plane as the gate 22, and the source / drain S / D is separated from the gate 22 by the LDD part. Open, by the special spatial configuration of the source / drain S / D, the depleted area is not easy to expand laterally and connect with the 鲥, so as to avoid the effect of the breakdown phenomenon. 3. Form a structure with a recessed gate As shown in # 3. First, a groove is formed, and then a gate oxide layer 21 is grown by thermal oxidation, and filled with polycrystalline silicon as the idle electrode 2, and then the source / drain S / D is formed on both sides of the groove. The structure of the idle connection of the groove is also achieved by preventing the source / drain S / D of the empty depletion region from being easily contacted by the oxide shield 21 to prevent the breakdown phenomenon. Four. Change I: the wall spacer ( Spa cer) to adjust the source / drain and polycrystalline silicon gates to be green and one. • Above various ways to improve the breakdown voltage of NMOS LDD-4 («First« Read the back * the ii * matters and then the dumb book 1 > . Installed. The surname of the surname t is the t-fold list «ΛΧ-consumption contains a thorium seal« 1 · ·-J / — y ', * · 1 · -3 f 4.-t distance etc. A7 268140 V. Description of the invention () The method is not limited by the complicated process, that is, it is limited by the improvement effect, making it unsuitable for production. Therefore, it is necessary to propose a method for increasing the breakdown voltage and a method that is simple for the production process and improves the effectiveness of the general effect. Its device · | Summary of invention: |

本發明主要目的乃提供一種製程簡易且適於董產之具$ 有淺凹槽之LDD製法及裝置· S 本 本發明主要係在閘極周圍形成淺凹槽及以大角度將低i 濃度雜質植入該淺凹槽,使其形成低度掺雜源/汲極結構 ,藉由淺凹槽LDD (Lightly Doped Drain)之結構隔 開源極和汲極,以連提高擊穿電里(Punch Through V〇i tage)及使製程簡化之功效· 附圖説明5 圖1為P*袋狀LDD結構剖面圖· 圖2為具有源/汲極提高位置之LDD結構剖面圖· 圖3為具有凹槽閘極之LDD結構剖面圖· 圖4 A — 4M為依據本發明第一最佳實施例之各主要製程 結構剖面圖· 圖5 A_ 5 D為依據本發明第二最佳實施例之各主要製程The main purpose of the present invention is to provide an LDD manufacturing method and device with shallow grooves, which is simple and suitable for Dong production. The present invention is mainly to form shallow grooves around the gate and implant low-impurity impurities at a large angle Into the shallow groove to form a low-doped source / drain structure. The source and drain are separated by the structure of the shallow groove LDD (Lightly Doped Drain) to improve the breakdown through V〇i tage) and the effect of simplifying the process · Description of the drawings 5 Figure 1 is a cross-sectional view of the P * pocket LDD structure · Figure 2 is a cross-sectional view of the LDD structure with the source / drain increased position · Figure 3 is a groove Cross-sectional view of the LDD structure of the gate · Figure 4 A-4M is a cross-sectional view of the main process structure according to the first preferred embodiment of the present invention · Figure 5 A_ 5 D is a main process according to the second preferred embodiment of the present invention

結構剖面圖 標號部份: 矽基底.... 墊氧化層.. 氮化矽.... 場氧化層.. .10 11 12 13 高濃度源/汲極......41 金屬矽化物..........51 淺凹槽..............61 低濃度源/汲極(LDD) . 42 5 — 268140 A7 經濟部t兴櫺準屬員工消费含作社印* ·> J«« y ·**—·> Λ— 五、發明説明() 邊牆隔離物____23 CVD氧化層........71 閘氧化層......21 複晶矽層......31 閘極..........32 為使 贵審査委員對本發明技術手段及其功效更加明 瞭,茲佐以圖式並詳細説明第一最佳實施例如后··首先請 參閲圖4 A,在矽基底10上經热氧化成長一墊氧化層11, 沈積一氮化矽12,該墊氧化層11之作用乃係防止矽基底10 與氮化矽12間之熱膨脹係數差異甚大易產生晶格缺陷•接 著經一埸區光.罩曝光顯影以定義出置放電子元件之活躍區 (active region),光阻去除後再進行場區氧化以成長厚 場氧化層13做為活躍區間之電性隔離•該場區氧化步驟又 稱局部砂氧化(Local Oxidation of Silicone L0C0S) 係以氮化矽12做為氧化遮罩,使矽基底10產生選擇性氧化 之效果· 接著,再經一光罩曝光,顯影及蝕刻氮化矽12,使氮 化矽12形成具有長度L之槽溝,該L值一般設計為元件閘 極寬度(一般常稱為線幅)與兩邊牆隔離物宽度之和’如 以0.35微米之製程為例,其邊臃隔離物寬度約為0.1-0. 15 微米,則此L值為0.35 + 2X0.1 = 0.55微米,即如圖4 B所 示· 然後,在氪化矽12之周緣形成逢腠隔雕物23,一般係 採用先沈積一介電眉再藉回蝕刻(Etching Back)技術而 達成,又該逢牆隔離物23之材質可以是氧化物,如圖4C —6 — (請先聞讀背面之注意事項再填寫本頁) {讀先«讀背面之iit筝項再項寫本頁 > 再 _ -裝· 訂_ 線 ίΓΝ'?;) Ψ 4 (2\0 y M7 公分) 82. 9. 6,000 268140 A7Structure section icon number part: silicon substrate ... pad oxide layer ... silicon nitride ... field oxide layer ... 10 11 12 13 high concentration source / drain ... 41 metal silicide ......... 51 Shallow grooves ......... 61 Low concentration source / drain (LDD). 42 5 — 268140 A7 Ministry of Economic Affairs Associated employees' consumption includes social printing * · > J «« y · ** — · > Λ— V. Description of invention () Side wall spacer ____23 CVD oxide layer ........ 71 Gate Oxide layer ... 21 Polycrystalline silicon layer ... 31 Gate ......... 32 In order to make your examination committee more clear about the technical means of the present invention and its effect, Zuo The first preferred embodiment will be described in detail with reference to the drawings. First, please refer to FIG. 4A. A pad oxide layer 11 is grown on the silicon substrate 10 by thermal oxidation, and a silicon nitride layer 12 is deposited. The pad oxide layer 11 The role is to prevent the difference in coefficient of thermal expansion between the silicon substrate 10 and the silicon nitride 12 is very easy to produce lattice defects. Then through a field of light. Mask exposure development to define the active region (electronic region) where electronic components are placed, After the photoresist is removed, the field region is oxidized to grow a thick field oxide layer 13 as the electrical isolation of the active region • This field oxidation step, also known as Local Oxidation of Silicone L0C0S, uses silicon nitride 12 as an oxidation mask to produce a selective oxidation effect on the silicon substrate 10. Then, it is exposed through a photomask, The silicon nitride 12 is developed and etched to form a groove with a length L. The value of L is generally designed as the sum of the width of the device gate (commonly referred to as the line width) and the width of the spacers on both sides. For example, the process of 0.35 microns, the width of the edge spacer is about 0.1-0. 15 microns, then this L value is 0.35 + 2X0.1 = 0.55 microns, as shown in Figure 4B. Then, in the kryptonized silicon On the periphery of 12, the phoenix partition carving 23 is formed, which is generally achieved by first depositing a dielectric eyebrow and then borrowing the etching back (Etching Back) technique, and the material of the wall partition 23 may be an oxide, as shown in FIG. 4C — 6 — (please read the precautions on the back and then fill in this page) {Read first «Read the iit kite item on the back and then write this page > Then _-装 · 訂 _ 线 ίΓΝ '?) Ψ 4 (2 \ 0 y M7 cm) 82. 9. 6,000 268140 A7

五、發明説明() 所示•接著,再將墊氧化層11去除再經熱氧化成長一品質 良好之閘氧化層21,即如圖4 D所示· 再於整個晶片表面上以CVD方法沈積—複晶妙盾31 並含高濃度雜質摻雜,此摻雜方式可藉現塲植入(in_Sit u Doping),雜質擴散或雕子椬入而達成以降低複晶妙層 31之阻抗,如圖4E所示•然後,將晶片作化學一機械拋 光(Chem i ca 1 Meehan i ca 丨 Po 1 i sh i ng , c Μ P )使其研 磨至氣化妙12表面以下適當的複晶矽層3i厚度而形成閑極 32’該適當殘餘厚度約為1500-2500埃,並触刻掉位於場 氧化層13上之.殘餘複晶矽,如圖4 F所示· ▼請 爹先 景聞 1·讀 Μ背 X面 ί之 I a t5. Description of the invention () shown • Next, the pad oxide layer 11 is removed and then thermally oxidized to grow a gate oxide layer 21 of good quality, as shown in FIG. 4D. Then, it is deposited on the entire wafer surface by CVD method -Fujing Miao Shield 31 is doped with a high concentration of impurities. This doping method can be achieved by in_Sit u Doping, impurity diffusion or engraving to reduce the impedance of the Fu Jing 31 layer, such as As shown in Figure 4E • Then, the wafer is subjected to chemical-mechanical polishing (Chem i ca 1 Meehan i ca 丨 Po 1 i sh i ng, c Μ P) to grind it to the appropriate polycrystalline silicon layer below the surface of the vaporized Miao 12 3i thickness to form the idler electrode 32 '. The appropriate residual thickness is about 1500-2500 angstroms, and the residual polysilicon is located on the field oxide layer 13 as shown in FIG. 4F. ▼ · Read I at X back

I 裝 頁 接著’以具有氮化矽對複晶矽及氮化矽對氧化物高選 擇蚀刻率比之蝕刻氣體或蝕刻溶液將氮化矽12及墊氧化層 11去除,如圖4 G所示•再以高濃度N♦雜質雕子植入形成 高濃度源/汲極41 ’其中邊牆隔雕物23具有阻擋雕子植入 作用使高浪度源/汲極41與閛極32相隔適當距離,如圖4 Η所示· 再以Co-sputtered方法將TiSU或WSiss僅舉擇性沈積 在含矽材質上,使高浪度源/汲極41及閛極32上再燒結一 層金屬矽化物51以更降低其阻抗,增加元件運算速度,該 步驟因係屬自動對準(self-align)形成之選擇性沈積, 故不需任何光罩,如圖4 I所示•再以選擇性蝕刻方式將 邊牆隔雔物23去除,如圖4 J所示•然後,以金屬矽化物 51及場氡化層13做為蝕刻遮罩將矽基底1〇蝕刻一淺凹槽61 ,其深度約小於或等於高濃度源/汲極41之深度,一般約 -7 - 本紙張尺度通用中國ST赛:械半 訂 線 %I Binding and then 'remove silicon nitride 12 and pad oxide layer 11 with an etching gas or etching solution with a high selective etching rate ratio of silicon nitride to polycrystalline silicon and silicon nitride to oxide, as shown in FIG. 4G • High-concentration N ♦ Impurity carvings are implanted to form a high-concentration source / drain 41. The side wall partitions 23 have the function of blocking the carvings so that the high-wave source / drain 41 is separated from the sink pole 32 by an appropriate distance. , As shown in FIG. 4 Η. Then, Co-sputtered method is used to selectively deposit TiSU or WSiss on the silicon-containing material, so that a layer of metal silicide 51 is sintered on the high-wave source / drain electrode 41 and the prism electrode 32 to It further reduces its impedance and increases the operation speed of the device. This step is a selective deposition formed by self-alignment, so no mask is needed, as shown in Figure 4I. The side wall spacers 23 are removed, as shown in FIG. 4J. Then, using the metal silicide 51 and the field radon layer 13 as an etching mask, the silicon substrate 10 is etched into a shallow groove 61 with a depth of about less than or Equal to the depth of the high-concentration source / drain 41, generally about -7 %

AA

製 268140 A7 鲤 部 中 貝;Produce 268140 A7 carp department Chinese shellfish;

X 消 費 五 、發明説明( 1 島 I 為 0 . 1 - 0 . 15微 米 左 右 9 如 圖 4 Κ 所 示 • '1 接 著 » 作 大 角 度 N- 雜 質 離 子 植 入 , 該 角 度 約 30 至 45度 η 先 Μ 1 1 間 t 方 能 形 成 低 度 掺 雜 源 / 汲 極 ( L D D ) 42 , 同 時 該L nq 讀 背 Jq 1 D D 構 造 亦 將 閘 極 32 與 高 濃 度 源 / 汲 極 4 1 相 隔 開 9 如 圖4 之 、 1 1 L 所 示 • 之 後 P 再 經 熱 氧 化 使 淺 凹 檟 61 成 長 — 绝 緣 用 氧化 \ 再 | 1 層 及 覆 蓋 一 C V D 氧 化 Μ 71 而 完 成 本 發 明 之 具 有 淺 凹 槽L % 本 1 1 D D 之 裝 置 骞 1 | 由 圈 4 Μ 明 顧 示 出 當 高 浪 度 源 / 汲 極 加 上 適 當 壓降 I r 則 其 空 乏 區 係 呈 垂 直 方 向 擴 大 9 於 水 平 方 向 因 受 淺 凹槽 裝 I 61 内 氧 化 物 之阻 隔 而 不 易 擴 大 因 此 源 極 41 之 空 乏 區 不易 1 1 與 汲 極 41 空 乏 區 相 接 鏑 9 並 藉 此 達 到 提 高 擊 穿 電 壓 之 功效 1 1 9 且 本 發 明 仍 保 有 一 般 L D D 之 特 性 » 如 抑 制 熱 電 子 之產 1 | 生 等 • 同 時 t 本 發 明 之 製 程 並 未 較 習 知 者 複 雜 化 9 產 品製 訂 | 造 週 期 及 產 品 良 率 並 未 變 差 9 因 此 係 為 -* 適 合 董 產 之 製程 1 1 9 極 具 產 業 上 利 用 價 值 • 1 1 以 下 再 介 紹 依 據 本 發 明 之 第 二 最 佳 實 施 例 9 其 仍 以N 1 — Μ 0 S 為 主 t 首 先 於 矽 基 底 10 上 經 局 部 矽 氧 化 ( L 0 C 0 線 1 S ) 後 將 活 躍 區 定 義 出 且 形 成 場 氧 化 層 13 > 再 經 熱 氧 化成 1 1 I 長 一 閛 氧 化 層 21 及 沈 積 _ —1 含 高 雜 質 濃 度 掺 雜 之 複 晶 矽 ,該 1 掺 雜 方 式 已 於 第 一 最 佳 實 施 例 中 予 以 説 明 • 接 著 經 一 光軍 1 :曝 光 t 顯 影 及 蝕 刻 複 晶 矽 和 閘 氧 化 層 21 形 成 閘 極 32 > 再沈 1 | :積 一 介 電 眉 及 藉 回 蝕 刻 技 術 使 其 在 閘 極 32 之 周 緣 形 成 邊牆 1 I •隔 離 物 23 t 如 圖 5 A 所 示 • 1 1 1 1 — 8 — 1 1 本紙張尺度適用中國®•家·揉準‘ r〇V2妗如度 ) 1 1X Consumption V. Description of the invention (1 Island I is about 0.1-0.15 micron 9 as shown in Figure 4 Κ • '1 Next »for large angle N- impurity ion implantation, the angle is about 30 to 45 degrees η First, between M 1 1 and t can form a low-doped source / drain (LDD) 42, and the L nq read back Jq 1 DD structure also separates the gate 32 from the high-concentration source / drain 4 1 9 Fig. 4, shown at 1 1 L • Afterwards, P is thermally oxidized to grow the shallow recess 61-oxidation for insulation \ re | 1 layer and covered with a CVD oxide M 71 to complete the present invention with shallow groove L% This 1 1 DD device Qian 1 | By circle 4 Μ It is shown that when the high wave source / drain is coupled with an appropriate pressure drop I r, the depleted area is expanded vertically 9 in the horizontal direction due to the shallow groove installation I 61 The internal oxide barrier is not easy to expand, so the empty region of the source 41 is not easy 1 1 and the drain 41 are empty Dysprosium 9 is connected to each other to achieve the effect of improving the breakdown voltage 1 1 9 and the present invention still retains the characteristics of general LDD »such as suppressing the production of thermal electrons 1 | Health etc. • At the same time t the process of the present invention is not known Complicated 9 product formulation | manufacturing cycle and product yield has not deteriorated 9 so it is-* suitable for the production process of the director 1 1 9 has great industrial use value • 1 1 The following will introduce the second most according to the present invention The preferred embodiment 9 is still dominated by N 1 — Μ 0 S. First, after the local silicon oxidation (L 0 C 0 line 1 S) on the silicon substrate 10, the active region is defined and the field oxide layer 13 is formed. It is thermally oxidized to 1 1 I long oxide layer 21 and Shenji _1 polycrystalline silicon with high impurity concentration doping. This 1 doping method has been described in the first preferred embodiment. Jun 1: Exposure t Development and etching of polycrystalline silicon and gate oxidation 21 Formation of gate 32 > Re-sink 1 |: Use a dielectric eyebrow and borrow etching technology to form a side wall on the periphery of gate 32 1 I • Isolation 23 t as shown in FIG. 5 A • 1 1 1 1 — 8 — 1 1 The paper size is suitable for China® • Home · Rubble Accuracy (r〇V2) (1)

I 五、發明说明() {«先Mtr背面之注意事項再螭寫本頁) 然後1再以高濃度N +雜質難子植入形成高濃度源/汲 極41,此時邊牆隔離物23仍具阻擋離子植入之作用,使閘 極32與高濃度源/汲極相隔開,如圖5 B所示•接著*仍 和前一實施例相同,藉Co-sputtered方法將TiSi2或WSi2 等金屬矽化物51僅選擇性沈積在閘極32及高濃度源/汲極 41上以降低其阻抗,即如圖5 C所示· 之後*去除該邊牆隔離物23並以金屬矽化物51及場氧 化層13做為蝕刻遮罩將矽基底10蝕刻一淺凹槽61,如圖5 D所示,其深度約小於或等於高濃度源/汲極41之深度, 一般約為0.1-0.5微米左右•最後剩利下來之製程和前一 實施例相同,即形成低度掺雜源/汲極(LDD ) 42,及 覆一 CVD氧化層71等· 比較等二最佳實施例與前一最佳實施例,明顯示出第 二最佳實施例之製程更為簡易,更具實用性,且經査相關 手雜誌期刊及目前業界均未發現有使用和本發明相同之技 術手段*故依法提出專利之申請· -9 — 本紙張疋度逡W中8B家tf»(CNS〉甲 < 说格(210 X 297公m 82. 9. 6,000I. Description of the invention () {«Precautions on the back of Mtr and then write this page) Then 1 is implanted with a high concentration of N + impurities to form a high concentration source / drain 41, then the side wall spacer 23 It still has the function of blocking ion implantation, so that the gate 32 is separated from the high-concentration source / drain, as shown in FIG. 5B. • Then * still the same as the previous embodiment, the TiSi2 or WSi2 etc. are used by the Co-sputtered method The metal silicide 51 is only selectively deposited on the gate 32 and the high-concentration source / drain 41 to reduce its impedance, that is, as shown in FIG. 5C. Afterwards * the side wall spacer 23 is removed and the metal silicide 51 and The field oxide layer 13 is used as an etching mask to etch a shallow groove 61 on the silicon substrate 10, as shown in FIG. 5D, its depth is about less than or equal to the depth of the high concentration source / drain 41, generally about 0.1-0.5 microns Left and right • The last remaining process is the same as the previous embodiment, that is, the formation of a low-doped source / drain (LDD) 42, and a CVD oxide layer 71, etc. The preferred embodiment clearly shows that the process of the second preferred embodiment is simpler and more practical, and has been checked by relevant hand magazines and periodicals. At present, the industry has not found the use of the same technical means as the present invention *, so the application for a patent is filed according to law. -9 — This paper is available in 8B home tf »(CNS> A < say grid (210 X 297m 82. 9. 6,000

Claims (1)

268140 六、中請專利範m ii^fft^t,準乇 Ax"fr 含作a印V u、,4------ΐτ------A------------- (1*先Mir背ώ^11*?^再Jflx表 ί>___I_I__ ^ ^ld 1 ^ ^ ^ ^ ^ ^ 成形倍 形;度低為 \ 晶方 化矽兩 以矽浪 降做 源個平 氧化與 度晶高以層 雜整水 區 氣度 : 厚複成 物 化 樓 於 往 :;場 使寬 層 矽之形 化 氧 度 並 區* 括矽一 ,極 化.,晶上入 矽 場 低 層 乏者 包化經 矽閘 氧層複層植 屬 及 成 化 空效 係氮及 化件 閉矽之化子 金 物 形 氧. 之功 , 及, 氮元 一晶當氧離 成 化.,以 一 極之 法層區 刻為 .,長複適場質 形 矽槽入 長 汲生 製化躍 蚀值:物成之下在雜 上 屬凹植 成 \產 D氧活 及L和離化雜以留度 極 金淺子 緣 源象 D墊出 影該之隔氧樓面殘壤 汲 以一離 內 度現 L成義 顯,度牆熱質表除高 \ 並刻質 槽 濃穿 之形定 ,溝宽邊經雜矽去以 源 ’蝕雜及凹 高擊 槽序以 光槽物成再度化並並 度 物底度·,淺.,擋止 凹依罩.,曝之離形層濃氮,, 濃 離基濃}使眉阻防 淺上光層罩L隔緣化高至極妙 高 隔妙低D化電以連 有底區化光度膝周氧含刻閘化.,與 牆將度 D氧介槽以 具基場氧一長邊極墊一蝕之氣極極.,邊罩角L熱一凹, 種矽一場經有之閘除積回件除汲閉抗除遮大丨一蓋淺大 一在經 一 再具續在去沈作元去/於阻去刻做極經覆藉擴 未紙张尺度適用"中國國家榡準TtWS( 210X297公釐) 268140 A8 B8 C8 六、申請專利範® 二·依申請專利範圍第一項所述之一種具有淺凹槽之LD 技術所完成的,且該介電層之材質可以為氧化物,或 氮化物* 三·依申請專利範圍第一項所述之一種具有淺凹槽之LD D製法,其中複晶矽之雜質摻雜方式可以是離子植入 ,現場植入(In-Situ Doping)或雜質擴散等· 四·依申請專利範圍第一項所述之一種具有淺凹槽之LD D製法,其中複晶矽層之回蝕刻可藉化學一機械抛光 (CMP )方式所達成。 五·依申請專利範圍第一項所述之一種具有淺凹槽之LD D製法,其中金屬矽化物係屬選擇性沈積,因此毋需 任何光翠,該金屬矽化物僅形成於閘極及高濃度源/ 汲極之上* 六·依申請專利範圍第一項所述之一種具有淺凹槽之LD 約之 度述 深 所 之項 槽一 凹 第 淺圍 中。範 其度利 , 深專 法之請 製極申 D 汲依 七 源 度 濃 高 於 等 或 於 (請先《讀背面之注意事項再填坧本頁) • L . 訂 、>;#部奸決/|*芈局^,工:«費.^^社印製 D L 之 槽 凹 淺 有 具 種 或 物 化 氮 或 物 化 氧 是 以 可 質 材 層 &0 介· 中合 其組 , 之 法者 製二 D述 括矽 包化 係氮 ,及 法層 製化 D 氧 D墊 L 成 之形 槽序 凹依 棧上 有底 具基 種矽 一 在 A 長 成 化 氧 區 場 經 及 區 躍 活 . 出 義 定 以 罩 光層 區化 場氧 一 場 經一 中請專利範Ε Α8 Β8 C8 (請先閲讀背面之注意事頃系真寫‘\* Ί (拎先 Mitw·面之;1±辜項再·?ί*.Ι) ___I_ 閘 植 其 蚀 汲 片 向 D 刻氣 D 入L拋 成 子 低 為 \ 晶 方L蝕,L植 之械 形 離 降 做 源 個 平 之回物 之子 •槽機 層 質 以 層 雜 整 水 槽藉化 槽離等凹一 ;化 雜 物 化 摻 於 往 凹再氧 凹是散淺學 盾氧 度 化 氧 度 並 區· 淺層為 淺以擴有化 化 W 濃 矽 場 低 層 乏者有電以 有可質具藉 氧和 高 屬 及 成 化 空效具介可 具式雜種可 閉矽 以 金 物 形 氧 之功種積質 種方或一刻 一晶 並 成 化..以 一 極之一沈材 一 雜}之蚀 長複 ., 形 矽槽入 長 汲生之先之 之掺ng述回|| 成刻 物 上 屬凹植 成 \產述係層 述質P1所之2-化蝕 離.,極 金淺子 緣 源象所物電 所雜Do項盾1 氧及 隔極汲 以一離 内 度現項離介 項之 U 八矽一 熱影 腠汲\ 並刻質 槽 濃穿八隔該•八矽it第晶 經顯 邊\源 ,蝕雜及凹 高擊第牆且等第晶-S圍複 再, 成源度 物底度.,淺.,擋止圍邊,矽圍複In範中 JI光 形度濃 離基濃}使層阻防範中的晶範中ί利其 化曝 緣濃高 隔矽低 D 化電以達利其成複利其入專, 氧罩 周高與 牆將度D氧介槽以專’完是專,植請法 墊光 極成極.,邊罩角L熱一凹,請法所或請法場申製 除一.,閘形閘抗除遮大{ 一 蓋淺大申製術物申製現依D 去經極在入於阻去刻做極經覆藉擴依D 技化依 D, · D• . 1 九 十十 -1--- ~^1¾¾中兴«Η-局 β 工-«fr 合tru印V268140 Sixth, the Chinese patent range m ii ^ fft ^ t, quasi tortoise Ax " fr included as a printed V u ,, 4 ------ lτ ------ A -------- ----- (1 * Mir back first ^ 11 *? ^ Then Jflx table ί> ___ I_I__ ^ ^ ld 1 ^ ^ ^ ^ ^ ^ forming ploidy; the low degree is \ crystal square silicon and silicon wave drop Do a source of oxidation and crystallographic height to level the water level of the mixed water zone: thick compound the physical and chemical building in the past: the field makes the oxygen of the wide layer of silicon and the area * including silicon one, polarization., Crystal on The low-level silicon field depleted encapsulates the silicon and oxygen of the silicon layer of the silicon gate oxygen layer and the chemical effect of the chemical element. The power of the gold is in the form of oxygen. And, the nitrogen element is crystallized as oxygen. , With the one-layer normal layer engraved as., The long complex field-shaped silicon groove into the long-pull systemic chemical erosion value: under the physical composition, it is a concave plant formation on the complex \ D oxygen production and L and separation The complex is reflected by the source of the ultra-gold gold astronomer D, and the remaining soil of the oxygen-proof floor is drawn with an internal degree, which is now apparent, and the thermal quality of the wall is high, and the quality groove is engraved. The shape is fixed, the wide side of the trench is doped with silicon to remove the source and the recessed high-strike groove sequence, and then the optical groove is formed again. Combine and measure the substrate level, shallow., Stop the concave cover., The exposed layer of concentrated nitrogen, thick concentrated base} Make the eyebrow resistance against the shallow glazing layer L. Miao low-D electricity is connected to the bottom area of the luminosity of the knee with oxygen engraving., And the wall will be a D-oxygen medium groove with a base field oxygen-a long side electrode pad and an eroded gas electrode., Side cover angle L Hot one concave, one kind of silicon, one gate, one gate, one gate, one gate, one gate, one gate, one gate, one gate, one gate, one gate, one gate, one gate Zhang scale applies " Chinese National Standard TtWS (210X297mm) 268140 A8 B8 C8 VI. Patent application scope II. The LD technology with shallow grooves described in the first item of the patent application scope is completed, and The material of the dielectric layer can be oxide or nitride * 3. A method of producing LDD with shallow grooves according to the first item of the scope of the patent application, wherein the impurity doping method of polycrystalline silicon can be ion implantation In-Situ Doping or Impurity Diffusion, etc. 4. A method of producing LDD with shallow grooves as described in item 1 of the patent application The etching back of the polycrystalline silicon layer can be achieved by chemical-mechanical polishing (CMP). 5. A method of producing LDD with shallow grooves according to the first item of the scope of the patent application, in which metal silicide is the choice It does not require any light emerald, the metal silicide is only formed on the gate and the high concentration source / drain * 6. According to the LD with a shallow groove as described in the first item of the patent application Describe the deep groove in the shallow groove. Fan Qiduli, the deep special law, please apply for extreme D, Yi Yi, seven sources, concentration is higher than or equal to (please read "Precautions on the back and then fill this page") • L. Order, >;#殈 済 / | * 芈 局 ^, Work: «Fee. ^^ The groove of the DL printed by the company has a kind or material nitrogen or material oxygen is a material layer & 0 medium The method described in D 2 includes silicon-containing encapsulated nitrogen, and the method of forming D-oxygen D pad L. The grooves are formed in a concave sequence with a base silicon on the stack. Yuehuo. It is determined that the field of oxygen will be covered by the light layer. The patent should be patented Ε Α8 Β8 C8 (please read the notes on the back first. \ * Ί (拎 先 Mitw · 面 之; 1 ± Gu Xiangzai? Ί * .Ι) ___I_ Zha Zhiqi's etched dip slice to D etched gas D into L and thrown into a sub-low as \ crystal side L erosion, L plant's mechanical shape departed to be a son of a flat return The layering of the tank machine is a layered mixing tank. The tank is separated from the concave by the chemical tank; the chemical compound is mixed into the concave and the reoxygen concave is a shallow layer of oxygen. In order to expand the chemical W concentrated silicon field, the low-level depleted people have electricity to have available tools, oxygen and high genus and chemical space effect tools, available hybrids, closed silicon, and gold-shaped oxygen. Fang or one crystal at a time and become a complex .. The erosion of one of the poles is a heavy material and a complex. The formation / depletion of the layer P1 of the formation and production description layer. The source of the ultra-gold astonite is like the Do item shield 1 of the Institute of Physics and Electricity. U Eight silicon-one hot shadows, and carved grooves thickly penetrate the eight partitions. The eight silicon it crystals are shown by the edge \ source, the etched and concave high hit the second wall and wait for the second crystal-S to surround and form the source Degree of bottom., Shallow., Blocking the edge, silicon enclosure complex In Fanzhong JI light shape thick off base concentration Huadian entered the specialty with the advantages of Daliqi compound, the peripheral height of the oxygen hood and the wall will be special. The planting method is to mat the optical pole. The side mask angle L is hot and concave. The law court may apply for the removal of one. The big {one superficial and large-scale application of artefacts is now based on the D to the meridian, engraved into the resistance and carved the meridian to borrow and expand the D according to the D, · D •. 1 Ninety-one 1 --- ~ ^ 1¾¾ ZTE «Η- 局 β 工-« fr 合 tru 印 V A8 B8 C8A8 B8 C8 六、中請專利範团 光(CMP)方式所達成· 二·依申請專利範圍第八項所述之一種具有淺凹槽之L DD製法,其中金屬矽化物係屬選择性沈積,因此毋 需任何光簞,該金屬矽化物僅形成於閘極及高濃度源6. The patent application of the Chinese Patent Application Group (CMP) method is reached. 2. A method of L DD with shallow grooves as described in item 8 of the patent application, in which the metal silicide is a selective deposition, so there is no need Any photodiode is needed, the metal silicide is only formed on the gate and high concentration source /汲極之上· 三. 依申請專利範圍第八項所述之一種具有淺凹槽之L DD製法,其中淺凹槽之深度約小於或等於高濃度源 /汲極之深度· 四. 依申請專利範圍第八項所述之一種具有淺凹槽之L DD製法,其中介電層材質可以是氡化物或氮化物或 先 Μ it 背 ύ i/ Above the drain. 3. According to the DD method with shallow grooves described in item 8 of the patent scope, where the depth of the shallow groove is about less than or equal to the depth of the high concentration source / drain. 4. According to A method for producing L DD with shallow grooves as described in item 8 of the patent application scope, in which the dielectric layer material can be radon or nitride or first M it back i 意 ψ % 年 % L 上述二者之組合* 十五.一種具有棧凹槽之LDD裝置,係包括: 訂 在矽基底上形成有活躍區及場氧化層; 活躍區内包含高濃度源/汲極,低度掺雜源/汲極’ 閘氧化層及含高濃度雜質摻雜之複晶矽閘極,且低度 掺雜源/汲極係藉大角度離子植入位於複晶矽閘極周 緣之淺凹槽而形成的; 在複晶矽閘極與高濃度源/汲極之上形成有金屬矽化 物; 在複晶矽閘極周緣之淺凹槽内經熱氧成長一氧化層; 一介電層覆蓋於上述之場氧化層,金屬矽化物及淺凹 槽之上; 藉淺凹槽以阻擋高濃度源/汲極之空乏區往水平方向 擴大*以達防止擊穿現象產生之功效· —1 3 — A8 Βδ C8 ^_-_ 六、申專利範ffl 十六·依申請專利範圍第十五項所述之一種具有淺凹槽之 LDD裝置,其中淺凹槽之深度約小於或等於高濃度 源/汲極之深度· 十七·依申請專利範圍第十五項所述之一種具有淺凹槽之 LDD裝置,其中金屬矽化物為TiSi2$WSi2等· 十八·依申請專利範圍第十五項所述之一種具有淺凹槽之 LDD裝置*其中介電層可以是氧化物或氪化物或上 述二者之組合* 十九·依申請專利範圍第十五項所述之一種具有淺凹槽之 LDD裝置,其中該LDD結構係屬NMOS ,PM 0S或CMOS元件· {請先聞讀背面之注意事項再填窝本页) V. 4 訂 I. 太W >?疥m tiMB B «V14at(TNS1Meaning ψ% year% L The combination of the above two * 15. An LDD device with stack grooves, including: an active region and a field oxide layer are formed on a silicon substrate; the active region contains a high concentration source / drain Gate, low-doped source / drain 'gate oxide layer and polycrystalline silicon gate doped with high concentration of impurities, and the low-doped source / drain is located in the polycrystalline silicon gate by large-angle ion implantation Formed by shallow grooves on the periphery; metal silicides are formed on the polysilicon gate and high concentration source / drain; an oxide layer is grown in the shallow grooves on the periphery of the polysilicon gate by hot oxygen; A dielectric layer covers the above-mentioned field oxide layer, metal silicide, and shallow grooves; the shallow grooves are used to block the expansion of the empty region of the high concentration source / drain in the horizontal direction * to prevent breakdown phenomena Efficacy · — 1 3 — A8 Βδ C8 ^ _-_ VI. Patent application ffl 16. The LDD device with shallow grooves according to item 15 of the scope of the patent application, where the depth of the shallow grooves is about less than Or equal to the depth of the high concentration source / drain The LDD device with shallow grooves, wherein the metal silicide is TiSi2 $ WSi2, etc. 18. Eighteen LDD devices with shallow grooves according to the fifteenth item of the patent application scope * where the dielectric layer can be It is an oxide or a krypton compound or a combination of the two. * 19. An LDD device with shallow grooves as described in item 15 of the patent scope, where the LDD structure is an NMOS, PM 0S or CMOS device. (Please read the precautions on the back before filling in the nest page) V. 4 Order I. Too W >? 疥 m tiMB B «V14at (TNS1
TW83105232A 1994-06-09 1994-06-09 Process for lightly doped drain with shallow trench and device thereof TW268140B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW83105232A TW268140B (en) 1994-06-09 1994-06-09 Process for lightly doped drain with shallow trench and device thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW83105232A TW268140B (en) 1994-06-09 1994-06-09 Process for lightly doped drain with shallow trench and device thereof

Publications (1)

Publication Number Publication Date
TW268140B true TW268140B (en) 1996-01-11

Family

ID=51396865

Family Applications (1)

Application Number Title Priority Date Filing Date
TW83105232A TW268140B (en) 1994-06-09 1994-06-09 Process for lightly doped drain with shallow trench and device thereof

Country Status (1)

Country Link
TW (1) TW268140B (en)

Similar Documents

Publication Publication Date Title
TW476136B (en) Method of forming a trench DMOS having reduced threshold voltage
TW312810B (en) The manufacturing method for LDD forming in MOS device
TW494481B (en) Semiconductor device and manufacturing method thereof
US6245639B1 (en) Method to reduce a reverse narrow channel effect for MOSFET devices
EP0227085A2 (en) A method of manufacturing IGFETs having minimal junction depth using epitaxial recrystallization
TW200933750A (en) MOSFET active area and edge termination area charge balance
JPS5846670A (en) Method of producing buried channel type charge coupled device
TW358989B (en) Method of forming gold-oxygen semiconductor cells
US20060189066A1 (en) Semiconductor device having optimized shallow junction geometries and method for fabrication thereof
US8120109B2 (en) Low dose super deep source/drain implant
TW304278B (en) The source-drain distributed implantation method
JPS57196573A (en) Manufacture of mos type semiconductor device
TW200305940A (en) Use of liner oxide implant to prevent dopant segregation from extensions
US5547903A (en) Method of elimination of junction punchthrough leakage via buried sidewall isolation
US20090096023A1 (en) Method for manufacturing semiconductor device
TW268140B (en) Process for lightly doped drain with shallow trench and device thereof
US5215937A (en) Optimizing doping control in short channel MOS
TW508751B (en) Method to form an elevated S/D CMOS device by contacting S/D through the contact of oxide
TW385496B (en) MOS-gated semiconductor devices
TW400625B (en) The manufacture method of improving the etch uniformity of the complementary metal-oxide semiconductor polycrystal silicon
KR20000060696A (en) Method for manufacturing semiconductor device the same
JPH04155932A (en) Production of semiconductor device
KR970018259A (en) Transistor manufacturing method of semiconductor device
KR100853982B1 (en) 3d cmos transistor and manufacturing method at the same
TW293945B (en) Method of forming pad source/drain structure with solid phase diffusion source/drain extended portion in deep sub-micron MOSFET