TW202418953A - Semiconductor device including memory structure and method of manufacturing the same - Google Patents

Semiconductor device including memory structure and method of manufacturing the same Download PDF

Info

Publication number
TW202418953A
TW202418953A TW112148506A TW112148506A TW202418953A TW 202418953 A TW202418953 A TW 202418953A TW 112148506 A TW112148506 A TW 112148506A TW 112148506 A TW112148506 A TW 112148506A TW 202418953 A TW202418953 A TW 202418953A
Authority
TW
Taiwan
Prior art keywords
layer
capacitor
layers
isolation layers
capacitors
Prior art date
Application number
TW112148506A
Other languages
Chinese (zh)
Other versions
TWI847934B (en
Inventor
管式凡
潘威禎
林育廷
林惠如
Original Assignee
南亞科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南亞科技股份有限公司 filed Critical 南亞科技股份有限公司
Publication of TW202418953A publication Critical patent/TW202418953A/en
Application granted granted Critical
Publication of TWI847934B publication Critical patent/TWI847934B/en

Links

Abstract

A semiconductor device and a method of manufacturing the same are provided. The semiconductor device includes a substrate, a plurality of capacitors, a first supporting layer, and a plurality of isolation layers. The plurality of capacitors are disposed on the substrate. Each of the capacitors extends along a first direction. Each of the plurality of capacitors includes a first capacitor electrode, a second capacitor electrode, and a capacitor dielectric separating the first capacitor electrode from the second capacitor electrode. The first supporting layer is disposed on the substrate. The first supporting layer extends along a second direction different from the first direction. Each of the plurality of isolation layers extends along the first direction, and the plurality of isolation layers and the first capacitor electrode of the plurality of capacitors have a staggered arrangement. The first capacitor electrode of the plurality of capacitors is spaced apart from the substrate. The capacitor dielectric includes a first surface and a second surface which are disposed on two opposite sides along the first direction. The second surface is exposed by the first capacitor electrode. The first supporting layer is disposed between the first surface and the second surface of the capacitor dielectric.

Description

包括記憶體結構的半導體元件及其製備方法Semiconductor device including memory structure and method for preparing the same

本申請案是2023年4月14日申請之第112114118號申請案的分割案,第112114118號申請案主張2022年10月25日申請之美國正式申請案第17/973,202號的優先權及益處,該美國正式申請案之內容以全文引用之方式併入本文中。This application is a division of application No. 112114118 filed on April 14, 2023. Application No. 112114118 claims priority and benefits to U.S. formal application No. 17/973,202 filed on October 25, 2022, the contents of which are incorporated herein by reference in their entirety.

本揭露內容關於一種半導體元件及其製備方法,特別是關於一種包括三維記憶體結構的半導體元件及其製備方法。The present disclosure relates to a semiconductor device and a method for preparing the same, and more particularly to a semiconductor device including a three-dimensional memory structure and a method for preparing the same.

隨著電子產業的快速發展,積體電路(IC)亦實現高性能及小型化。積體電路在材料與設計的技術進步更產生新一代的積體電路,並且新一代的積體電路比上一代的電路更小、也更複雜。With the rapid development of the electronics industry, integrated circuits (ICs) have also achieved high performance and miniaturization. The technological progress in materials and design of ICs has produced a new generation of ICs, which are smaller and more complex than the previous generation.

動態隨機存取記憶體(DRAM)元件是一種隨機存取記憶體,它將每一位元資料儲存在積體電路內的一個單獨的電容器中。通常情況下,DRAM以每個胞(cell)一個電容器與電晶體的方形陣列排列。已經為4F 2DRAM單元開發出垂直電晶體,其中F代表光學微影(photolithographic)的最小特徵寬度或關鍵尺寸(CD)。然而,近來隨著字元線間距的不斷縮小,DRAM製造商在最小化記憶胞面積的方面面臨著重大挑戰。 A dynamic random access memory (DRAM) device is a type of random access memory that stores each bit of data in a separate capacitor within an integrated circuit. Typically, DRAM is arranged in a square array of one capacitor and transistor per cell. Vertical transistors have been developed for 4F 2 DRAM cells, where F represents the minimum feature width or critical dimension (CD) for photolithography. However, recently, as wordline pitch continues to shrink, DRAM manufacturers face significant challenges in minimizing the memory cell area.

上文之「先前技術」說明僅係提供背景技術,並未承認上文之「先前技術」說明揭示本揭露之標的,不構成本揭露之先前技術,且上文之「先前技術」之任何說明均不應作為本案之任一部分。The above “prior art” description is only to provide background technology, and does not admit that the above “prior art” description discloses the subject matter of the present disclosure, does not constitute the prior art of the present disclosure, and any description of the above “prior art” should not be regarded as any part of the present case.

本揭露的一個方面提供一種半導體元件。該半導體元件包括一基底、複數個電容器、一第一支撐層以及複數個隔離層。該複數個電容器設置於該基底上。每個電容器都沿著一第一方向延伸。該複數個電容器中的每一個包括一第一電容器電極、一第二電容器電極,以及將該第一電容器電極與該第二電容器電極分開的一電容器介電質。該第一支撐層設置於該基底上。該第一支撐層沿不同於該第一方向的一第二方向延伸。該複數個隔離中的每個隔離層沿該第一方向延伸,該複數個隔離層與該複數個電容器中的該第一電容器電極具有一交錯設置。該複數個電容器的該第一電容器電極與該基底間隔開。該電容器介電質包括一第一表面及一第二表面,沿該第一方向設置於該電容器介電質的兩個相對的側面上。該第二表面被該第一電容器電極曝露。該第一支撐層設置於該電容器介電質的該第一表面與該第二表面之間。One aspect of the present disclosure provides a semiconductor device. The semiconductor device includes a substrate, a plurality of capacitors, a first support layer, and a plurality of isolation layers. The plurality of capacitors are disposed on the substrate. Each capacitor extends along a first direction. Each of the plurality of capacitors includes a first capacitor electrode, a second capacitor electrode, and a capacitor dielectric separating the first capacitor electrode from the second capacitor electrode. The first support layer is disposed on the substrate. The first support layer extends along a second direction different from the first direction. Each isolation layer in the plurality of isolations extends along the first direction, and the plurality of isolation layers and the first capacitor electrodes in the plurality of capacitors have a staggered arrangement. The first capacitor electrodes of the plurality of capacitors are spaced apart from the substrate. The capacitor dielectric includes a first surface and a second surface disposed on two opposite sides of the capacitor dielectric along the first direction. The second surface is exposed by the first capacitor electrode. The first supporting layer is disposed between the first surface and the second surface of the capacitor dielectric.

本揭露的另一個方面提供一種半導體元件的製備方法。該製備方法包括:提供一基底;在該基底上形成複數個隔離層以及複數個第一導電層,其中該複數個隔離層及該複數個第一導電層交替堆疊;對該複數個隔離層及該複數個第一導電層進行圖案化,以形成複數個島狀結構;移除該複數個隔離層的一第一部分,以曝露該複數個第一導電層;形成一電容介電質,以覆蓋該複數個第一導電層;以及形成一第二導電層,以覆蓋該電容介電質。Another aspect of the present disclosure provides a method for preparing a semiconductor device. The method includes: providing a substrate; forming a plurality of isolation layers and a plurality of first conductive layers on the substrate, wherein the plurality of isolation layers and the plurality of first conductive layers are alternately stacked; patterning the plurality of isolation layers and the plurality of first conductive layers to form a plurality of island structures; removing a first portion of the plurality of isolation layers to expose the plurality of first conductive layers; forming a capacitor dielectric to cover the plurality of first conductive layers; and forming a second conductive layer to cover the capacitor dielectric.

本揭露的實施例提供一種半導體元件。半導體元件可以定義一個三維記憶體元件。例如,電容器可以沿一平面設置,該平面實質上垂直於基底的上表面,這減少了半導體元件的整體厚度。此外,半導體元件可包括支撐層。支撐層可經配置以在製備過程中加強中間結構。支撐層可經配置以增加電容器的第一電容器電極的長度,並防止第一電容器電極倒塌,這可增加電容器的數量。Embodiments of the present disclosure provide a semiconductor element. The semiconductor element can define a three-dimensional memory element. For example, the capacitor can be arranged along a plane that is substantially perpendicular to the upper surface of the substrate, which reduces the overall thickness of the semiconductor element. In addition, the semiconductor element may include a support layer. The support layer can be configured to strengthen the intermediate structure during the manufacturing process. The support layer can be configured to increase the length of the first capacitor electrode of the capacitor and prevent the first capacitor electrode from collapsing, which can increase the number of capacitors.

上文已相當廣泛地概述本揭露之技術特徵及優點,俾使下文之本揭露詳細描述得以獲得較佳瞭解。構成本揭露之申請專利範圍標的之其它技術特徵及優點將描述於下文。本揭露所屬技術領域中具有通常知識者應瞭解,可相當容易地利用下文揭示之概念與特定實施例可作為修改或設計其它結構或過程而實現與本揭露相同之目的。本揭露所屬技術領域中具有通常知識者亦應瞭解,這類等效建構無法脫離後附之申請專利範圍所界定之本揭露的精神和範圍。The above has been a fairly broad overview of the technical features and advantages of the present disclosure so that the detailed description of the present disclosure below can be better understood. Other technical features and advantages that constitute the subject matter of the patent application scope of the present disclosure will be described below. Those with ordinary knowledge in the art to which the present disclosure belongs should understand that the concepts and specific embodiments disclosed below can be easily used to modify or design other structures or processes to achieve the same purpose as the present disclosure. Those with ordinary knowledge in the art to which the present disclosure belongs should also understand that such equivalent constructions cannot deviate from the spirit and scope of the present disclosure as defined by the attached patent application scope.

現在用具體的語言來描述附圖中說明的本揭露的實施例,或實例。應理解的是,在此不打算限制本揭露的範圍。對所描述的實施例的任何改變或修改,以及對本文所描述的原理的任何進一步應用,都應被認為是與本揭露內容有關的技術領域的普通技術人員通常會做的。參考數字可以在整個實施例中重複,但這並不一定表示一實施例的特徵適用於另一實施例,即使它們共用相同的參考數字。The embodiments, or examples, of the present disclosure illustrated in the accompanying drawings will now be described in specific language. It should be understood that no limitation of the scope of the present disclosure is intended herein. Any changes or modifications to the described embodiments, and any further application of the principles described herein, should be considered as would be routinely made by one of ordinary skill in the art to which the present disclosure relates. Reference numerals may be repeated throughout the embodiments, but this does not necessarily mean that features of one embodiment are applicable to another embodiment, even if they share the same reference numeral.

應該理解的是,當一個元素或層被稱為"連接到"或"耦合到"另一個元素或層時,它可以直接連接到或耦合到另一個元素或層,或者可能存在中間的元素或層。It will be understood that when an element or layer is referred to as being “connected to” or “coupled to” another element or layer, it can be directly connected or coupled to the other element or layer or intervening elements or layers may be present.

應理解的是,儘管用語第一、第二、第三等可用於描述各種元素、元件、區域、層或部分,但這些元素、元件、區域、層或部分不受這些用語的限制。相反,這些用語只是用來區分一元素、元件、區域、層或部分與另一元素、元件、區域、層或部分。因此,下面討論的第一元素、元件、區域、層或部分可以稱為第二元素、元件、區域、層或部分而不偏離本發明概念的教導。It should be understood that although the terms first, second, third, etc. may be used to describe various elements, components, regions, layers, or portions, these elements, components, regions, layers, or portions are not limited by these terms. Instead, these terms are only used to distinguish one element, component, region, layer, or portion from another element, component, region, layer, or portion. Therefore, the first element, component, region, layer, or portion discussed below may be referred to as the second element, component, region, layer, or portion without departing from the teachings of the present inventive concept.

本文使用的用語僅用於描述特定的實施例,並不打算局限於本發明的概念。正如本文所使用的,單數形式的"一"、"一個"及"該"也包括複數形式,除非上下文明確指出。應進一步理解,用語"包含"及"包括",當在本說明書中使用時,指出了所述特徵、整數、步驟、操作、元素或元件的存在,但不排除存在或增加一個或複數個其他特徵、整數、步驟、操作、元素、元件或其組。The terms used herein are used only to describe specific embodiments and are not intended to limit the concepts of the present invention. As used herein, the singular forms "a", "an" and "the" also include the plural forms unless the context clearly indicates otherwise. It should be further understood that the terms "comprise" and "include", when used in this specification, indicate the presence of the features, integers, steps, operations, elements or components, but do not exclude the presence or addition of one or more other features, integers, steps, operations, elements, components or groups thereof.

應該注意的是,用語"大約"修改所採用的成分、組分或反應物的數量是指可能發生的數值數量的變化,例如,透過用於製造濃縮物或溶液的典型測量與液體處理常式。此外,測量程序中的疏忽錯誤、用於製造組合物或執行方法的成分的製造、來源或純度的差異等都可能產生變化。在一個方面,用語"大約"是指報告數值的10%以內。在另一個方面,用語"大約"是指報告數值的5%以內。然而,在另一個方面,用語"大約"是指報告數值的10、9、8、7、6、5、4、3、2或1%以內。It should be noted that the term "approximately" modifies the amount of an ingredient, component, or reactant employed to refer to variations in the numerical amount that may occur, for example, through typical measurements and liquid handling routines used to make concentrates or solutions. In addition, variations may occur due to inadvertent errors in measurement procedures, differences in the manufacture, source, or purity of the ingredients used to make the composition or perform the method, etc. In one aspect, the term "approximately" means within 10% of the reported value. In another aspect, the term "approximately" means within 5% of the reported value. However, in another aspect, the term "approximately" means within 10, 9, 8, 7, 6, 5, 4, 3, 2, or 1% of the reported value.

參照圖1A,圖1A是透視圖,例示本揭露一些實施例之半導體元件100a。半導體元件100a可以包括在一記憶體元件中。記憶體元件可以包括,例如,一動態隨機存取記憶體(DRAM)元件、一次性程式設計(OTP)記憶體元件、靜態隨機存取記憶體(SRAM)元件,或其他適合的記憶體元件。Referring to FIG. 1A , FIG. 1A is a perspective view illustrating a semiconductor device 100 a of some embodiments of the present disclosure. The semiconductor device 100 a may be included in a memory device. The memory device may include, for example, a dynamic random access memory (DRAM) device, a one-time programming (OTP) memory device, a static random access memory (SRAM) device, or other suitable memory devices.

如圖1A所示,半導體元件100a可包括基底110,隔離層120-1、120-2、120-3、120-4及120-5,導電層130-1、130-2、130-3、130-4及130-5,以及支撐層140-1及140-2,以及電容器150-1、150-2、150-3、150-4、150-5、150-6、150-7、150-8、150-9、及150-10。As shown in FIG. 1A , the semiconductor device 100 a may include a substrate 110 , isolation layers 120 - 1 , 120 - 2 , 120 - 3 , 120 - 4 , and 120 - 5 , conductive layers 130 - 1 , 130 - 2 , 130 - 3 , 130 - 4 , and 130 - 5 , and support layers 140 - 1 and 140 - 2 , and capacitors 150 - 1 , 150 - 2 , 150 - 3 , 150 - 4 , 150 - 5 , 150 - 6 , 150 - 7 , 150 - 8 , 150 - 9 , and 150 - 10 .

基底110可以是一種半導體基底,例如塊狀半導體、絕緣體上的半導體(SOI)基底,或類似的基底。基底110可以包括一元素(elementary)半導體,包括單晶形式、多晶形式或非晶形式的矽或鍺;一複合半導體材料,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦以及銻化銦中的至少一種;一合金半導體材料,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和GaInAsP中的至少一種;任何其他適合的材料;或其組合。在一些實施例中,合金半導體基底可以包括具有梯度Ge特徵的SiGe合金,其中Si與Ge的組成從梯度SiGe特徵的一個位置的比例變為另一個位置的比例。在另一個實施例中,SiGe合金是形成在矽基底上。在一些實施例中,SiGe合金可以被另一種與SiGe合金接觸的材料機械地拉緊。在一些實施例中,基底110可以具有一多層結構,或者基底110可以包括一多層化合物半導體結構。基底110可以具有一表面110s1(或一上表面)。表面110s1的法線方向可以實質平行於Z方向。The substrate 110 may be a semiconductor substrate, such as a bulk semiconductor, a semiconductor on insulator (SOI) substrate, or the like. The substrate 110 may include an elementary semiconductor, including silicon or germanium in single crystal form, polycrystalline form, or amorphous form; a composite semiconductor material, including at least one of silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and indium sulfide; an alloy semiconductor material, including at least one of SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and GaInAsP; any other suitable material; or a combination thereof. In some embodiments, the alloy semiconductor substrate may include a SiGe alloy having a gradient Ge feature, wherein the composition of Si and Ge changes from a ratio at one position of the gradient SiGe feature to a ratio at another position. In another embodiment, the SiGe alloy is formed on a silicon substrate. In some embodiments, the SiGe alloy may be mechanically stretched by another material in contact with the SiGe alloy. In some embodiments, the substrate 110 may have a multi-layer structure, or the substrate 110 may include a multi-layer compound semiconductor structure. The substrate 110 may have a surface 110s1 (or an upper surface). The normal direction of the surface 110s1 may be substantially parallel to the Z direction.

在一些實施例中,隔離層120-1可以設置於基底110的表面110s1上。在一些實施例中,隔離層120-1可與基底110接觸。隔離層120-2可以設置於隔離層120-1上。在一些實施例中,隔離層120-2可以透過導電層130-1與隔離層120-1間隔開。隔離層120-3可設置於隔離層120-2上。在一些實施例中,隔離層120-3可以透過導電層130-2與隔離層120-2間隔開。隔離層120-4可以設置於隔離層120-3上。在一些實施例中,隔離層120-4可以透過導電層130-3與隔離層120-3間隔開。隔離層120-5可以設置於隔離層120-4上。在一些實施例中,隔離層120-5可以透過導電層130-4與隔離層120-4間隔開。在一些實施例中,隔離層120-1、120-2、120-3、120-4及120-5可以沿Z方向堆疊。在一些實施例中,隔離層120-1、120-2、120-3、120-4及120-5可以位於不同的水平層面。每個隔離層120-1、120-2、120-3、120-4及120-5可以包括一種介電材料,如氧化矽(SiO x)、氮化矽(Si xN y)、矽氧氮化物(SiON)或其他適合的材料。 In some embodiments, the isolation layer 120-1 may be disposed on the surface 110s1 of the substrate 110. In some embodiments, the isolation layer 120-1 may be in contact with the substrate 110. The isolation layer 120-2 may be disposed on the isolation layer 120-1. In some embodiments, the isolation layer 120-2 may be separated from the isolation layer 120-1 by the conductive layer 130-1. The isolation layer 120-3 may be disposed on the isolation layer 120-2. In some embodiments, the isolation layer 120-3 may be separated from the isolation layer 120-2 by the conductive layer 130-2. The isolation layer 120-4 may be disposed on the isolation layer 120-3. In some embodiments, the isolation layer 120-4 may be separated from the isolation layer 120-3 by the conductive layer 130-3. The isolation layer 120-5 may be disposed on the isolation layer 120-4. In some embodiments, the isolation layer 120-5 may be separated from the isolation layer 120-4 by the conductive layer 130-4. In some embodiments, the isolation layers 120-1, 120-2, 120-3, 120-4, and 120-5 may be stacked along the Z direction. In some embodiments, the isolation layers 120-1, 120-2, 120-3, 120-4 and 120-5 may be located at different levels. Each isolation layer 120-1, 120-2, 120-3, 120-4 and 120-5 may include a dielectric material such as silicon oxide ( SiOx ), silicon nitride ( SixNy ), silicon oxynitride (SiON) or other suitable materials.

在一些實施例中,導電層130-1可以設置於基底110的表面110s1上。在一些實施例中,導電層130-1可以設置於隔離層120-1上。在一些實施例中,導電層130-1可與隔離層120-1接觸。在一些實施例中,導電層130-2可以設置於導電層130-1上。在一些實施例中,導電層130-2可以設置於隔離層120-2上。在一些實施例中,導電層130-3可以設置於導電層130-2上。在一些實施例中,導電層130-3可以設置於隔離層120-3上。在一些實施例中,導電層130-4可以設置於導電層130-3上。在一些實施例中,導電層130-4可以設置於隔離層120-4上。在一些實施例中,導電層130-5可以設置於隔離層120-5上。在一些實施例中,導電層130-1、130-2、130-3、130-4及130-5可沿Z方向堆疊。在一些實施例中,每個導電層130-1、130-2、130-3、130-4及130-5可以沿X方向延伸。在一些實施例中,導電層130-1、130-2、130-3、130-4及130-5可以位於不同的水平層面。在一些實施例中,每個導電層130-1、130-2、130-3、130-4及130-5可以包括導電材料,如鎢(W)、銅(Cu)、鋁(Al)、鉭(Ta)、鉬(Mo)、氮化鉭(TaN)、鈦、氮化鈦(TiN)等,以及/或其組合。In some embodiments, the conductive layer 130-1 may be disposed on the surface 110s1 of the substrate 110. In some embodiments, the conductive layer 130-1 may be disposed on the isolation layer 120-1. In some embodiments, the conductive layer 130-1 may be in contact with the isolation layer 120-1. In some embodiments, the conductive layer 130-2 may be disposed on the conductive layer 130-1. In some embodiments, the conductive layer 130-2 may be disposed on the isolation layer 120-2. In some embodiments, the conductive layer 130-3 may be disposed on the conductive layer 130-2. In some embodiments, the conductive layer 130-3 may be disposed on the isolation layer 120-3. In some embodiments, the conductive layer 130-4 may be disposed on the conductive layer 130-3. In some embodiments, the conductive layer 130-4 may be disposed on the isolation layer 120-4. In some embodiments, the conductive layer 130-5 may be disposed on the isolation layer 120-5. In some embodiments, the conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5 may be stacked along the Z direction. In some embodiments, each of the conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5 may extend along the X direction. In some embodiments, the conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5 may be located at different horizontal levels. In some embodiments, each of the conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5 may include a conductive material such as tungsten (W), copper (Cu), aluminum (Al), tantalum (Ta), molybdenum (Mo), tantalum nitride (TaN), titanium, titanium nitride (TiN), etc., and/or combinations thereof.

在一些實施例中,支撐層140-1及140-2中的每一個可以沿Y方向延伸。在一些實施例中,支撐層140-1及140-2中的每一個可以經配置以支撐電容器150-1、150-2、150-3、150-4、150-5、150-6、150-7、150-8、150-9及150-10。在一些實施例中,支撐層140-1及140-2中的每一個可以協助增加電容器150-1、150-2、150-3、150-4、150-5、150-6、150-7、150-8、150-9及150-10的長度,沿X方向。在一些實施例中,支撐層140-1及140-2可以沿X方向排列。在一些實施例中,支撐層140-1及140-2中的每一個可以連續延伸穿過,例如,電容器150-1及150-6。在一些實施例中,支撐層140-1可以透過電容器介電質152與支撐層140-2間隔開。在一些實施例中,支撐層140-1可以透過導電層154與支撐層140-2間隔開。在一些實施例中,支撐層140-1可以設置於,例如,導電層130-2與130-3之間。在一些實施例中,支撐層140-1及140-2的材料可以與隔離層120-1、120-2、120-3、120-4及120-5的材料不同。在一些實施例中,支撐層140-1及140-2中的每一個可以包括氮化矽(Si xN y)、氧化矽(SiO x)、矽氧氮化物(SiON),或其他適合的材料。 In some embodiments, each of the support layers 140-1 and 140-2 can extend along the Y direction. In some embodiments, each of the support layers 140-1 and 140-2 can be configured to support the capacitors 150-1, 150-2, 150-3, 150-4, 150-5, 150-6, 150-7, 150-8, 150-9, and 150-10. In some embodiments, each of the support layers 140-1 and 140-2 can help increase the length of the capacitors 150-1, 150-2, 150-3, 150-4, 150-5, 150-6, 150-7, 150-8, 150-9, and 150-10 along the X direction. In some embodiments, the support layers 140-1 and 140-2 may be arranged along the X direction. In some embodiments, each of the support layers 140-1 and 140-2 may extend continuously through, for example, the capacitors 150-1 and 150-6. In some embodiments, the support layer 140-1 may be separated from the support layer 140-2 by the capacitor dielectric 152. In some embodiments, the support layer 140-1 may be separated from the support layer 140-2 by the conductive layer 154. In some embodiments, the support layer 140-1 may be disposed, for example, between the conductive layers 130-2 and 130-3. In some embodiments, the material of the support layers 140-1 and 140-2 may be different from the material of the isolation layers 120-1, 120-2, 120-3, 120-4, and 120-5. In some embodiments, each of the support layers 140-1 and 140-2 may include silicon nitride (Si x N y ), silicon oxide (SiO x ), silicon oxynitride (SiON), or other suitable materials.

在一些實施例中,電容器150-1、150-2、150-3、150-4、150-5、150-6、150-7、150-8、150-9及150-10可以沿YZ平面設置。在一些實施例中,電容器150-1、150-2、150-3、150-4及150-5可以沿Z方向堆疊。在一些實施例中,電容器150-1、150-2、150-3、150-4及150-5可以位於不同的水平層面。在一些實施例中,電容器150-1、150-2、150-3、150-4、150-5、150-6、150-7、150-8、150-9及150-10可以沿X方向延伸。在一些實施例中,電容器150-1可以設置於基底110上。在一些實施例中,電容器150-2可以設置於電容器150-1上。在一些實施例中,電容器150-3可以設置於電容器150-2上。在一些實施例中,電容器150-4可以設置於電容器150-3上。在一些實施例中,電容器150-5可以設置於電容器150-4上。在一些實施例中,電容器150-1及150-6可以沿Y方向設置。In some embodiments, capacitors 150-1, 150-2, 150-3, 150-4, 150-5, 150-6, 150-7, 150-8, 150-9, and 150-10 may be arranged along the YZ plane. In some embodiments, capacitors 150-1, 150-2, 150-3, 150-4, and 150-5 may be stacked along the Z direction. In some embodiments, capacitors 150-1, 150-2, 150-3, 150-4, and 150-5 may be located at different horizontal levels. In some embodiments, capacitors 150-1, 150-2, 150-3, 150-4, 150-5, 150-6, 150-7, 150-8, 150-9, and 150-10 may extend along the X direction. In some embodiments, capacitor 150-1 may be disposed on substrate 110. In some embodiments, capacitor 150-2 may be disposed on capacitor 150-1. In some embodiments, capacitor 150-3 may be disposed on capacitor 150-2. In some embodiments, capacitor 150-4 may be disposed on capacitor 150-3. In some embodiments, capacitor 150-5 may be disposed on capacitor 150-4. In some embodiments, capacitors 150-1 and 150-6 may be disposed along the Y direction.

在一些實施例中,電容器150-1、150-2、150-3、150-4、150-5、150-6、150-7、150-8、150-9及150-10中的每一個可以包括一第一電容器電極、一電容器介電質,以及一第二電容器電極。在一些實施例中,導電層130-1、130-2、130-3、130-4及130-5中的每一個都可以做為一第一電容器電極。在一些實施例中,電容器介電質152可做為一電容器介電質。在一些實施例中,導電層154可做為一第二電容器電極。In some embodiments, each of capacitors 150-1, 150-2, 150-3, 150-4, 150-5, 150-6, 150-7, 150-8, 150-9, and 150-10 may include a first capacitor electrode, a capacitor dielectric, and a second capacitor electrode. In some embodiments, each of conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5 may serve as a first capacitor electrode. In some embodiments, capacitor dielectric 152 may serve as a capacitor dielectric. In some embodiments, conductive layer 154 may serve as a second capacitor electrode.

在一些實施例中,電容器介電質152可以圍繞或包圍導電層130-1、130-2、130-3、130-4及130-5。在一些實施例中,電容器介電質152在剖視圖中可以具有一環形的輪廓。在一些實施例中,電容器介電質152可以與支撐層140-1及140-2接觸。在一些實施例中,電容器介電質152可以沿X方向延伸。電容器介電質152可以包括一高k材料。高k材料可包括氧化鉿(HfO 2)、氧化鋯(ZrO 2)、氧化鑭(La 2O 3)、氧化釔(Y 2O 3)、氧化鋁(Al 2O 3)、氧化鈦(TiO 2)或其他適用材料。其他適合的材料也在本揭露的考量範圍之內。 In some embodiments, the capacitor dielectric 152 may surround or enclose the conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5. In some embodiments, the capacitor dielectric 152 may have a ring-shaped profile in a cross-sectional view. In some embodiments, the capacitor dielectric 152 may contact the support layers 140-1 and 140-2. In some embodiments, the capacitor dielectric 152 may extend along the X direction. The capacitor dielectric 152 may include a high-k material. The high-k material may include ferrite (HfO 2 ), zirconium (ZrO 2 ), vanadium (La 2 O 3 ), yttrium (Y 2 O 3 ), aluminum (Al 2 O 3 ), titanium (TiO 2 ) or other applicable materials. Other suitable materials are also within the scope of this disclosure.

在一些實施例中,導電層154可以圍繞或包圍電容器介電質152。在一些實施例中,導電層154可以圍繞或包圍導電層130-1、130-2、130-3、130-4及130-5。在一些實施例中,導電層154可以透過電容介電質152與導電層130-1、130-2、130-3、130-4及130-5間隔開。在一些實施例中,導電層154在剖視圖中可以具有一環形的輪廓。在一些實施例中,導電層154可以沿X方向延伸。在一些實施例中,導電層154的材料可以與導電層130-1、130-2、130-3、130-4及130-5的材料相同。在一些實施例中,導電層154可包括導電材料,如鎢(W)、銅(Cu)、鋁(Al)、鉭(Ta)、鉬(Mo)、氮化鉭(TaN)、鈦、氮化鈦(TiN)等,以及/或其組合。In some embodiments, the conductive layer 154 may surround or enclose the capacitor dielectric 152. In some embodiments, the conductive layer 154 may surround or enclose the conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5. In some embodiments, the conductive layer 154 may be separated from the conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5 by the capacitor dielectric 152. In some embodiments, the conductive layer 154 may have a ring-shaped profile in a cross-sectional view. In some embodiments, the conductive layer 154 may extend along the X direction. In some embodiments, the material of the conductive layer 154 may be the same as the material of the conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5. In some embodiments, the conductive layer 154 may include a conductive material such as tungsten (W), copper (Cu), aluminum (Al), tantalum (Ta), molybdenum (Mo), tantalum nitride (TaN), titanium, titanium nitride (TiN), etc., and/or combinations thereof.

參照圖1B,圖1B是剖視圖,例示本揭露一些實施例沿圖1A中A-A'線之半導體元件100a。1B , which is a cross-sectional view illustrating a semiconductor device 100a along line AA′ in FIG. 1A according to some embodiments of the present disclosure.

在一些實施例中,隔離層120-1、導電層130-1、隔離層120-2、導電層130-2、隔離層120-3、導電層130-3、隔離層120-4、導電層130-4、隔離層120-5及導電層130-5可以分別位於水平層面E1、E2、E3、E4、E5、E6、E7、E8、E9及E10。In some embodiments, isolation layer 120-1, conductive layer 130-1, isolation layer 120-2, conductive layer 130-2, isolation layer 120-3, conductive layer 130-3, isolation layer 120-4, conductive layer 130-4, isolation layer 120-5 and conductive layer 130-5 may be located at horizontal layers E1, E2, E3, E4, E5, E6, E7, E8, E9 and E10, respectively.

電容器介電質152可以具有表面152s1及表面152s2。表面152s1與152s2可以沿X方向位於電容器介電質152的兩個相對的側面上。電容器介電質的表面152s1可以從導電層130-1、130-2、130-3、130-4及130-5曝露。電容器介電質的表面152s1可以從導電層154曝露。在一些實施例中,支撐層140-1可以設置於電容器介電質152的表面152s1與152s2之間。在一些實施例中,支撐層140-2可設置於電容器介電質152的表面152s1與152s2之間。在一些實施例中,支撐層140-1可以設置於導電層154的兩個相對側表面之間。The capacitor dielectric 152 may have a surface 152s1 and a surface 152s2. The surfaces 152s1 and 152s2 may be located on two opposite sides of the capacitor dielectric 152 along the X direction. The surface 152s1 of the capacitor dielectric may be exposed from the conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5. The surface 152s1 of the capacitor dielectric may be exposed from the conductive layer 154. In some embodiments, the support layer 140-1 may be disposed between the surfaces 152s1 and 152s2 of the capacitor dielectric 152. In some embodiments, the support layer 140-2 may be disposed between the surfaces 152s1 and 152s2 of the capacitor dielectric 152. In some embodiments, the support layer 140 - 1 may be disposed between two opposite side surfaces of the conductive layer 154 .

在一些實施例中,隔離層120-1、120-2、120-3、120-4及120-5中的每一個可以與電容器介電質152接觸。在一些實施例中,隔離層120-1、120-2、120-3、120-4及120-5中的每一個可以透過電容器介電質152與導電層154間隔開。In some embodiments, each of the isolation layers 120-1, 120-2, 120-3, 120-4, and 120-5 may be in contact with the capacitor dielectric 152. In some embodiments, each of the isolation layers 120-1, 120-2, 120-3, 120-4, and 120-5 may be separated from the conductive layer 154 by the capacitor dielectric 152.

在一些實施例中,導電層130-1、130-2、130-3、130-4及130-5中的每一個可以具有部分132及部分134。在一些實施例中,部分132及134是一單片(monolithic)。在一些實施例中,部分132可以做為電容器150-1、150-2、150-3、150-4、150-5、150-6、150-7、150-8、150-9以及/或150-10的第一電容器電極。在一些實施例中,部分134可以做為電容器150-1、150-2、150-3、150-4、150-5、150-6、150-7、150-8、150-9或150-10與電晶體(本圖中未顯示)之間的互連線。In some embodiments, each of the conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5 may have a portion 132 and a portion 134. In some embodiments, the portions 132 and 134 are monolithic. In some embodiments, the portion 132 may serve as a first capacitor electrode of the capacitors 150-1, 150-2, 150-3, 150-4, 150-5, 150-6, 150-7, 150-8, 150-9, and/or 150-10. In some embodiments, portion 134 may serve as an interconnect between capacitor 150-1, 150-2, 150-3, 150-4, 150-5, 150-6, 150-7, 150-8, 150-9, or 150-10 and a transistor (not shown in this figure).

參照圖1C,例示本揭露一些實施例沿圖1A中B-B'線之半導體元件100a。1C , a semiconductor device 100a along line BB′ in FIG. 1A is illustrated according to some embodiments of the present disclosure.

在一些實施例中,導電層130-1、130-2、130-3、130-4及130-5中的每一個可以具有一矩形的輪廓或其他適合的輪廓。在一些實施例中,電容器介電質152可以完全圍繞或包圍導電層130-1、130-2、130-3、130-4及130-5。在一些實施例中,導電層154可以完全圍繞或包圍電容器介電質152。In some embodiments, each of the conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5 may have a rectangular outline or other suitable outline. In some embodiments, the capacitor dielectric 152 may completely surround or enclose the conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5. In some embodiments, the conductive layer 154 may completely surround or enclose the capacitor dielectric 152.

參照圖1D,圖1D是剖視圖,例示本揭露一些實施例沿圖1A中C-C'線之半導體元件。1D , which is a cross-sectional view illustrating a semiconductor device along line CC′ in FIG. 1A according to some embodiments of the present disclosure.

在一些實施例中,支撐層140-2(或140-1)可與導電層130-1、130-2、130-3、130-4及130-5接觸。在一些實施例中,支撐層140-2(或140-1)可以連接到導電層130-1、130-2、130-3、130-4及130-5。在一些實施例中,支撐層140-2(或140-1)可與基底110的表面110s1接觸。In some embodiments, the support layer 140-2 (or 140-1) may contact the conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5. In some embodiments, the support layer 140-2 (or 140-1) may be connected to the conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5. In some embodiments, the support layer 140-2 (or 140-1) may contact the surface 110s1 of the substrate 110.

參照圖1E,圖1E是剖視圖,例示本揭露一些實施例沿圖1A中D-D'線之半導體元件。1E is a cross-sectional view illustrating a semiconductor device along line DD' in FIG. 1A according to some embodiments of the present disclosure.

在一些實施例中,半導體元件100a可包括複數個島狀結構180。每個島狀結構180可以包括隔離層120-1、120-2、120-3、120-4及120-5,以及導電層130-1、130-2、130-3、130-4及130-5。每個島狀結構180可以沿X方向延伸。在一些實施例中,每個導電層130-1、130-2、130-3、130-4及130-5的部分134可以透過隔離層120-1、120-2、120-3、120-4及120-5彼此間隔開。In some embodiments, the semiconductor device 100a may include a plurality of island structures 180. Each island structure 180 may include isolation layers 120-1, 120-2, 120-3, 120-4, and 120-5, and conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5. Each island structure 180 may extend along the X direction. In some embodiments, portions 134 of each conductive layer 130-1, 130-2, 130-3, 130-4, and 130-5 may be separated from each other by the isolation layers 120-1, 120-2, 120-3, 120-4, and 120-5.

本揭露的實施例提供一種半導體元件。半導體元件可以定義一個三維記憶體元件。例如,電容器可以沿一平面設置,該平面實質上垂直於基底的上表面,這減少了半導體元件的整體厚度。此外,半導體元件可包括支撐層。支撐層可經配置以在製備過程中加強中間結構。支撐層可經配置以增加電容器的第一電容器電極的長度,並防止第一電容器電極倒塌,這可增加電容器的數量。Embodiments of the present disclosure provide a semiconductor element. The semiconductor element can define a three-dimensional memory element. For example, the capacitor can be arranged along a plane that is substantially perpendicular to the upper surface of the substrate, which reduces the overall thickness of the semiconductor element. In addition, the semiconductor element may include a support layer. The support layer can be configured to strengthen the intermediate structure during the manufacturing process. The support layer can be configured to increase the length of the first capacitor electrode of the capacitor and prevent the first capacitor electrode from collapsing, which can increase the number of capacitors.

圖2是剖視圖,例示本揭露一些實施例之半導體元件100b。FIG. 2 is a cross-sectional view illustrating a semiconductor device 100 b according to some embodiments of the present disclosure.

半導體元件100b可更包括電晶體160。在一些實施例中,電晶體160可以包括字元線161、閘極介電質162、通道層163-1、163-2、163-3、163-4或163-5,以及位元線164-1、164-2、164-3、164-4或164-5。The semiconductor device 100b may further include a transistor 160. In some embodiments, the transistor 160 may include a word line 161, a gate dielectric 162, a channel layer 163-1, 163-2, 163-3, 163-4, or 163-5, and a bit line 164-1, 164-2, 164-3, 164-4, or 164-5.

在一些實施例中,字元線161可以設置於基底110上。在一些實施例中,字元線161可設置於,例如,位元線164-1與電容器150-1之間。在一些實施例中,字元線161可以穿透基底110的一部分。在一些實施例中,字元線161可沿Z方向延伸。在一些實施例中,字元線161可以穿透隔離層120-1、120-2、120-3、120-4及120-5。在一些實施例中,字元線161可包括導電材料,如鎢(W)、銅(Cu)、鋁(Al)、鉭(Ta)、鉬(Mo)、氮化鉭(TaN)、鈦、氮化鈦(TiN)等,以及/或其組合。In some embodiments, the word line 161 may be disposed on the substrate 110. In some embodiments, the word line 161 may be disposed, for example, between the bit line 164-1 and the capacitor 150-1. In some embodiments, the word line 161 may penetrate a portion of the substrate 110. In some embodiments, the word line 161 may extend along the Z direction. In some embodiments, the word line 161 may penetrate the isolation layers 120-1, 120-2, 120-3, 120-4, and 120-5. In some embodiments, the word line 161 may include a conductive material, such as tungsten (W), copper (Cu), aluminum (Al), tantalum (Ta), molybdenum (Mo), tantalum nitride (TaN), titanium, titanium nitride (TiN), etc., and/or combinations thereof.

在一些實施例中,閘極介電質162可以圍繞字元線161。在一些實施例中,閘極介電質162可以將字元線161與導電層130-1、130-2、130-3、130-4及130-5分開。在一些實施例中,閘極介電質162可以將字元線161與通道層163-1、163-2、163-3、163-4或163-5分開。在一些實施例中,閘極介電質162可以穿透導電層130-1、130-2、130-3、130-4及130-5。在一些實施例中,閘極介電質162可以穿透隔離層120-1、120-2、120-3、120-4及120-5。在一些實施例中,閘極介電質162可以包括氧化矽(SiO x)、氮化矽(Si xN y)、矽氧氮化物(SiON),或其組合。在一些實施例中,閘極介電質l62可以包括介電材料,如高k介電材料。高介電材料可具有超過4的介電常數(k值)。高K材料可包括氧化鉿(HfO 2)、氧化鋯(ZrO 2)、氧化鑭(La 2O 3)、氧化釔(Y 2O 3)、氧化鋁(Al 2O 3)、氧化鈦(TiO 2)或其他適用材料。其他適合的材料也在本揭露的考量範圍之內。 In some embodiments, the gate dielectric 162 may surround the word line 161. In some embodiments, the gate dielectric 162 may separate the word line 161 from the conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5. In some embodiments, the gate dielectric 162 may separate the word line 161 from the channel layers 163-1, 163-2, 163-3, 163-4, or 163-5. In some embodiments, the gate dielectric 162 may penetrate the conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5. In some embodiments, the gate dielectric 162 may penetrate the isolation layers 120-1, 120-2, 120-3, 120-4, and 120-5. In some embodiments, the gate dielectric 162 may include silicon oxide ( SiOx ), silicon nitride (Si x N y ), silicon oxynitride (SiON), or a combination thereof. In some embodiments, the gate dielectric 162 may include a dielectric material, such as a high-k dielectric material. The high-k material may have a dielectric constant (k value) greater than 4. The high-k material may include ferrite (HfO 2 ), zirconium oxide (ZrO 2 ), lumen oxide (La 2 O 3 ), yttrium oxide (Y 2 O 3 ), aluminum oxide (Al 2 O 3 ), titanium oxide (TiO 2 ), or other applicable materials. Other suitable materials are also within the scope of this disclosure.

在一些實施例中,通道層163-1、163-2、163-3、163-4或163-5中的每一個可以沿X方向延伸。在一些實施例中,通道層163-1、163-2、163-3、163-4或163-5中的每一個可以分別位於與導電層130-1、130-2、130-3、130-4及130-5相同的水平層面上。在一些實施例中,通道層163-1、163-2、163-3、163-4或163-5的材料可以與導電層130-1、130-2、130-3、130-4及130-5的材料不同。在一些實施例中,通道層163-1、163-2、163-3、163-4或163-5中的每一個可以包括半導體材料,例如單晶形式、多晶形式或無定形(amorphous)形式的矽(Si)、鍺(Ge)、錫(Sn)、銻(Sb)。在一些實施例中,通道層163-1、163-2、163-3、163-4或163-5中的每個都可以包括一摻雜區(未顯示)。摻雜區可以具有一n型或p型摻雜物摻雜其中。在一些實施例中,p型摻雜物包括硼(B)、其他III族元素或其任何組合。在一些實施例中,n型摻雜物包括砷(As)、磷(P)、其他V族元素,或其任何組合。In some embodiments, each of the channel layers 163-1, 163-2, 163-3, 163-4, or 163-5 may extend in the X direction. In some embodiments, each of the channel layers 163-1, 163-2, 163-3, 163-4, or 163-5 may be located on the same horizontal plane as the conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5, respectively. In some embodiments, the material of the channel layers 163-1, 163-2, 163-3, 163-4, or 163-5 may be different from the material of the conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5. In some embodiments, each of the channel layers 163-1, 163-2, 163-3, 163-4, or 163-5 may include a semiconductor material, such as silicon (Si), germanium (Ge), tin (Sn), antimony (Sb) in single crystal form, polycrystalline form, or amorphous form. In some embodiments, each of the channel layers 163-1, 163-2, 163-3, 163-4, or 163-5 may include a doping region (not shown). The doping region may have an n-type or p-type dopant doped therein. In some embodiments, the p-type dopant includes boron (B), other group III elements, or any combination thereof. In some embodiments, the n-type dopant includes arsenic (As), phosphorus (P), other group V elements, or any combination thereof.

在其他實施例中,通道層163-1、163-2、163-3、163-4或163-5中的每一個可以包括一金屬氧化物。金屬氧化物可包括但不限於:氧化銦;氧化錫;氧化鋅;一雙成分金屬氧化物,如In-Zn基氧化物、Sn-Zn基氧化物、Al-Zn基氧化物、Zn-Mg基氧化物、Sn-Mg基氧化物、In-Mg基氧化物或In-Ga基氧化物;一三成分金屬氧化物,如In-Ga-Zn基氧化物(也表示為IGZO)、In-Al-Zn基氧化物、In-S基氧化物(也表示為ITO)、In-Sn-Zn基氧化物、Sn-Ga-Zn基氧化物、Al-Ga-Zn基氧化物、Sn-Al-Zn基氧化物、In-Hf-Zn基氧化物、In-La-Zn基氧化物、In-Co-Zn基氧化物、In-Pr-Zn基氧化物、In-Nd-Zn基氧化物、In-Sm-Zn基氧化物、In-Eu-Zn基氧化物、In-Gd-Zn基氧化物、In-Tb-Zn基氧化物、In-Dy-Zn基氧化物、In-Ho-Zn基氧化物、In-Er-Zn基氧化物、In-Tm-Zn基氧化物、In-Yb-Zn基氧化物或In-Lu-Zn基氧化物;以及一四成分金屬氧化物,如In-Sn-Ga-Zn基氧化物、In-Hf-Ga-Zn基氧化物、In-Al-Ga-Zn基氧化物、In-Sn-Al-Zn基氧化物、In-Sn-Hf-Zn基氧化物或In-Hf-Al-Zn基氧化物,但本揭露不限於此。In other embodiments, each of the channel layers 163-1, 163-2, 163-3, 163-4, or 163-5 may include a metal oxide. The metal oxide may include, but is not limited to: indium oxide; tin oxide; zinc oxide; a two-component metal oxide, such as In-Zn-based oxide, Sn-Zn-based oxide, Al-Zn-based oxide, Zn-Mg-based oxide, Sn-Mg-based oxide, In-Mg-based oxide, or In-Ga-based oxide; a three-component metal oxide, such as In-Ga-Zn-based oxide (also denoted as IGZO), In-Al-Zn-based oxide, In-S-based oxide (also denoted as ITO), In-Sn-Zn-based oxide, Sn-Ga-Zn-based oxide, Al-Ga-Zn-based oxide, Sn-Al-Zn-based oxide, In-Hf-Zn-based oxide, In-La-Zn-based oxide, In-Co-Zn-based oxide, In-Pr- Zn-based oxide, In-Nd-Zn-based oxide, In-Sm-Zn-based oxide, In-Eu-Zn-based oxide, In-Gd-Zn-based oxide, In-Tb-Zn-based oxide, In-Dy-Zn-based oxide, In-Ho-Zn-based oxide, In-Er-Zn-based oxide, In-Tm-Zn-based oxide, In-Yb-Zn-based oxide or In-Lu-Zn-based oxide; and a four-component metal oxide, such as In-Sn-Ga-Zn-based oxide, In-Hf-Ga-Zn-based oxide, In-Al-Ga-Zn-based oxide, In-Sn-Al-Zn-based oxide, In-Sn-Hf-Zn-based oxide or In-Hf-Al-Zn-based oxide, but the present disclosure is not limited to this.

在一些實施例中,位元線164-1、164-2、164-3、164-4及164-5中的每一個,可以設置於隔離層120-5上面。在一些實施例中,位元線164-1、164-2、164-3、164-4及164-5中的每一個可以沿Y方向延伸。在一些實施例中,位元線164-1、164-2、164-3、164-4及164-5中的每一個可以沿X方向排列。在一些實施例中,位元線164-1、164-2、164-3、164-4及164-5中的每一個可以包括導電材料,例如鎢(W)、銅(Cu)、鋁(Al)、鉭(Ta)、鉬(Mo)、氮化鉭(TaN)、鈦、氮化鈦(TiN)等,以及/或其組合。In some embodiments, each of the bit lines 164-1, 164-2, 164-3, 164-4, and 164-5 may be disposed on the isolation layer 120-5. In some embodiments, each of the bit lines 164-1, 164-2, 164-3, 164-4, and 164-5 may extend along the Y direction. In some embodiments, each of the bit lines 164-1, 164-2, 164-3, 164-4, and 164-5 may be arranged along the X direction. In some embodiments, each of the bit lines 164-1, 164-2, 164-3, 164-4, and 164-5 may include a conductive material such as tungsten (W), copper (Cu), aluminum (Al), tungsten (Ta), molybdenum (Mo), tantalum nitride (TaN), titanium, titanium nitride (TiN), etc., and/or combinations thereof.

半導體元件100b可更包括導電插塞165-1、165-2、165-3及165-4。導電插塞165-1、165-2、165-3及165-4可以包括導電材料,例如鎢(W)、銅(Cu)、鋁(Al)、鉭(Ta)、鉬(Mo)、氮化鉭(TaN)、鈦、氮化鈦(TiN)等,以及/或其組合。The semiconductor device 100b may further include conductive plugs 165-1, 165-2, 165-3 and 165-4. The conductive plugs 165-1, 165-2, 165-3 and 165-4 may include conductive materials such as tungsten (W), copper (Cu), aluminum (Al), tantalum (Ta), molybdenum (Mo), tantalum nitride (TaN), titanium, titanium nitride (TiN), etc., and/or combinations thereof.

在一些實施例中,位元線164-1可透過導電插塞165-1電連接到通道層163-1。在一些實施例中,位元線164-2可透過導電插塞165-2電連接到通道層163-2。在一些實施例中,位元線164-3可透過導電插塞165-3電連接到通道層163-3。在一些實施例中,位元線164-4可以透過導電插塞165-4電連接到通道層163-4。每個導電插塞165-1、165-2、165-3及165-4沿Z方向可以有不同的高度。例如,導電插塞165-1可以具有一個與導電插塞165-2沿Z方向不同的高度。In some embodiments, bit line 164-1 may be electrically connected to channel layer 163-1 through conductive plug 165-1. In some embodiments, bit line 164-2 may be electrically connected to channel layer 163-2 through conductive plug 165-2. In some embodiments, bit line 164-3 may be electrically connected to channel layer 163-3 through conductive plug 165-3. In some embodiments, bit line 164-4 may be electrically connected to channel layer 163-4 through conductive plug 165-4. Each conductive plug 165-1, 165-2, 165-3, and 165-4 may have a different height along the Z direction. For example, conductive plug 165-1 may have a different height than conductive plug 165-2 along the Z direction.

在一些實施例中,電晶體160可電連接到電容器150-1、150-2、150-3、150-4以及/或150-5。在一些實施例中,電晶體160可以透過導電層130-1、130-2、130-3、130-4及130-5的部分134電連接到電容器150-1、150-2、150-3、150-4以及/或150-5。在一些實施例中,互連導線(例如134)可設置於電晶體160與電容器150-1、150-2、150-3、150-4及150-5之間。在一些實施例中,電晶體160與電容器150-1、150-2、150-3、150-4及150-5是水平設置。例如,電容器150-1、150-2、150-3、150-4及150-5以及字元線所占的高度實質相同,從水平層面E1到E10。In some embodiments, transistor 160 may be electrically connected to capacitors 150-1, 150-2, 150-3, 150-4, and/or 150-5. In some embodiments, transistor 160 may be electrically connected to capacitors 150-1, 150-2, 150-3, 150-4, and/or 150-5 through portions 134 of conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5. In some embodiments, interconnect wires (e.g., 134) may be disposed between transistor 160 and capacitors 150-1, 150-2, 150-3, 150-4, and 150-5. In some embodiments, transistor 160 and capacitors 150-1, 150-2, 150-3, 150-4, and 150-5 are arranged horizontally. For example, capacitors 150-1, 150-2, 150-3, 150-4, and 150-5 and word lines occupy substantially the same height, from horizontal plane E1 to E10.

本揭露的實施例提供一種半導體元件。半導體元件可以定義一個三維記憶體元件。例如,電容器及字元線可以位於預定的高度內,這減少了半導體元件的整體厚度。此外,半導體元件可包括支撐層。支撐層可經配置以在製備過程中加強中間結構。支撐層可經配置以增加電容器的第一電容器電極的長度,並防止第一電容器電極倒塌,這可增加電容器的數量。Embodiments of the present disclosure provide a semiconductor device. The semiconductor device can define a three-dimensional memory device. For example, capacitors and word lines can be located within a predetermined height, which reduces the overall thickness of the semiconductor device. In addition, the semiconductor device can include a support layer. The support layer can be configured to strengthen the intermediate structure during the manufacturing process. The support layer can be configured to increase the length of the first capacitor electrode of the capacitor and prevent the first capacitor electrode from collapsing, which can increase the number of capacitors.

圖3是流程圖,例示本揭露一些實施例之半導體元件的製備方法製備方法200。FIG. 3 is a flow chart illustrating a method 200 for preparing a semiconductor device according to some embodiments of the present disclosure.

製備方法200從操作202開始,其中提供一基底。基底可以具有一陣列區域及一互連區域。複數個隔離層及一第一導電層可以形成在該基底上。複數個隔離層與複數個第一導電層交替堆疊。The preparation method 200 begins with operation 202, where a substrate is provided. The substrate may have an array region and an interconnect region. A plurality of isolation layers and a first conductive layer may be formed on the substrate. The plurality of isolation layers and the plurality of first conductive layers are alternately stacked.

製備方法200繼續進行操作204,其中複數個隔離層及複數個第一導電層經圖案化以形成複數個島狀結構。The fabrication method 200 continues with operation 204, where a plurality of isolation layers and a plurality of first conductive layers are patterned to form a plurality of island structures.

製備方法200繼續進行操作206,在該操作中,在陣列區域上的隔離層的一部分被移除以形成一第一開口。可以形成一支撐層以填充第一開口。The fabrication method 200 continues with operation 206 where a portion of the isolation layer over the array region is removed to form a first opening. A support layer may be formed to fill the first opening.

製備方法200繼續進行操作208,在該操作中,陣列區域上的剩餘隔離層被移除,以曝露出第一導電層。The fabrication method 200 continues with operation 208 where the remaining isolation layer on the array region is removed to expose the first conductive layer.

製備方法200繼續進行操作210,其中形成電容器介電質及第二導電層,以圍繞第一導電層,因此定義複數個電容器。The fabrication method 200 continues with operation 210 where a capacitor dielectric and a second conductive layer are formed to surround the first conductive layer, thereby defining a plurality of capacitors.

製備方法200繼續進行操作212,其中電容器介電質及互連區域上的第二導電層被移除,以曝露出第一導電層。The fabrication method 200 continues with operation 212 where the second conductive layer over the capacitor dielectric and interconnect regions is removed to expose the first conductive layer.

製備方法200僅僅是一個例子,不旨在將本揭露的內容限制在申請專利範圍中明確敘述的範圍之外。可以在製備方法200的每個操作之前、期間或之後提供額外的操作,並且所述的一些操作可以被替換、消除或重新排序,以用於該製備方法的其他實施例。在一些實施例中,製備方法200可以包括圖3中未描繪的進一步操作。在一些實施例中,製備方法200可以包括圖3中描述的一個或多個操作。The preparation method 200 is merely an example and is not intended to limit the content of the present disclosure beyond the scope explicitly described in the scope of the application. Additional operations may be provided before, during, or after each operation of the preparation method 200, and some of the operations described may be replaced, eliminated, or reordered for other embodiments of the preparation method. In some embodiments, the preparation method 200 may include further operations not depicted in FIG. 3. In some embodiments, the preparation method 200 may include one or more operations described in FIG. 3.

圖4A至圖20A是例示本揭露一些實施例之半導體元件00a的製備方法。圖4B至圖20B分別是沿圖4A至圖20A的A-A'線的剖視圖。圖4C至圖20C分別是沿圖4A至圖20A的B-B'線的剖視圖。圖4D至圖20D分別是沿圖4A至圖20A的C-C'線的剖視圖。圖4E至圖20E分別是沿圖4A至圖20A的D-D'線的剖視圖。FIG. 4A to FIG. 20A illustrate the preparation method of the semiconductor element 00a of some embodiments of the present disclosure. FIG. 4B to FIG. 20B are cross-sectional views along the AA' line of FIG. 4A to FIG. 20A, respectively. FIG. 4C to FIG. 20C are cross-sectional views along the BB' line of FIG. 4A to FIG. 20A, respectively. FIG. 4D to FIG. 20D are cross-sectional views along the CC' line of FIG. 4A to FIG. 20A, respectively. FIG. 4E to FIG. 20E are cross-sectional views along the DD' line of FIG. 4A to FIG. 20A, respectively.

參照圖4A、圖4B、圖4C、圖4D及圖4E,可以提供基底110。在一些實施例中,隔離層120-1、120-2、120-3、120-4及120-5,以及導電層130-1、130-2、130-3、130-4及130-5可以交替形成在基底110上。每個隔離層120-1、120-2、120-3、120-4及120-5,以及導電層130-1、130-2、130-3、130-4及130-5的製作技術可以包含化學氣相沉積(CVD)、原子層沉積(ALD)、物理氣相沉積(PVD)、低壓化學氣相沉積(LPCVD)、電漿增強CVD(PECVD)或其他適合的製程。在一些實施例中,隔離層120-1、120-2、120-3、120-4及120-5可以包括一介電材料,如氧化矽。在一些實施例中,導電層130-1、130-2、130-3、130-4及130-5可以包括一導電材料,如氮化鈦或其他適合的材料。4A, 4B, 4C, 4D, and 4E, a substrate 110 may be provided. In some embodiments, isolation layers 120-1, 120-2, 120-3, 120-4, and 120-5, and conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5 may be alternately formed on the substrate 110. The manufacturing technology of each isolation layer 120-1, 120-2, 120-3, 120-4 and 120-5, and the conductive layer 130-1, 130-2, 130-3, 130-4 and 130-5 may include chemical vapor deposition (CVD), atomic layer deposition (ALD), physical vapor deposition (PVD), low pressure chemical vapor deposition (LPCVD), plasma enhanced CVD (PECVD) or other suitable processes. In some embodiments, the isolation layers 120-1, 120-2, 120-3, 120-4 and 120-5 may include a dielectric material, such as silicon oxide. In some embodiments, the conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5 may include a conductive material such as titanium nitride or other suitable materials.

參照圖5A、圖5B、圖5C、圖5D及圖5E,可以在導電層130-5上形成遮罩層171。遮罩層171可以包括一負色調光阻(或負光阻)或一正色調光阻(或正光阻)。遮罩層171可以有曝露於導電層130-5的開口171r。在一些實施例中,開口171r可沿X方向延伸。5A, 5B, 5C, 5D, and 5E, a mask layer 171 may be formed on the conductive layer 130-5. The mask layer 171 may include a negative tone photoresist (or negative photoresist) or a positive tone photoresist (or positive photoresist). The mask layer 171 may have an opening 171r exposed to the conductive layer 130-5. In some embodiments, the opening 171r may extend along the X direction.

參照圖6A、圖6B、圖6C、圖6D及圖6E,隔離層120-1、120-2、120-3、120-4及120-5,以及導電層130-1、130-2、130-3、130-4及130-5可以經圖案化以形成島狀結構180。隔離層120-1、120-2、120-3、120-4及120-5的一部分可以被移除。遮罩層171可以被移除。導電層130-1、130-2、130-3、130-4、及130-5的一部分可以被移除。每個島狀結構180可以包括隔離層120-1、120-2、120-3、120-4及120-5,以及導電層130-1、130-2、130-3、130-4及130-5。每個島狀結構180可以沿X方向延伸。電容器的第一電容器電極可以在這個階段被定義。6A, 6B, 6C, 6D, and 6E, the isolation layers 120-1, 120-2, 120-3, 120-4, and 120-5, and the conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5 may be patterned to form an island structure 180. A portion of the isolation layers 120-1, 120-2, 120-3, 120-4, and 120-5 may be removed. The mask layer 171 may be removed. A portion of the conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5 may be removed. Each island structure 180 may include isolation layers 120-1, 120-2, 120-3, 120-4, and 120-5, and conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5. Each island structure 180 may extend along the X direction. A first capacitor electrode of the capacitor may be defined at this stage.

參照圖7A、圖7B、圖7C、圖7D及圖7E,可以形成遮罩層172以覆蓋島狀結構180。遮罩層172可以包括一負色調光阻或一正色調光阻。遮罩層172可以包括曝露島狀結構180的開口172r。開口172r可以沿Y方向延伸。在一些實施例中,隔離層120-1、120-2、120-3、120-4及120-5的部分121可由開口172r曝露。7A, 7B, 7C, 7D, and 7E, a mask layer 172 may be formed to cover the island structure 180. The mask layer 172 may include a negative tone photoresist or a positive tone photoresist. The mask layer 172 may include an opening 172r that exposes the island structure 180. The opening 172r may extend along the Y direction. In some embodiments, portions 121 of the isolation layers 120-1, 120-2, 120-3, 120-4, and 120-5 may be exposed by the opening 172r.

參照圖8A、圖8B、圖8C、圖8D及圖8E,由開口172r曝露的隔離層120-1、120-2、120-3、120-4及120-5可被移除。隔離層120-1、120-2、120-3、120-4及120-5的部分121可以被移除。複數個開口173r可以被形成。8A, 8B, 8C, 8D, and 8E, the isolation layers 120-1, 120-2, 120-3, 120-4, and 120-5 exposed by the opening 172r may be removed. Portions 121 of the isolation layers 120-1, 120-2, 120-3, 120-4, and 120-5 may be removed. A plurality of openings 173r may be formed.

參照圖9A、圖9B、圖9C、圖9D及圖9E,可以形成介電層141以填充開口173r。支撐層140-1及140-2可以被形成。支撐層140-1可以沿Y方向延伸。在一些實施例中,支撐層140-1可圍繞或包圍導電層130-1、130-2、130-3、130-4及130-5。在一些實施例中,支撐層140-1及140-2可以插入隔離層120-1、120-2、120-3、120-4及120-5的,例如,兩個相對的側表面(圖中沒有注釋)之間。在一些實施例中,支撐層140-1及140-2可插入,例如,導電層130-1、130-2、130-3、130-4或130-5的兩個相對的側表面(圖中未加注釋)之間。在一些實施例中,支撐層140-1及140-2可以使圖10A至圖20A中的中間結構符合一個框架。在一些實施例中,介電層141可包括介電材料,如氮化矽。介電層141的材料可以與隔離層120-1、120-2、120-3、120-4及120-5的材料不同。介電層141的製作技術可以包含CVD、PVD、ALD、LPCVD、PECVD或其他適合的製程。9A, 9B, 9C, 9D, and 9E, a dielectric layer 141 may be formed to fill the opening 173r. Support layers 140-1 and 140-2 may be formed. The support layer 140-1 may extend along the Y direction. In some embodiments, the support layer 140-1 may surround or enclose the conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5. In some embodiments, the support layers 140-1 and 140-2 may be inserted between, for example, two opposing side surfaces (not noted in the figure) of the isolation layers 120-1, 120-2, 120-3, 120-4, and 120-5. In some embodiments, the support layers 140-1 and 140-2 may be inserted, for example, between two opposing side surfaces (not noted in the figure) of the conductive layer 130-1, 130-2, 130-3, 130-4, or 130-5. In some embodiments, the support layers 140-1 and 140-2 may conform the intermediate structure in FIGS. 10A to 20A to one frame. In some embodiments, the dielectric layer 141 may include a dielectric material, such as silicon nitride. The material of the dielectric layer 141 may be different from the material of the isolation layers 120-1, 120-2, 120-3, 120-4, and 120-5. The manufacturing technology of the dielectric layer 141 may include CVD, PVD, ALD, LPCVD, PECVD, or other suitable processes.

參照圖10A、圖10B、圖10C、圖10D及圖10E,介電層141的一部分可以被移除,以曝露出介電質層。島狀結構180的上表面180s1(或一上表面)上的介電層141可以被移除。導電層130-5上的介電層141可以被移除。遮罩層172上的介電層141可以被移除。介電層141的移除可以包含,例如,一濕蝕刻技術。10A, 10B, 10C, 10D, and 10E, a portion of the dielectric layer 141 may be removed to expose the dielectric layer. The dielectric layer 141 on the upper surface 180s1 (or an upper surface) of the island structure 180 may be removed. The dielectric layer 141 on the conductive layer 130-5 may be removed. The dielectric layer 141 on the mask layer 172 may be removed. Removal of the dielectric layer 141 may include, for example, a wet etching technique.

參照圖11A、圖11B、圖11C、圖11D及圖11E,遮罩層172可以被移除。導電層130-5可以被曝露。11A, 11B, 11C, 11D, and 11E, the mask layer 172 may be removed and the conductive layer 130-5 may be exposed.

參照圖12A、圖12B、圖12C、圖12D及圖12E,可以形成遮罩層173,以覆蓋隔離層120-1、120-2、120-3、120-4及120-5,以及導電層130-1、130-2、130-3、130-4及130-5的一部分122。遮罩層173可以包括一負色調光阻或一正色調光阻。在一些實施例中,遮罩層173可經配置以定義半導體元件的陣列區域102及互連區域104。陣列區域102可以是在其上形成電容器的區域。互連區域104可以是在其上形成互連導線的區域。在一些實施例中,陣列區域102的隔離層120-1、120-2、120-3、120-4及120-5,以及導電層130-1、130-2、130-3、130-4及130-5可以從遮罩層173曝露。在一些實施例中,互連區域104的隔離層120-1、120-2、120-3、120-4及120-5,以及導電層130-1、130-2、130-3、130-4及130-5可以被遮罩層173覆蓋。12A, 12B, 12C, 12D, and 12E, a mask layer 173 may be formed to cover the isolation layers 120-1, 120-2, 120-3, 120-4, and 120-5, and a portion 122 of the conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5. The mask layer 173 may include a negative tone photoresist or a positive tone photoresist. In some embodiments, the mask layer 173 may be configured to define an array region 102 and an interconnect region 104 of a semiconductor element. The array region 102 may be a region on which a capacitor is formed. The interconnect region 104 may be a region on which an interconnection wire is formed. In some embodiments, the isolation layers 120-1, 120-2, 120-3, 120-4, and 120-5, and the conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5 of the array region 102 may be exposed from the mask layer 173. In some embodiments, the isolation layers 120-1, 120-2, 120-3, 120-4, and 120-5, and the conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5 of the interconnect region 104 may be covered by the mask layer 173.

參照圖13A、圖13B、圖13C、圖13D及圖13E,可以執行一蝕刻技術。從遮罩層173曝露的隔離層120-1、120-2、120-3、120-4及120-5可以被移除。隔離層120-1、120-2、120-3、120-4及120-5的部分122可以被移除。導電層130-1、130-2、130-3、130-4及130-5可被曝露。隔離層120-1、120-2、120-3、120-4及120-5的移除可以包含,例如,一濕蝕刻技術。開口120r可以被定義。支撐層140-1及140-2可經配置以支撐導電層130-1、130-2、130-3、130-4及130-5,因此防止導電層130-1、130-2、130-3、130-4及130-5塌陷。13A, 13B, 13C, 13D, and 13E, an etching technique may be performed. The isolation layers 120-1, 120-2, 120-3, 120-4, and 120-5 exposed from the mask layer 173 may be removed. Portions 122 of the isolation layers 120-1, 120-2, 120-3, 120-4, and 120-5 may be removed. The conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5 may be exposed. The removal of the isolation layers 120-1, 120-2, 120-3, 120-4, and 120-5 may include, for example, a wet etching technique. The opening 120r may be defined. The supporting layers 140-1 and 140-2 may be configured to support the conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5, thereby preventing the conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5 from collapsing.

參照圖14A、圖14B、圖14C、圖14D及圖14E,遮罩層173可以被移除。14A, 14B, 14C, 14D, and 14E, the mask layer 173 may be removed.

參照圖15A、圖15B、圖15C、圖15D及圖15E,電容器介電質152可以形成在開口120r內。在一些實施例中,電容器介電質152可以共形地形成在導電層130-1、130-2、130-3、130-4及130-5上。在一些實施例中,電容器介電質152可以形成在陣列區域102上。在一些實施例中,電容器介電質152可以形成在互連區域104上。電容器介電質152的製作技術可以包含,例如,ALD、CVD、PVD、LPCVD、PECVD或其他適合的製程。在一些實施例中,電容器介電質152可以包括高k材料。15A, 15B, 15C, 15D, and 15E, a capacitor dielectric 152 may be formed within the opening 120r. In some embodiments, the capacitor dielectric 152 may be conformally formed on the conductive layers 130-1, 130-2, 130-3, 130-4, and 130-5. In some embodiments, the capacitor dielectric 152 may be formed on the array region 102. In some embodiments, the capacitor dielectric 152 may be formed on the interconnect region 104. The fabrication techniques of the capacitor dielectric 152 may include, for example, ALD, CVD, PVD, LPCVD, PECVD, or other suitable processes. In some embodiments, the capacitor dielectric 152 may include a high-k material.

參照圖16A、圖16B、圖16C、圖16D及圖16E,可在電容器介電質152上共形地形成導電層154。電容器150-1、150-2、150-3、150-4、150-5、150-6、150-7、150-8、150-9及150-10可以被形成。在一些實施例中,導電層154可以形成在陣列區域102上。在一些實施例中,導電層154可以形成在互連區域104上。導電層154的製作技術可以包含,例如,ALD、CVD、PVD、LPCVD、PECVD或其他適合的製程。在一些實施例中,導電層154可以包括導電材料,如氮化鈦或其他適合的材料。16A, 16B, 16C, 16D, and 16E, a conductive layer 154 may be conformally formed on the capacitor dielectric 152. Capacitors 150-1, 150-2, 150-3, 150-4, 150-5, 150-6, 150-7, 150-8, 150-9, and 150-10 may be formed. In some embodiments, the conductive layer 154 may be formed on the array region 102. In some embodiments, the conductive layer 154 may be formed on the interconnect region 104. The fabrication techniques of the conductive layer 154 may include, for example, ALD, CVD, PVD, LPCVD, PECVD, or other suitable processes. In some embodiments, the conductive layer 154 may include a conductive material such as titanium nitride or other suitable materials.

參照圖17A、圖17B、圖17C、圖17D及圖17E,可形成遮罩層174以覆蓋隔離層120-1、120-2、120-3、120-4及120-5的部分。隔離層120-1、120-2、120-3、120-4及120-5的部分可以對應於互連區域104。遮罩層174可以包括一負色調光阻或一正色調光阻。在一些實施例中,遮罩層174可以覆蓋陣列區域102。在一些實施例中,遮罩層174可以曝露出互連區域104。互連區域104中的導電層154可以被遮罩層174曝露。17A, 17B, 17C, 17D, and 17E, a mask layer 174 may be formed to cover portions of the isolation layers 120-1, 120-2, 120-3, 120-4, and 120-5. Portions of the isolation layers 120-1, 120-2, 120-3, 120-4, and 120-5 may correspond to the interconnection region 104. The mask layer 174 may include a negative tone photoresist or a positive tone photoresist. In some embodiments, the mask layer 174 may cover the array region 102. In some embodiments, the mask layer 174 may expose the interconnection region 104. The conductive layer 154 in the interconnection region 104 may be exposed by the mask layer 174.

參照圖18A、圖18B、圖18C、圖18D及圖18E,互連區域104上的導電層154可以被移除。隔離層120-1、120-2、120-3、120-4及120-5的部分123上的導電層154可被移除。導電層154的移除可以包含,例如,一濕蝕刻技術。18A, 18B, 18C, 18D, and 18E, the conductive layer 154 on the interconnect region 104 may be removed. The conductive layer 154 on the portion 123 of the isolation layers 120-1, 120-2, 120-3, 120-4, and 120-5 may be removed. The removal of the conductive layer 154 may include, for example, a wet etching technique.

參照圖19A、圖19B、圖19C、圖19D及圖19E,互連區域104上的電容器介電質152可以被移除。隔離層120-1、120-2、120-3、120-4及120-5的部分123上的電容器介電質152可以被移除。電容器介電質152的移除可以包含,例如,一濕蝕刻技術。19A, 19B, 19C, 19D, and 19E, the capacitor dielectric 152 on the interconnect region 104 may be removed. The capacitor dielectric 152 on the portion 123 of the isolation layers 120-1, 120-2, 120-3, 120-4, and 120-5 may be removed. The removal of the capacitor dielectric 152 may include, for example, a wet etching technique.

參照圖20A、圖20B、圖20C、圖20D及圖20E,遮罩層174可被移除。在130-1、130-2、130-3、130-4及130-5的互連104上的導電層可以曝露。因此可以製造出半導體元件100a。20A, 20B, 20C, 20D, and 20E, the mask layer 174 may be removed, and the conductive layer on the interconnects 104 of 130-1, 130-2, 130-3, 130-4, and 130-5 may be exposed, thereby manufacturing the semiconductor device 100a.

本揭露的一個方面提供一種半導體元件。該半導體元件包括一基底、複數個電容器、一第一支撐層以及複數個隔離層。該複數個電容器設置於該基底上。每個電容器都沿著一第一方向延伸。該複數個電容器中的每一個包括一第一電容器電極、一第二電容器電極,以及將該第一電容器電極與該第二電容器電極分開的一電容器介電質。該第一支撐層設置於該基底上。該第一支撐層沿不同於該第一方向的一第二方向延伸。該複數個隔離中的每個隔離層沿該第一方向延伸,該複數個隔離層與該複數個電容器中的該第一電容器電極具有一交錯設置。該複數個電容器的該第一電容器電極與該基底間隔開。該電容器介電質包括一第一表面及一第二表面,沿該第一方向設置於該電容器介電質的兩個相對的側面上。該第二表面被該第一電容器電極曝露。該第一支撐層設置於該電容器介電質的該第一表面與該第二表面之間。One aspect of the present disclosure provides a semiconductor device. The semiconductor device includes a substrate, a plurality of capacitors, a first support layer, and a plurality of isolation layers. The plurality of capacitors are disposed on the substrate. Each capacitor extends along a first direction. Each of the plurality of capacitors includes a first capacitor electrode, a second capacitor electrode, and a capacitor dielectric separating the first capacitor electrode from the second capacitor electrode. The first support layer is disposed on the substrate. The first support layer extends along a second direction different from the first direction. Each isolation layer in the plurality of isolations extends along the first direction, and the plurality of isolation layers and the first capacitor electrodes in the plurality of capacitors have a staggered arrangement. The first capacitor electrodes of the plurality of capacitors are spaced apart from the substrate. The capacitor dielectric includes a first surface and a second surface disposed on two opposite sides of the capacitor dielectric along the first direction. The second surface is exposed by the first capacitor electrode. The first supporting layer is disposed between the first surface and the second surface of the capacitor dielectric.

本揭露的另一個方面提供一種半導體元件的製備方法。該製備方法包括:提供一基底;在該基底上形成複數個隔離層以及複數個第一導電層,其中該複數個隔離層及該複數個第一導電層交替堆疊;對該複數個隔離層及該複數個第一導電層進行圖案化,以形成複數個島狀結構;移除該複數個隔離層的一第一部分,以曝露該複數個第一導電層;形成一電容介電質,以覆蓋該複數個第一導電層;以及形成一第二導電層,以覆蓋該電容介電質。Another aspect of the present disclosure provides a method for preparing a semiconductor device. The method includes: providing a substrate; forming a plurality of isolation layers and a plurality of first conductive layers on the substrate, wherein the plurality of isolation layers and the plurality of first conductive layers are alternately stacked; patterning the plurality of isolation layers and the plurality of first conductive layers to form a plurality of island structures; removing a first portion of the plurality of isolation layers to expose the plurality of first conductive layers; forming a capacitor dielectric to cover the plurality of first conductive layers; and forming a second conductive layer to cover the capacitor dielectric.

本揭露的實施例提供一種半導體元件。半導體元件可以定義一個三維記憶體元件。例如,電容器可以沿一平面設置,該平面實質上垂直於基底的上表面,這減少了半導體元件的整體厚度。此外,半導體元件可包括支撐層。支撐層可經配置以在製備過程中加強中間結構。支撐層可經配置以增加電容器的第一電容器電極的長度,並防止第一電容器電極倒塌,這可增加電容器的數量。Embodiments of the present disclosure provide a semiconductor element. The semiconductor element can define a three-dimensional memory element. For example, the capacitor can be arranged along a plane that is substantially perpendicular to the upper surface of the substrate, which reduces the overall thickness of the semiconductor element. In addition, the semiconductor element may include a support layer. The support layer can be configured to strengthen the intermediate structure during the manufacturing process. The support layer can be configured to increase the length of the first capacitor electrode of the capacitor and prevent the first capacitor electrode from collapsing, which can increase the number of capacitors.

雖然已詳述本揭露及其優點,然而應理解可進行各種變化、取代與替代而不脫離申請專利範圍所界定之本揭露的精神與範圍。例如,可用不同的方法實施上述的許多過程,並且以其他過程或其組合替代上述的許多過程。Although the present disclosure and its advantages have been described in detail, it should be understood that various changes, substitutions and replacements can be made without departing from the spirit and scope of the present disclosure defined by the scope of the patent application. For example, many of the above processes can be implemented in different ways, and other processes or combinations thereof can be used to replace many of the above processes.

再者,本申請案的範圍並不受限於說明書中所述之過程、機械、製造、物質組成物、手段、方法與步驟之特定實施例。該技藝之技術人士可自本揭露的揭示內容理解可根據本揭露而使用與本文所述之對應實施例具有相同功能或是達到實質上相同結果之現存或是未來發展之過程、機械、製造、物質組成物、手段、方法、或步驟。據此,此等過程、機械、製造、物質組成物、手段、方法、或步驟係包括於本申請案之申請專利範圍內。Furthermore, the scope of this application is not limited to the specific embodiments of the processes, machines, manufactures, material compositions, means, methods, and steps described in the specification. A person skilled in the art can understand from the disclosure of this disclosure that existing or future developed processes, machines, manufactures, material compositions, means, methods, or steps that have the same functions or achieve substantially the same results as the corresponding embodiments described herein can be used according to this disclosure. Accordingly, such processes, machines, manufactures, material compositions, means, methods, or steps are included in the scope of the patent application of this application.

100a:半導體元件 100b:半導體元件 102:陣列區域 110:基底 110s1:表面 120-1:隔離層 120-2:隔離層 120-3:隔離層 120-4:隔離層 120-5:隔離層 120r:開口 104:互連區域 121:部分 122:部分 123:部分 130-1:導電層 130-2:導電層 130-3:導電層 130-4:導電層 130-5:導電層 132:部分 134:部分 140-1:支撐層 140-2:支撐層 141:介電層 150-1:電容器 150-2:電容器 150-3:電容器 150-4:電容器 150-5:電容器 150-6:電容器 150-7:電容器 150-8:電容器 150-9:電容器 150-10:電容器 152:電容器介電質 152s1:表面 152s2:表面 154:導電層 160:電晶體 161:字元線 162:閘極介電質 163-1:通道層 163-2:通道層 163-3:通道層 163-4:通道層 163-5:通道層 164-1:位元線 164-2:位元線 164-3:位元線 164-4:位元線 164-5:位元線 165-1:導電插塞 165-2:導電插塞 165-3:導電插塞 165-4:導電插塞 165-5:導電插塞 171:遮罩層 171r:開口 172:遮罩層 172r:開口 173:遮罩層 173r:開口 174:遮罩層 180:島狀結構 180s1:上表面 200:製備方法 202:操作 204:操作 206:操作 208:操作 210:操作 212:操作 A-A':線 B-B':線 C-C':線 D-D':線 E1:水平層面 E2:水平層面 E3:水平層面 E4:水平層面 E5:水平層面 E6:水平層面 E7:水平層面 E8:水平層面 E9:水平層面 E10:水平層面 X:方向 Y:方向 Z:方向 100a: semiconductor element 100b: semiconductor element 102: array region 110: substrate 110s1: surface 120-1: isolation layer 120-2: isolation layer 120-3: isolation layer 120-4: isolation layer 120-5: isolation layer 120r: opening 104: interconnection region 121: part 122: part 123: part 130-1: conductive layer 130-2: conductive layer 130-3: conductive layer 130-4: conductive layer 130-5: conductive layer 132: part 134: part 140-1: Support layer 140-2: Support layer 141: Dielectric layer 150-1: Capacitor 150-2: Capacitor 150-3: Capacitor 150-4: Capacitor 150-5: Capacitor 150-6: Capacitor 150-7: Capacitor 150-8: Capacitor 150-9: Capacitor 150-10: Capacitor 152: Capacitor dielectric 152s1: Surface 152s2: Surface 154: Conductive layer 160: Transistor 161: Word line 162: Gate dielectric 163-1: Channel layer 163-2: Channel layer 163-3: Channel layer 163-4: channel layer 163-5: channel layer 164-1: bit line 164-2: bit line 164-3: bit line 164-4: bit line 164-5: bit line 165-1: conductive plug 165-2: conductive plug 165-3: conductive plug 165-4: conductive plug 165-5: conductive plug 171: mask layer 171r: opening 172: mask layer 172r: opening 173: mask layer 173r: opening 174: mask layer 180: island structure 180s1: upper surface 200: preparation method 202: operation 204: Operation 206: Operation 208: Operation 210: Operation 212: Operation A-A': Line B-B': Line C-C': Line D-D': Line E1: Horizontal plane E2: Horizontal plane E3: Horizontal plane E4: Horizontal plane E5: Horizontal plane E6: Horizontal plane E7: Horizontal plane E8: Horizontal plane E9: Horizontal plane E10: Horizontal plane X: Direction Y: Direction Z: Direction

參閱實施方式與申請專利範圍合併考量圖式時,可得以更全面了解本申請案之揭示內容,圖式中相同的元件符號係指相同的元件,並且: 圖1A是透視圖,例示本揭露一些實施例之半導體元件。 圖1B是剖視圖,例示本揭露一些實施例沿圖1A中A-A'線之半導體元件。 圖1C是剖視圖,例示本揭露一些實施例沿圖1A中B-B'線之半導體元件。 圖1D是剖視圖,例示本揭露一些實施例沿圖1A中C-C'線之半導體元件。 圖1E是剖視圖,例示本揭露一些實施例沿圖1A中D-D'線之半導體元件。 圖2是剖視圖,例示本揭露一些實施例之半導體元件。 圖3是流程圖,例示本揭露一些實施例之半導體元件的製備方法。 圖4A、圖4B、圖4C、圖4D及圖4E是例示本揭露一些實施例之半導體元件的製備方法的一個或多個階段。 圖5A、圖5B、圖5C、圖5D及圖5E是例示本揭露一些實施例之半導體元件的製備方法的一個或多個階段。 圖6A、圖6B、圖6C、圖6D及圖6E是例示本揭露一些實施例之半導體元件的製備方法的一個或多個階段。 圖7A、圖7B、圖7C、圖7D及圖7E是例示本揭露一些實施例之半導體元件的製備方法的一個或多個階段。 圖8A、圖8B、圖8C、圖8D及圖8E是例示本揭露一些實施例之半導體元件的製備方法的一個或多個階段。 圖9A、圖9B、圖9C、圖9D及圖9E是例示本揭露一些實施例之半導體元件的製備方法的一個或多個階段。 圖10A、圖10B、圖10C、圖10D及圖10E是例示本揭露一些實施例之半導體元件的製備方法的一個或多個階段。 圖11A、圖11B、圖11C、圖11D及圖11E是例示本揭露一些實施例之半導體元件的製備方法的一個或多個階段。 圖12A、圖12B、圖12C、圖12D及圖12E是例示本揭露一些實施例之半導體元件的製備方法的一個或多個階段。 圖13A、圖13B、圖13C、圖13D及圖13E是例示本揭露一些實施例之半導體元件的製備方法的一個或多個階段。 圖14A、圖14B、圖14C、圖14D及圖14E是例示本揭露一些實施例之半導體元件的製備方法的一個或多個階段。 圖15A、圖15B、圖15C、圖15D及圖15E是例示本揭露一些實施例之半導體元件的製備方法的一個或多個階段。 圖16A、圖16B、圖16C、圖16D及圖16E是例示本揭露一些實施例之半導體元件的製備方法的一個或多個階段。 圖17A、圖17B、圖17C、圖17D及圖17E是例示本揭露一些實施例之半導體元件的製備方法的一個或多個階段。 圖18A、圖18B、圖18C、圖18D及圖18E是例示本揭露一些實施例之半導體元件的製備方法的一個或多個階段。 圖19A、圖19B、圖19C、圖19D及圖19E是例示本揭露一些實施例之半導體元件的製備方法的一個或多個階段。 圖20A、圖20B、圖20C、圖20D及圖20E是例示本揭露一些實施例之半導體元件的製備方法的一個或多個階段。 When referring to the embodiments and the scope of the patent application together with the drawings, a more comprehensive understanding of the disclosure of the present application can be obtained. The same element symbols in the drawings refer to the same elements, and: FIG. 1A is a perspective view, illustrating a semiconductor element of some embodiments of the present disclosure. FIG. 1B is a cross-sectional view, illustrating a semiconductor element along the A-A' line in FIG. 1A in some embodiments of the present disclosure. FIG. 1C is a cross-sectional view, illustrating a semiconductor element along the B-B' line in FIG. 1A in some embodiments of the present disclosure. FIG. 1D is a cross-sectional view, illustrating a semiconductor element along the C-C' line in FIG. 1A in some embodiments of the present disclosure. FIG. 1E is a cross-sectional view, illustrating a semiconductor element along the D-D' line in FIG. 1A in some embodiments of the present disclosure. FIG. 2 is a cross-sectional view, illustrating a semiconductor element of some embodiments of the present disclosure. FIG. 3 is a flow chart illustrating a method for preparing a semiconductor element according to some embodiments of the present disclosure. FIG. 4A, FIG. 4B, FIG. 4C, FIG. 4D, and FIG. 4E illustrate one or more stages of a method for preparing a semiconductor element according to some embodiments of the present disclosure. FIG. 5A, FIG. 5B, FIG. 5C, FIG. 5D, and FIG. 5E illustrate one or more stages of a method for preparing a semiconductor element according to some embodiments of the present disclosure. FIG. 6A, FIG. 6B, FIG. 6C, FIG. 6D, and FIG. 6E illustrate one or more stages of a method for preparing a semiconductor element according to some embodiments of the present disclosure. FIG. 7A, FIG. 7B, FIG. 7C, FIG. 7D, and FIG. 7E illustrate one or more stages of a method for preparing a semiconductor element according to some embodiments of the present disclosure. Figures 8A, 8B, 8C, 8D and 8E illustrate one or more stages of a method for preparing a semiconductor element of some embodiments of the present disclosure. Figures 9A, 9B, 9C, 9D and 9E illustrate one or more stages of a method for preparing a semiconductor element of some embodiments of the present disclosure. Figures 10A, 10B, 10C, 10D and 10E illustrate one or more stages of a method for preparing a semiconductor element of some embodiments of the present disclosure. Figures 11A, 11B, 11C, 11D and 11E illustrate one or more stages of a method for preparing a semiconductor element of some embodiments of the present disclosure. Figures 12A, 12B, 12C, 12D, and 12E illustrate one or more stages of a method for preparing a semiconductor device according to some embodiments of the present disclosure. Figures 13A, 13B, 13C, 13D, and 13E illustrate one or more stages of a method for preparing a semiconductor device according to some embodiments of the present disclosure. Figures 14A, 14B, 14C, 14D, and 14E illustrate one or more stages of a method for preparing a semiconductor device according to some embodiments of the present disclosure. Figures 15A, 15B, 15C, 15D, and 15E illustrate one or more stages of a method for preparing a semiconductor device according to some embodiments of the present disclosure. Figures 16A, 16B, 16C, 16D, and 16E illustrate one or more stages of a method for preparing a semiconductor element of some embodiments of the present disclosure. Figures 17A, 17B, 17C, 17D, and 17E illustrate one or more stages of a method for preparing a semiconductor element of some embodiments of the present disclosure. Figures 18A, 18B, 18C, 18D, and 18E illustrate one or more stages of a method for preparing a semiconductor element of some embodiments of the present disclosure. Figures 19A, 19B, 19C, 19D, and 19E illustrate one or more stages of a method for preparing a semiconductor element of some embodiments of the present disclosure. FIG. 20A, FIG. 20B, FIG. 20C, FIG. 20D, and FIG. 20E illustrate one or more stages of a method for preparing a semiconductor device according to some embodiments of the present disclosure.

100a:半導體元件 100a:Semiconductor components

110:基底 110: Base

110s1:表面 110s1: Surface

120-1:隔離層 120-1: Isolation Layer

120-2:隔離層 120-2: Isolation Layer

120-3:隔離層 120-3: Isolation Layer

120-4:隔離層 120-4: Isolation Layer

120-5:隔離層 120-5: Isolation Layer

130-1:導電層 130-1: Conductive layer

130-2:導電層 130-2: Conductive layer

130-3:導電層 130-3: Conductive layer

130-4:導電層 130-4: Conductive layer

130-5:導電層 130-5: Conductive layer

140-1:支撐層 140-1: Support layer

140-2:支撐層 140-2: Support layer

150-1:電容器 150-1: Capacitor

150-2:電容器 150-2: Capacitor

150-3:電容器 150-3: Capacitor

150-4:電容器 150-4: Capacitor

150-5:電容器 150-5: Capacitor

150-6:電容器 150-6: Capacitor

150-7:電容器 150-7: Capacitor

150-8:電容器 150-8: Capacitor

150-9:電容器 150-9: Capacitors

150-10:電容器 150-10: Capacitor

152:電容器介電質 152:Capacitor dielectric

154:導電層 154: Conductive layer

A-A':線 A-A': line

B-B':線 B-B': line

C-C':線 C-C': line

D-D':線 D-D': line

X:方向 X: Direction

Y:方向 Y: Direction

Z:方向 Z: Direction

Claims (16)

一種半導體元件,包括: 一基底; 複數個電容器,設置於該基底上,其中每個電容器都沿著一第一方向延伸,其中該複數個電容器中的每一個包括一第一電容器電極、一第二電容器電極,以及將該第一電容器電極與該第二電容器電極分開的一電容器介電質; 一第一支撐層,設置於該基底上,並沿不同於該第一方向的一第二方向延伸;以及 複數個隔離層,每個隔離層沿該第一方向延伸,該複數個隔離層與該複數個電容器中的該第一電容器電極具有一交錯設置, 其中該複數個電容器的該第一電容器電極與該基底間隔開, 其中該電容器介電質包括一第一表面及一第二表面,沿該第一方向設置於該電容器介電質的兩個相對的側面上,該第二表面被該第一電容器電極曝露,該第一支撐層設置於該電容器介電質的該第一表面與該第二表面之間。 A semiconductor element comprises: a substrate; a plurality of capacitors disposed on the substrate, wherein each capacitor extends along a first direction, wherein each of the plurality of capacitors comprises a first capacitor electrode, a second capacitor electrode, and a capacitor dielectric separating the first capacitor electrode from the second capacitor electrode; a first supporting layer disposed on the substrate and extending along a second direction different from the first direction; and a plurality of isolation layers, each of which extends along the first direction, wherein the plurality of isolation layers and the first capacitor electrodes of the plurality of capacitors have a staggered arrangement, wherein the first capacitor electrodes of the plurality of capacitors are spaced apart from the substrate, The capacitor dielectric includes a first surface and a second surface, which are arranged on two opposite sides of the capacitor dielectric along the first direction, the second surface is exposed by the first capacitor electrode, and the first supporting layer is arranged between the first surface and the second surface of the capacitor dielectric. 如請求項1所述的半導體元件,其中該第一支撐層與該基底接觸。A semiconductor device as described in claim 1, wherein the first supporting layer is in contact with the substrate. 如請求項2所述的半導體元件,更包括: 一第二支撐層,與該第一支撐層間隔開,並沿該第二方向延伸, 其中該第二支撐層與該基底接觸。 The semiconductor device as described in claim 2 further includes: A second supporting layer, which is separated from the first supporting layer and extends along the second direction, wherein the second supporting layer is in contact with the substrate. 如請求項2所述的半導體元件,更包括: 一電晶體,電連接到該複數個電容器中的一個;以及 一互連線,設置於該電晶體與該複數個電容器中的一個之間。 The semiconductor device as described in claim 2 further includes: a transistor electrically connected to one of the plurality of capacitors; and an interconnection disposed between the transistor and one of the plurality of capacitors. 如請求項4所述的半導體元件,其中該互連線與該複數個電容器中的一個的該第一電容器電極是一單片(monolithic)。A semiconductor device as described in claim 4, wherein the interconnection line and the first capacitor electrode of one of the plurality of capacitors are monolithic. 如請求項4所述的半導體元件,其中該互連線沿該第一方向延伸。A semiconductor device as described in claim 4, wherein the interconnection line extends along the first direction. 如請求項4所述的半導體元件,其中該電晶體包括一字元線,沿不同於該第一方向與該第二方向的一第三方向延伸。A semiconductor device as described in claim 4, wherein the transistor includes a word line extending along a third direction different from the first direction and the second direction. 如請求項7所述的半導體元件,其中該電晶體包括一通道層,透過該字元線與該複數個電容器中的一個的該第一電容器電極間隔開。A semiconductor device as described in claim 7, wherein the transistor includes a channel layer separated from the first capacitor electrode of one of the plurality of capacitors by the word line. 如請求項7所述的半導體元件,其中該通道層的材料與該電容器中的一個的該第一電容器電極的材料不同。A semiconductor device as described in claim 7, wherein the material of the channel layer is different from the material of the first capacitor electrode of one of the capacitors. 如請求項7所述的半導體元件,其中該字元線與該基底接觸。A semiconductor device as described in claim 7, wherein the word line is in contact with the substrate. 一種半導體元件的製備方法,包含 提供一基底; 在該基底上形成複數個隔離層以及複數個第一導電層,其中該複數個隔離層及該複數個第一導電層交替堆疊; 對該複數個隔離層及該複數個第一導電層進行圖案化,以形成複數個島狀結構; 移除該複數個隔離層的一第一部分,以曝露該複數個第一導電層; 形成一電容介電質,以覆蓋該複數個第一導電層;以及 形成一第二導電層,以覆蓋該電容介電質。 A method for preparing a semiconductor element comprises: providing a substrate; forming a plurality of isolation layers and a plurality of first conductive layers on the substrate, wherein the plurality of isolation layers and the plurality of first conductive layers are alternately stacked; patterning the plurality of isolation layers and the plurality of first conductive layers to form a plurality of island structures; removing a first portion of the plurality of isolation layers to expose the plurality of first conductive layers; forming a capacitor dielectric to cover the plurality of first conductive layers; and forming a second conductive layer to cover the capacitor dielectric. 如請求項11所述的製備方法,更包含: 在移除該複數個隔離層的該第一部分之前,形成一第一遮罩層以覆蓋該複數個隔離層的一第二部分,其中該第一遮罩層暴露該複數個隔離層的該第一部分;以及 在移除該複數個隔離層的該第一部分之後,移除該第一遮罩層。 The preparation method as described in claim 11 further comprises: Before removing the first portion of the plurality of isolation layers, forming a first mask layer to cover a second portion of the plurality of isolation layers, wherein the first mask layer exposes the first portion of the plurality of isolation layers; and After removing the first portion of the plurality of isolation layers, removing the first mask layer. 如請求項12所述的製備方法,其中該電容介電質形成於該複數個隔離層的該第二部分上,以及該製備方法更包含: 移除在該複數個隔離層的該第二部分上的該電容介電質。 A preparation method as described in claim 12, wherein the capacitor dielectric is formed on the second portion of the plurality of isolation layers, and the preparation method further comprises: Removing the capacitor dielectric on the second portion of the plurality of isolation layers. 如請求項12所述的製備方法,其中該第二導電層形成在該數個隔離層的該第二部分上,以及該製備方法更包含: 移除在該複數個隔離層的該第二部分上的該第二導電層。 The preparation method as described in claim 12, wherein the second conductive layer is formed on the second portion of the plurality of isolation layers, and the preparation method further comprises: Removing the second conductive layer on the second portion of the plurality of isolation layers. 如請求項11所述的製備方法,更包含: 在形成該複數個島狀結構之後,移除該複數個隔離層的一第三部分以形成一開口;以及 形成一支撐層以填充該開口。 The preparation method as described in claim 11 further comprises: After forming the plurality of island structures, removing a third portion of the plurality of isolation layers to form an opening; and forming a support layer to fill the opening. 如請求項15所述的製備方法,更包含: 在移除該複數個隔離層的該第三部分之前,在該些島狀結構上形成一第二遮罩層,其中該第二遮罩暴露該複數個隔離層的該第三部分; 形成一介電層以填充該開口,其中該介電層更形成於該複數個島狀結構的每一者的一上表面上;以及 從該複數個島狀結構的每一者的該上表面移除該介電層。 The preparation method as described in claim 15 further comprises: Before removing the third portion of the plurality of isolation layers, forming a second mask layer on the island structures, wherein the second mask exposes the third portion of the plurality of isolation layers; Forming a dielectric layer to fill the opening, wherein the dielectric layer is further formed on an upper surface of each of the plurality of island structures; and Removing the dielectric layer from the upper surface of each of the plurality of island structures.
TW112148506A 2022-10-25 2023-04-14 Semiconductor device including memory structure and method of manufacturing the same TWI847934B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US17/973,202 2022-10-25

Publications (2)

Publication Number Publication Date
TW202418953A true TW202418953A (en) 2024-05-01
TWI847934B TWI847934B (en) 2024-07-01

Family

ID=

Similar Documents

Publication Publication Date Title
US10985163B2 (en) Semiconductor capacitor structure
US8097910B2 (en) Vertical transistors
JPH01152660A (en) Semiconductor memory and manufacture thereof
US7595266B2 (en) Method of manufacturing a semiconductor integrated circuit device
US20240153541A1 (en) Integrated Assemblies and Methods Forming Integrated Assemblies
TWI847934B (en) Semiconductor device including memory structure and method of manufacturing the same
TWI847649B (en) Semiconductor device including memory structure
JP4729609B2 (en) Manufacturing method of semiconductor device
TW202418953A (en) Semiconductor device including memory structure and method of manufacturing the same
TW202418943A (en) Semiconductor device including memory structure
EP3944320A1 (en) Method of forming three-dimensional memory device
JP3216976B2 (en) Semiconductor chip having capacitor and integrated circuit
CN117939876A (en) Semiconductor device with a semiconductor element having a plurality of electrodes
TWI825909B (en) Method of manufacturing semiconductor device with word lines
TWI833236B (en) Semiconductor device structure having a channel layers with different roughness
US20240121940A1 (en) Method for manufacturing semiconductor device including 3d memory structure
TWI841249B (en) Method of manufacturing semiconductor device having protrusion of word line
TWI841334B (en) Semiconductor device including 3d memory structure
US20240237327A9 (en) Semiconductor device including memory structure and method for manufacturing the same
TWI825867B (en) Semiconductor device having protrusion of word line
US20240237326A9 (en) Semiconductor device including memory structure
TW202416796A (en) Semiconductor device including 3d memory structure
TW202416800A (en) Method of forming semiconductor device including 3d memory structure
CN117615570A (en) Semiconductor element structure and preparation method thereof
CN116705697A (en) Method for manufacturing semiconductor element structure