TW202414774A - Semiconductor device,wafer-level structure and forming method thereof - Google Patents

Semiconductor device,wafer-level structure and forming method thereof Download PDF

Info

Publication number
TW202414774A
TW202414774A TW112115766A TW112115766A TW202414774A TW 202414774 A TW202414774 A TW 202414774A TW 112115766 A TW112115766 A TW 112115766A TW 112115766 A TW112115766 A TW 112115766A TW 202414774 A TW202414774 A TW 202414774A
Authority
TW
Taiwan
Prior art keywords
die
dies
sealing ring
integrated circuit
structures
Prior art date
Application number
TW112115766A
Other languages
Chinese (zh)
Inventor
黃善瑜
陳世昌
陳怡倫
林晃生
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202414774A publication Critical patent/TW202414774A/en

Links

Abstract

An array of dies is formed over a substrate. Each of the dies contains a plurality of functional transistors. A plurality of first seal rings each surround a respective one of the dies in a top view. The first seal rings define a plurality of corner regions that are disposed outside of the first seal rings and between corners of respective subsets of the dies. A plurality of structures is disposed within the corner regions. The structures include test structures, dummy structures, process monitor patterns, alignment marks, or overlay marks. Electrical interconnection elements are disposed between each pair of adjacent dies in the array of dies in the top view. The electrical interconnection elements electrically interconnect the dies in the array with one another. A second seal ring surrounds the array of dies, the first seal rings, and the structures in the top view.

Description

半導體裝置、晶圓級結構、及其形成方法Semiconductor device, wafer-level structure, and method for forming the same

本揭露實施例關於半導體裝置、晶圓級結構、及其形成方法。The disclosed embodiments relate to semiconductor devices, wafer-level structures, and methods of forming the same.

半導體積體電路(integrated circuit,IC)工業經歷了指數增長。積體電路材料及設計的技術改進已產生了數個世代的積體電路,每一世代的積體電路都具有比上一世代更小及更複雜的電路。在積體電路進化過程中,功能密度(單位晶片面積的互聯裝置數量)通常隨著幾何尺寸(使用製造製程可以創建的最小元件或線)下降而增加。這種微縮化的過程通常可提高生產效率和降低相關成本。The semiconductor integrated circuit (IC) industry has experienced exponential growth. Technological improvements in IC materials and design have produced generations of ICs, each with smaller and more complex circuits than the previous generation. In the course of IC evolution, functional density (the number of interconnected devices per chip area) has generally increased as geometric size (the smallest component or line that can be created using a manufacturing process) has decreased. This process of miniaturization generally improves manufacturing efficiency and reduces associated costs.

然而,儘管在半導體製造方面取得了進步,但現有的製造系統和方法可能仍然存在缺點。舉例來說,在晶圓級,現有的製造方法可能仍然會在晶粒之間留下太多浪費的空間。如果晶粒之間的浪費空間得到充分利用,它可以為製造的晶粒提供額外的功能,或增強其通用性。However, despite advances in semiconductor manufacturing, existing manufacturing systems and methods may still have shortcomings. For example, at the wafer level, existing manufacturing methods may still leave too much wasted space between dies. If the wasted space between dies is fully utilized, it can provide additional functionality to the manufactured die, or enhance its versatility.

因此,儘管製造半導體裝置的常規方法通常已經足夠,但是它們並非在所有方面都令人滿意。Thus, while conventional methods of fabricating semiconductor devices are often adequate, they are not satisfactory in all respects.

本揭露實施例提供一種半導體裝置,包括複數個晶粒的一晶粒陣列,形成在一基板上,其中晶粒陣列中的晶粒中的每一者包括複數個功能性電晶體;複數個第一密封環,在俯視圖中各自環繞晶粒中的對應一者,其中第一密封環定義複數個角落區域,設置在第一密封環外以及在晶粒的對應子集合的角落之間;複數個結構,設置在角落區域中,其中結構並非任何功能性電晶體的一部份,且結構包括測試結構、虛置結構、製程監測圖案、對準標記、或覆蓋標記;複數個電性互連元件,在俯視圖中設置在晶粒陣列中的晶粒中的相鄰一對晶粒之間,其中電性互連元件使晶粒陣列中的晶粒彼此電性連接;以及一第二密封環,在俯視圖中環繞晶粒陣列、第一密封環、以及結構。The disclosed embodiment provides a semiconductor device, including a die array of a plurality of dies formed on a substrate, wherein each of the dies in the die array includes a plurality of functional transistors; a plurality of first sealing rings, each surrounding a corresponding one of the dies in a top view, wherein the first sealing ring defines a plurality of corner regions, which are disposed outside the first sealing ring and between corners of a corresponding subset of the dies; a plurality of structures, which are disposed in the corner regions; A first sealing ring is provided for enclosing a first die array and a second sealing ring. The first sealing ring is provided for enclosing a first die array and a second sealing ring. The first sealing ring is provided for enclosing a first die array and a second sealing ring. The first sealing ring is provided for enclosing a first die array and a second sealing ring. The first sealing ring is provided for enclosing a first die array and a second sealing ring.

本揭露實施例提供一種晶圓級結構,包括複數個積體電路晶粒,形成在一基板上方,其中積體電路晶粒具有實質上相同的積體電路佈局;複數個第一密封環,在俯視圖中各自圍繞積體電路晶粒的對應一者,其中第一密封環的每一者包括複數個第一開口;複數個第一結構,設置在第一密封環之外的相鄰的積體電路晶粒之間,其中第一結構包括測試結構、虛置結構、製程監測圖案、對準標記、或覆蓋標記;複數個電性互連元件,延伸穿過第一開口以電性互連積體電路晶粒以形成一多晶粒積體電路,多晶粒積體電路包括第一結構;一第二密封環,在俯視圖中環繞多晶粒積體電路,其中第一密封環一起被第二密封環所環繞,且第一密封環以及第二密封環的每一者包括複數個金屬線以及垂直地設置在金屬線之間的複數個通孔;以及一或多個第二結構,形成在基板的複數個區域中,區域在俯視圖中位在第二密封環之外,其中一或多個第二結構在俯視圖中未被多於一個密封環環繞。The disclosed embodiment provides a wafer-level structure, including a plurality of integrated circuit dies formed on a substrate, wherein the integrated circuit dies have substantially the same integrated circuit layout; a plurality of first sealing rings, each surrounding a corresponding one of the integrated circuit dies in a top view, wherein each of the first sealing rings includes a plurality of first openings; a plurality of first structures, disposed between adjacent integrated circuit dies outside the first sealing rings, wherein the first structures include test structures, dummy structures, process monitoring patterns, alignment marks, or cover marks; a plurality of electrical interconnect elements, extending through the first sealing rings; An opening is used to electrically interconnect integrated circuit grains to form a multi-grain integrated circuit, the multi-grain integrated circuit including a first structure; a second sealing ring, which surrounds the multi-grain integrated circuit in a top view, wherein the first sealing ring is surrounded by the second sealing ring together, and each of the first sealing ring and the second sealing ring includes a plurality of metal wires and a plurality of through holes vertically arranged between the metal wires; and one or more second structures are formed in a plurality of regions of the substrate, the regions are located outside the second sealing ring in a top view, wherein the one or more second structures are not surrounded by more than one sealing ring in a top view.

本揭露實施例提供一種半導體裝置形成方法,包括進行多道微影製程,以在一基板上形成複數個第一積體電路晶粒,其中第一積體電路晶粒彼此實質上相同,且排列成具有複數行以及複數列的一陣列;形成複數個第一密封環,以在俯視圖中環繞第一積體電路晶粒的每一者,其中第一密封環的每一者之中具有複數個間距;形成複數組導電元件,延伸穿過第一密封環的間距,以將第一積體電路晶粒彼此電性互連以形成一多晶粒結構,其中每一組導電元件中電性耦接到第一積體電路晶粒的相鄰兩者;形成一第二密封環,在俯視圖中環繞第一積體電路晶粒、第一密封環、以及導電元件;在多晶粒結構的複數個區域中形成一或多個測試結構、一或多個虛置結構、一或多個製程監測圖案、一或多個對準標記、或一或多個覆蓋標記,區域位在第二密封環中但位在第一密封環之外;以及沿著第二密封環之外的複數個切割道進行分割製程,其中第二密封環之中的區域未被分割。The disclosed embodiment provides a method for forming a semiconductor device, comprising performing a multi-step lithography process to form a plurality of first integrated circuit dies on a substrate, wherein the first integrated circuit dies are substantially identical to each other and are arranged in an array having a plurality of rows and a plurality of columns; forming a plurality of first sealing rings to surround each of the first integrated circuit dies in a top view, wherein each of the first sealing rings has a plurality of spacings therein; forming a plurality of sets of conductive elements extending through the spacings of the first sealing rings to electrically interconnect the first integrated circuit dies to form a multi-die structure, wherein the plurality of conductive elements are electrically connected to each other through the first sealing rings to form a multi-die structure; The method comprises forming a second sealing ring which surrounds the first integrated circuit die, the first sealing ring, and the conductive elements in a top view; forming one or more test structures, one or more dummy structures, one or more process monitoring patterns, one or more alignment marks, or one or more cover marks in a plurality of regions of the multi-die structure, the regions being located in the second sealing ring but outside the first sealing ring; and performing a segmentation process along a plurality of scribe lines outside the second sealing ring, wherein the regions in the second sealing ring are not segmented.

以下公開許多不同的實施方法或是範例來實行所提供之標的之不同特徵,以下描述具體的元件及其排列的實施例以闡述本揭露。當然這些實施例僅用以例示,且不以此限定本揭露的範圍。舉例來說,在說明書中提到第一特徵部件形成於第二特徵部件之上,其包括第一特徵部件與第二特徵部件是直接接觸的實施例,另外也包括於第一特徵部件與第二特徵部件之間另外有其他特徵的實施例,亦即,第一特徵部件與第二特徵部件並非直接接觸。Many different implementation methods or examples are disclosed below to implement different features of the subject matter provided. The following describes specific components and their arrangement embodiments to illustrate the present disclosure. Of course, these embodiments are only for illustration and do not limit the scope of the present disclosure. For example, in the specification, it is mentioned that the first feature component is formed on the second feature component, which includes an embodiment in which the first feature component and the second feature component are in direct contact, and also includes an embodiment in which there are other features between the first feature component and the second feature component, that is, the first feature component and the second feature component are not in direct contact.

此外,在不同實施例中可能使用重複的標號或標示,這些重複僅為了簡單清楚地敘述本揭露,不代表所討論的不同實施例及/或結構之間有特定的關係。此外,在本揭露中的在另一特徵部件之上形成、連接到及/或耦接到另一特徵部件可包括其中特徵部件形成為直接接觸的實施例,並且還可包括其中可形成插入上述特徵部件的附加特徵部件的實施例,使得上述特徵部件可能不直接接觸。此外,其中可能用到與空間相關用詞,例如「垂直的」、「上方」、"上"、"下"、"底"及類似的用詞(如"向下地"、"向上地"等),這些空間相關用詞係為了便於描述圖示中一個(些)元件或特徵與另一個(些)元件或特徵之間的關係,這些空間相關用詞旨在涵蓋包括特徵的裝置的不同方向。此外,當使用「約」、「大約」等來描述數量或數量範圍時,此用詞旨在涵蓋在制造過程中因自然變異而產生的變化之內的數字,這一點由本領域通常知識者所理解。舉例來說,用詞「約5nm」包括4.5nm至5.5nm的尺寸範圍,其中製造材料層的容許偏差已知為+/-10%。In addition, repeated reference numerals or labels may be used in different embodiments, and such repetition is only for the purpose of simply and clearly describing the present disclosure, and does not represent a specific relationship between the different embodiments and/or structures discussed. In addition, in the present disclosure, forming on, connecting to and/or coupling to another feature component may include embodiments in which the feature components are formed to be in direct contact, and may also include embodiments in which additional feature components may be formed to be inserted into the above-mentioned feature components, so that the above-mentioned feature components may not be in direct contact. In addition, spatially related terms such as "vertical", "above", "up", "below", "bottom" and similar terms (such as "downwardly", "upwardly", etc.) may be used. These spatially related terms are for the convenience of describing the relationship between one (or some) elements or features and another (or some) elements or features in the diagram. These spatially related terms are intended to cover different orientations of the device including the features. In addition, when "about", "approximately", etc. are used to describe quantities or ranges of quantities, such terms are intended to cover numbers within the variations that occur due to natural variations in the manufacturing process, which is understood by those of ordinary skill in the art. For example, the term "about 5nm" includes a size range of 4.5nm to 5.5nm, where the allowable deviation of the manufacturing material layer is known to be +/-10%.

本揭露通常來說關於半導體裝置,並且更具體地關於包含半導體裝置的IC晶粒,包括場效電晶體(field-effect transistors,FET)、平面場效電晶體、三維鰭式場效電晶體(fin-line FETs,FinFET)或環繞閘極(gate-all-around,GAA)裝置。本揭露的一方面關於形成包括連接的IC晶粒和環繞IC晶粒的密封環的晶圓級結構,以及形成IC相關結構以利用晶圓上的空的(或浪費的)空間。因此可以提高晶片面積利用率,如下面更詳細地討論的。The present disclosure relates generally to semiconductor devices, and more particularly to IC dies including semiconductor devices, including field-effect transistors (FETs), planar FETs, three-dimensional fin-line FETs (FinFETs), or gate-all-around (GAA) devices. One aspect of the present disclosure relates to forming wafer-level structures including connected IC dies and seal rings surrounding the IC dies, and forming IC-related structures to utilize empty (or wasted) space on a wafer. Thus, chip area utilization can be improved, as discussed in more detail below.

第1A圖和第1B圖分別示出了積體電路(IC)裝置90的一部分的三維透視圖和俯視圖。IC裝置90可以是在IC晶粒的處理過程中製造的中間裝置或其一部分,可包括靜態隨機存取記憶體(static random-access memory,SRAM)及/或其他邏輯電路、被動元件(例如電阻、電容和電感)以及主動元件,例如p型場效電晶體(p-type FETs,PFET)、n型場效電晶體(n-type FETs,NFET)、FinFET、金氧半場效電晶體(metal-oxide semiconductor field effect transistors,MOSFET)、互補金氧半(complementary metal-oxide semiconductor,CMOS) 場效電晶體、雙極電晶體、高壓電晶體、高頻電晶體及/或其他儲存單元。除非另有聲明,否則本揭露不限於任何特定數量的裝置或裝置區域,或任何特定裝置配置。舉例來說,雖然所示的IC裝置90是三維FinFET裝置,但是本揭露的概念也可以適用於平面場效電晶體裝置或GAA裝置。1A and 1B show a three-dimensional perspective view and a top view, respectively, of a portion of an integrated circuit (IC) device 90. The IC device 90 may be an intermediate device or a portion thereof fabricated during the processing of an IC die, and may include static random-access memory (SRAM) and/or other logic circuits, passive components (e.g., resistors, capacitors, and inductors), and active components, such as p-type field effect transistors (PFETs), n-type field effect transistors (NFETs), FinFETs, metal-oxide semiconductor field effect transistors (MOSFETs), complementary metal-oxide semiconductor (CMOS) field effect transistors, bipolar transistors, high voltage transistors, high frequency transistors, and/or other storage cells. Unless otherwise stated, the present disclosure is not limited to any particular number of devices or device regions, or any particular device configuration. For example, although the IC device 90 shown is a three-dimensional FinFET device, the concepts of the present disclosure may also be applied to planar field effect transistor devices or GAA devices.

參照第1A圖,IC裝置90包括基板110。基板110可以包括元素(單元素)半導體(例如矽、鍺及/或其他合適的材料)、化合物半導體(例如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化銦及/或其他合適的材料)、合金半導體(例如SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、GaInAsP及/或其他合適的材料)。基板110可為具有均勻成分的單層材料。或者,基板110可包含具有適合於IC裝置製造的相似或不同組成的多個材料層。在一個示例中,基板110可以是具有形成在氧化矽層上的半導體矽層的絕緣體上矽(silicon-on-insulator,SOI)基板。在另一示例中,基板110可以包括導電層、半導電層、介電層、其他層或其組合。可以在基板110中或基板上形成各種摻雜區,例如源極/汲極區。摻雜區可以摻雜有n型摻質(例如磷或砷)及/或p型摻質(例如硼),取決於設計要求。摻雜區可以直接形成在基板110上、p型阱結構中、n型阱結構中、雙阱結構中、或使用凸起結構。可以藉由摻質原子的佈植、原位摻雜磊晶生長及/或其他合適的技術來形成摻雜區。1A , IC device 90 includes substrate 110. Substrate 110 may include elemental (single element) semiconductors (e.g., silicon, germanium, and/or other suitable materials), compound semiconductors (e.g., silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, indium uranide, and/or other suitable materials), alloy semiconductors (e.g., SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, GaInAsP, and/or other suitable materials). Substrate 110 may be a single layer of material having a uniform composition. Alternatively, substrate 110 may include multiple material layers having similar or different compositions suitable for IC device manufacturing. In one example, substrate 110 may be a silicon-on-insulator (SOI) substrate having a semiconductor silicon layer formed on a silicon oxide layer. In another example, the substrate 110 may include a conductive layer, a semiconductive layer, a dielectric layer, other layers, or a combination thereof. Various doped regions, such as source/drain regions, may be formed in or on the substrate 110. The doped regions may be doped with n-type dopants (e.g., phosphorus or arsenic) and/or p-type dopants (e.g., boron), depending on the design requirements. The doped regions may be formed directly on the substrate 110, in a p-type well structure, in an n-type well structure, in a double well structure, or using a raised structure. The doped regions may be formed by implantation of dopant atoms, in-situ doping epitaxial growth, and/or other suitable techniques.

在基板110上形成三維的主動區120。主動區120是細長的鰭狀結構,向上突出基板110。因此,主動區120可以互換地稱為鰭片結構120或下文中的鰭片120。可以使用包括微影和蝕刻製程的合適製程來製造鰭片結構120。微影製程可以包括形成覆蓋基板110的光阻層、將光阻曝光至圖案、執行曝光後烘烤製程以及顯影光阻以形成包括光阻的遮罩元件(未示出)。然後用遮罩元件以蝕刻基板110中的凹槽,以在基板110上留下鰭片結構120。蝕刻製程可以包括乾蝕刻、濕蝕刻、反應離子蝕刻(reactive ion etching,RIE)及/或其他合適的製程。在一些實施例中,鰭片結構120可以藉由雙重圖案化或多重圖案化製程形成。通常,雙重圖案化或多重圖案化製程結合了微影和自對準製程,允許創建具有例如比使用單一直接微影製程可獲得的間距更小的間距的圖案。作為示例,可以在基板上方形成一層並使用微影製程對其進行圖案化。使用自對準製程在圖案化的層旁邊形成間隔物。然後移除此層,然後可以使用剩餘的間隔物或心軸來圖案化鰭片結構120。A three-dimensional active region 120 is formed on the substrate 110. The active region 120 is an elongated fin-like structure that protrudes upward from the substrate 110. Therefore, the active region 120 may be interchangeably referred to as a fin structure 120 or fin 120 hereinafter. The fin structure 120 may be fabricated using a suitable process including lithography and etching processes. The lithography process may include forming a photoresist layer covering the substrate 110, exposing the photoresist to a pattern, performing a post-exposure baking process, and developing the photoresist to form a mask element (not shown) including the photoresist. The mask element is then used to etch a groove in the substrate 110 to leave the fin structure 120 on the substrate 110. The etching process may include dry etching, wet etching, reactive ion etching (RIE), and/or other suitable processes. In some embodiments, the fin structure 120 can be formed by a double patterning or multiple patterning process. Typically, the double patterning or multiple patterning process combines lithography and self-alignment processes, allowing the creation of patterns with, for example, smaller pitches than can be obtained using a single direct lithography process. As an example, a layer can be formed over a substrate and patterned using a lithography process. Spacers are formed next to the patterned layer using a self-alignment process. This layer is then removed, and the remaining spacers or mandrels can then be used to pattern the fin structure 120.

IC裝置90還包括形成在鰭片結構120上方的源極/汲極特徵122。源極/汲極特徵122可以包括磊晶生長在鰭片結構120上的磊晶層。IC裝置90還包括形成在基板110之上的隔離結構130。隔離結構130將IC裝置90的各個部件電性分隔。隔離結構130可以包括氧化矽、氮化矽、氮氧化矽、摻氟矽酸鹽玻璃(fluoride-doped silicate glass,FSG)、低介電常數介電材料及/或其他合適的材料。在一些實施例中,隔離結構130可以包括淺溝槽隔離(shallow trench isolation,STI)特徵。在一些實施例中,藉由在形成鰭片結構120的期間,在基板110中蝕刻溝槽而形成隔離結構130。然後可以用上述隔離材料填充溝槽,隨後進行化學機械平坦化(chemical mechanical planarization,CMP)製程。諸如場氧化物、矽的局部氧化物(local oxidation of silicon,LOCOS)及/或其他合適的結構的其他隔離結構也可以做為隔離結構130。或者,隔離結構130可以包括多層結構,例如具有一或多個熱氧化物襯層。The IC device 90 also includes a source/drain feature 122 formed above the fin structure 120. The source/drain feature 122 may include an epitaxial layer epitaxially grown on the fin structure 120. The IC device 90 also includes an isolation structure 130 formed on the substrate 110. The isolation structure 130 electrically separates the various components of the IC device 90. The isolation structure 130 may include silicon oxide, silicon nitride, silicon oxynitride, fluoride-doped silicate glass (FSG), a low-k dielectric material, and/or other suitable materials. In some embodiments, the isolation structure 130 may include a shallow trench isolation (STI) feature. In some embodiments, the isolation structure 130 is formed by etching a trench in the substrate 110 during the formation of the fin structure 120. The trench may then be filled with the isolation material described above, followed by a chemical mechanical planarization (CMP) process. Other isolation structures such as field oxide, local oxidation of silicon (LOCOS) and/or other suitable structures may also be used as the isolation structure 130. Alternatively, the isolation structure 130 may include a multi-layer structure, such as having one or more thermal oxide liners.

IC裝置90還包括閘極結構140,閘極結構140形成在每個鰭片結構120的通道區域中的三個側面上並接合鰭片結構120。閘極結構140可以是虛置閘極結構(例如包含氧化物閘極介電質和多晶矽閘極電極),或者它們可以是包含高介電常數閘極介電質和金屬閘極的HKMG結構,其中HKMG結構通過替換虛置閘極結構而形成。在一些實施例中,HKMG結構可以各自包括高介電常數閘極介電質和金屬閘極。高介電常數閘極介電質的示例材料包括氧化鉿、氧化鋯、氧化鋁、二氧化鉿-氧化鋁合金、氧化鉿矽、氧氮化鉿矽、氧化鉿鉭、氧化鉿鈦、氧化鉿鋯或其組合。金屬閘極可以包括一層或多層功函數金屬層和一層或多層填充金屬層。功函數金屬層可以被配置為調整對應電晶體的功函數。用於功函數金屬層的示例材料可以包括氮化鈦(TiN)、鋁化鈦(TiAl)、氮化鉭(TaN)、碳化鈦(Tic)、碳化鉭(TaC)、碳化鎢(WC)、氮化鈦鋁(TiAlN)、鋁化鋯(ZrAl)、鋁化鎢(WAl)、鋁化鉭(TaAl)、鋁化鉿(HfAl)或其組合。填充金屬層可以作為閘極層的主要導電部分。儘管這裡沒有描繪,但是閘極結構140可以包括額外的材料層,例如鰭片結構120上方的界面層、覆蓋層、其他合適的層或其組合。The IC device 90 further includes a gate structure 140 formed on three sides in the channel region of each fin structure 120 and bonding the fin structure 120. The gate structures 140 may be dummy gate structures (e.g., including an oxide gate dielectric and a polysilicon gate electrode), or they may be HKMG structures including a high-k gate dielectric and a metal gate, wherein the HKMG structure is formed by replacing the dummy gate structure. In some embodiments, the HKMG structures may each include a high-k gate dielectric and a metal gate. Example materials of high-k gate dielectrics include bismuth oxide, zirconium oxide, aluminum oxide, bismuth dioxide-aluminum oxide alloys, bismuth silicon oxide, bismuth silicon oxynitride, bismuth tantalum oxide, bismuth titanium oxide, bismuth zirconium oxide, or combinations thereof. The metal gate may include one or more work function metal layers and one or more fill metal layers. The work function metal layer may be configured to adjust the work function of the corresponding transistor. Example materials for the work function metal layer may include titanium nitride (TiN), titanium aluminide (TiAl), tantalum nitride (TaN), titanium carbide (Tic), tantalum carbide (TaC), tungsten carbide (WC), titanium aluminum nitride (TiAlN), zirconium aluminum (ZrAl), tungsten aluminum (WAl), tantalum aluminum (TaAl), halogenated aluminum (HfAl), or a combination thereof. The fill metal layer may serve as the main conductive portion of the gate layer. Although not depicted here, the gate structure 140 may include additional material layers, such as an interface layer above the fin structure 120, a capping layer, other suitable layers, or a combination thereof.

參照第1B圖,多個鰭片結構120沿X方向縱向定向,並且多個閘極結構140沿Y方向縱向定向,即大致上垂直於鰭片結構120。在許多實施例中, IC裝置90包括額外的特徵,例如沿著閘極結構140的側壁設置的閘極間隔物、設置在閘極結構140上方的硬遮罩層,以及許多其他特徵。1B , the plurality of fin structures 120 are oriented longitudinally along the X direction, and the plurality of gate structures 140 are oriented longitudinally along the Y direction, i.e., substantially perpendicular to the fin structures 120. In many embodiments, the IC device 90 includes additional features, such as gate spacers disposed along the sidewalls of the gate structures 140, a hard mask layer disposed over the gate structures 140, and many other features.

還應理解的是,下面討論的本揭露的各個方面可以應用於多通道設備,例如環繞閘極(Gate-All-Around,GAA)裝置。第1C圖圖示了示例GAA裝置150的三維透視圖。為了清楚和一致,第1C圖和第1A圖第1B圖中的相似標號將以相同的標號來表示。舉例來說,如鰭片結構120的主動區在Z方向上垂直向上上升出基板110。隔離結構130提供鰭片結構120之間的電性隔離。閘極結構140位於鰭片結構120上方和隔離結構130上方。遮罩155位於閘極結構140上方,並且閘極間隔物160位於閘極結構140的側壁上。在鰭片結構120上方形成覆蓋層165以在隔離結構130的形成期間保護鰭片結構120免於氧化。It should also be understood that the various aspects of the present disclosure discussed below can be applied to multi-channel devices, such as gate-all-around (GAA) devices. FIG. 1C illustrates a three-dimensional perspective view of an example GAA device 150. For clarity and consistency, similar numbers in FIG. 1C and FIG. 1A and FIG. 1B will be represented by the same numbers. For example, the active area of the fin structure 120 rises vertically upward out of the substrate 110 in the Z direction. The isolation structure 130 provides electrical isolation between the fin structures 120. The gate structure 140 is located above the fin structure 120 and above the isolation structure 130. The mask 155 is located over the gate structure 140, and the gate spacer 160 is located on the sidewalls of the gate structure 140. A capping layer 165 is formed over the fin structure 120 to protect the fin structure 120 from oxidation during the formation of the isolation structure 130.

多個奈米結構170設置在每個鰭片結構120之上。奈米結構170可以包括奈米片、奈米管或奈米線,或在X方向水平延伸的一些其他類型的奈米結構。閘極結構140下方的奈米結構170的部分可以用作GAA裝置150的通道。介電內間隔物175可以設置在奈米結構170之間。此外,雖然為了簡化而未示出,但是每個奈米結構170可以被閘極介電質以及閘極周向地(circumferentially)環繞。在所示的實施例中,奈米結構170在閘極結構140外部的部分可以用作GAA裝置150的源極/汲極特徵。然而,在一些實施例中,在GAA裝置150的部分之上可以磊晶生長連續的源極/汲極特徵。鰭片結構120位於閘極結構140的外部。無論如何,可以在源極/汲極特徵之上形成導電的源極/汲極接點180以提供與其的電性連接。層間介電質(interlayer dielectric,ILD)185形成在隔離結構130上方以及閘極結構140和源極/汲極接點180周圍。A plurality of nanostructures 170 are disposed above each fin structure 120. The nanostructures 170 may include nanosheets, nanotubes, or nanowires, or some other type of nanostructure extending horizontally in the X direction. The portion of the nanostructure 170 below the gate structure 140 may be used as a channel for the GAA device 150. Dielectric interspacers 175 may be disposed between the nanostructures 170. In addition, although not shown for simplicity, each nanostructure 170 may be circumferentially surrounded by a gate dielectric and a gate. In the illustrated embodiment, the portion of the nanostructure 170 outside the gate structure 140 may be used as a source/drain feature of the GAA device 150. However, in some embodiments, a continuous source/drain feature may be epitaxially grown over a portion of the GAA device 150. The fin structure 120 is located outside the gate structure 140. Regardless, a conductive source/drain contact 180 may be formed over the source/drain feature to provide an electrical connection thereto. An interlayer dielectric (ILD) 185 is formed over the isolation structure 130 and around the gate structure 140 and the source/drain contact 180.

第2圖示出了晶圓級結構200的俯視圖,以及晶圓級結構200的一部分的放大圖。俯視圖是沿著由X軸(或X方向)和Y軸(或Y方向)定義的水平面觀察的視角。晶圓級結構200可以是半導體晶圓205或為其一部分。如第2圖的簡化示例所示,晶圓級結構200可以包括多個IC晶粒,例如IC晶粒210、211、220和221。這些IC晶粒210、211和220、221中的每一者包含多個IC裝置(例如上述IC裝置90或GAA裝置150)、其他類型的電晶體、或其他形式的主動及/或被動積體電路微電子元件(例如通孔和金屬線)。在一些實施例中,IC晶粒210、211、220、221具有相同的IC設計和佈局。換句話說,它們被實施為相同的設備。舉例來說,IC晶粒210、211、220、221可以各自實施為電腦處理器或其核心。在其他實施例中,IC晶粒210、211、220、221可以各自實施為電子記憶體儲存裝置,例如靜態隨機存取記憶體(Static Random Access Memory,SRAM)或動態隨機存取記憶體(Dynamic Random-Access Memory,DRAM)或其一部分。FIG. 2 shows a top view of a wafer-level structure 200 and an enlarged view of a portion of the wafer-level structure 200. The top view is a viewing angle observed along a horizontal plane defined by an X-axis (or X-direction) and a Y-axis (or Y-direction). The wafer-level structure 200 may be a semiconductor wafer 205 or a portion thereof. As shown in the simplified example of FIG. 2 , the wafer-level structure 200 may include a plurality of IC dies, such as IC dies 210 , 211 , 220 , and 221 . Each of these IC dies 210 , 211 and 220 , 221 includes a plurality of IC devices (such as the IC device 90 or the GAA device 150 described above), other types of transistors, or other forms of active and/or passive integrated circuit microelectronic components (such as vias and metal lines). In some embodiments, IC dies 210, 211, 220, 221 have the same IC design and layout. In other words, they are implemented as the same device. For example, IC dies 210, 211, 220, 221 can each be implemented as a computer processor or a core thereof. In other embodiments, IC dies 210, 211, 220, 221 can each be implemented as an electronic memory storage device, such as a static random access memory (SRAM) or a dynamic random access memory (DRAM) or a portion thereof.

一些這種IC晶粒(例如IC晶粒210、211)各自都被實施為獨立的IC晶粒。換言之,IC晶粒210和IC晶粒211可以彼此獨立地起作用,並且在晶圓級結構200上沒有進行電性連接以將它們連接在一起。在完成這些獨立IC晶粒210和211的製造之後,晶圓級結構200可以沿著多個切割道240(其沿著X軸和Y軸延伸,如第2圖所示)將獨立IC晶粒210、211彼此分開。這被稱為單粒化製程。然後可以將獨立IC晶粒210、211中的每一者進行封裝,以形成IC晶粒。Some of these IC dies (e.g., IC dies 210, 211) are each implemented as an independent IC die. In other words, IC die 210 and IC die 211 can function independently of each other, and no electrical connection is made on the wafer-level structure 200 to connect them together. After the manufacture of these independent IC dies 210 and 211 is completed, the wafer-level structure 200 can separate the independent IC dies 210, 211 from each other along a plurality of saw lanes 240 (which extend along the X-axis and the Y-axis, as shown in FIG. 2). This is called a singulation process. Each of the independent IC dies 210, 211 can then be packaged to form an IC die.

同時一些IC晶粒(例如IC晶粒220、221)電性互連以形成互連的IC晶粒(例如互連IC晶粒250)。與在每個獨立IC晶粒周圍的四個矩形邊界進行切割的獨立IC晶粒210、211不同,互連IC晶粒250的切割發生在互連IC晶粒250的共同邊界周圍,這些邊界可以是也可以不是矩形(儘管它們在第2圖所示的實施例中是矩形的)。舉例來說,在IC晶粒220和IC晶粒221之間沒有切割道,因此在IC晶粒220和221之間不會發生切割。互連IC晶粒250的細節在第2圖的放大圖部分中示出。At the same time, some IC dies (e.g., IC dies 220, 221) are electrically interconnected to form an interconnected IC die (e.g., interconnected IC die 250). Unlike the individual IC dies 210, 211, which are cut at four rectangular boundaries around each individual IC die, the cutting of the interconnected IC die 250 occurs around the common boundaries of the interconnected IC die 250, which may or may not be rectangular (although they are rectangular in the embodiment shown in FIG. 2). For example, there is no scribe line between IC die 220 and IC die 221, so no cutting occurs between IC die 220 and 221. Details of the interconnected IC die 250 are shown in the enlarged portion of FIG. 2.

與獨立IC晶粒210、211相比,互連IC晶粒250提供增強的性能或功能。舉例來說,在獨立IC晶粒210、211各自對應於單核電腦處理器的實施例中,互連IC晶粒250對應於雙核電腦處理器,其可以提供兩倍的單核電腦處理器的速度或處理/計算能力。類似地,在獨立IC晶粒210、211各自對應於電腦記憶體(例如SRAM或DRAM)的實施例中,互連IC晶粒250對應於具有兩倍於獨立IC晶粒的記憶體容量的電腦記憶體。由於可以僅通過將任何數量的所需的其他獨立IC晶粒互連在一起來實現互連IC晶粒(例如互連IC晶粒250),因此可以靈活地配置互連IC晶粒的功能及/或性能,例如根據客戶需求或設計/製造要求。在許多現實世界的場景中,這可能比必須單獨設計和製造具有與互連IC晶粒250相當的性能或功能的IC晶粒(作為獨立的IC晶粒)更可取,因為這樣做將需要額外的設計及/或製造資源(例如需要另一套微影遮罩)。The interconnected IC die 250 provides enhanced performance or functionality compared to the independent IC die 210, 211. For example, in an embodiment where the independent IC die 210, 211 each corresponds to a single-core computer processor, the interconnected IC die 250 corresponds to a dual-core computer processor, which can provide twice the speed or processing/computing power of the single-core computer processor. Similarly, in an embodiment where the independent IC die 210, 211 each corresponds to computer memory (e.g., SRAM or DRAM), the interconnected IC die 250 corresponds to a computer memory having twice the memory capacity of the independent IC die. Because an interconnected IC die (e.g., interconnected IC die 250) can be implemented simply by interconnecting any number of other desired independent IC dies together, the functionality and/or performance of the interconnected IC die can be flexibly configured, e.g., based on customer needs or design/manufacturing requirements. In many real-world scenarios, this may be preferable to having to separately design and manufacture an IC die (as an independent IC die) with performance or functionality comparable to that of interconnected IC die 250, since doing so would require additional design and/or manufacturing resources (e.g., requiring another set of lithography masks).

根據本揭露的各個方面,實施雙密封環結構以保護互連的IC晶粒。更詳細地,密封環270被實施為周向環繞俯視圖中每個IC晶粒210、211、220、221的四個側面,並且另一個密封環280被實施為周向環繞互連IC晶粒250俯視圖。因此,密封環280也一起沿周向環繞IC晶粒220和221的密封環270。在第2圖所示的實施例中,密封環270和密封環280的形狀均為矩形,但應理解,在其他的實施例中,它們的形狀可以不同。According to various aspects of the present disclosure, a dual seal ring structure is implemented to protect interconnected IC dies. In more detail, a seal ring 270 is implemented to circumferentially surround the four sides of each IC die 210, 211, 220, 221 in the top view, and another seal ring 280 is implemented to circumferentially surround the interconnected IC die 250 in the top view. Therefore, the seal ring 280 also circumferentially surrounds the seal ring 270 of the IC die 220 and 221. In the embodiment shown in FIG. 2, the shapes of the seal rings 270 and 280 are both rectangular, but it should be understood that in other embodiments, their shapes may be different.

第3圖示出了密封環270和密封環280的額外細節。在這方面,第3圖是沿切割線A-A’截取的晶圓級結構200的一部分的側視剖面圖。由於切割線A-A’沿Y方向延伸,因此第3圖的側視剖面圖為Y-Z平面剖面圖。FIG. 3 shows additional details of the sealing ring 270 and the sealing ring 280. In this regard, FIG. 3 is a side cross-sectional view of a portion of the wafer-level structure 200 taken along the scribe line A-A'. Since the scribe line A-A' extends along the Y direction, the side cross-sectional view of FIG. 3 is a cross-sectional view in the Y-Z plane.

晶圓級結構200包括上述基板110,在基板110上形成多個半導體裝置290(例如包括上述FinFET電晶體或GAA電晶體)。這些半導體裝置290也可以稱為主動層,或者替代地,半導體裝置290的電晶體形成在主動層中。晶圓級結構200還包括形成在半導體裝置290之上並電性耦接到半導體裝置290的多層內連線結構300。多層內連線結構300包括多個金屬層(例如Metal-0、Metal-0、 Metal-1、...、Metal-N),每層都包括多個導電內連線元件(例如金屬線310)。來自不同金屬層的金屬線310通過導電通孔或接點(例如通孔320)垂直互連在一起。金屬線310和通孔320嵌在電絕緣材料中或被電絕緣材料環繞,如層間介電質(interlayer dielectric,ILD)330。還在多層內連線結構300之上形成多個導電墊340(例如包含鋁或銅或其組合)並電性耦接到多層內連線結構300。在一些實施例中,導電墊340也可以被認為是多層內連線結構300的一部分。除了提供與多層內連線結構300的電性連接之外,導電墊340還防止其下方的部件不希望的氧化。通過導電墊340、金屬線310和通孔320使得對半導體裝置290的各種部件的電性連接成為可能。The wafer-level structure 200 includes the above-mentioned substrate 110, on which a plurality of semiconductor devices 290 (for example, including the above-mentioned FinFET transistors or GAA transistors) are formed. These semiconductor devices 290 may also be referred to as active layers, or alternatively, the transistors of the semiconductor devices 290 are formed in the active layers. The wafer-level structure 200 also includes a multi-layer interconnect structure 300 formed on the semiconductor devices 290 and electrically coupled to the semiconductor devices 290. The multi-layer interconnect structure 300 includes a plurality of metal layers (for example, Metal-0, Metal-0, Metal-1, ..., Metal-N), each layer including a plurality of conductive interconnect elements (for example, metal wires 310). The metal wires 310 from different metal layers are vertically interconnected through conductive vias or contacts (for example, vias 320). The metal lines 310 and the vias 320 are embedded in or surrounded by an electrically insulating material, such as an interlayer dielectric (ILD) 330. A plurality of conductive pads 340 (e.g., comprising aluminum or copper or a combination thereof) are also formed on the multi-layer interconnect structure 300 and electrically coupled to the multi-layer interconnect structure 300. In some embodiments, the conductive pads 340 may also be considered to be part of the multi-layer interconnect structure 300. In addition to providing electrical connection to the multi-layer interconnect structure 300, the conductive pads 340 also prevent unwanted oxidation of components thereunder. Electrical connection to various components of the semiconductor device 290 is made possible by the conductive pads 340, the metal lines 310, and the vias 320.

應理解的是,第3圖僅示出了半導體裝置290和多層內連線結構300的簡化佈置。換言之,半導體裝置290、金屬線310和通孔320僅在概念層面上表示,且它們在IC晶粒220、221中的實際配置遠比第3圖(或隨後的俯視圖或剖面圖)中粗略顯示的複雜得多。It should be understood that FIG. 3 shows only a simplified arrangement of semiconductor device 290 and multi-layer interconnect structure 300. In other words, semiconductor device 290, metal line 310, and via 320 are only shown at a conceptual level, and their actual arrangement in IC die 220, 221 is much more complex than what is roughly shown in FIG. 3 (or subsequent top view or cross-sectional view).

由多層內連線結構300的金屬線310和通孔320的垂直堆疊以及導電墊340組成第一密封環層(密封環270和密封環280)。舉例來說,在第3圖的側視剖面圖中,用於IC晶粒220的密封環270包括在互連IC晶粒250「左側」金屬線310、通孔320、導電墊340的垂直堆疊,以及在互連IC晶粒250的「右側」的金屬線310、通孔320、導電墊340的垂直堆疊。類似地,IC晶粒221還具有密封環270,其包括金屬線310、通孔320的垂直堆疊和設置在它們的側面的導電墊340。第二密封環層(密封環280)也由垂直堆疊的金屬線310、通孔320和導電墊340組成。與密封環270相比,密封環280設置得離IC晶粒220/221更遠。換句話說,密封環270設置在其所對應的IC晶粒220/221和密封環280之間。The first sealing ring layer (seal ring 270 and seal ring 280) is composed of a vertical stack of metal lines 310 and vias 320 and conductive pads 340 of the multi-layer interconnect structure 300. For example, in the side cross-sectional view of FIG. 3, the seal ring 270 for the IC die 220 includes a vertical stack of metal lines 310, vias 320, and conductive pads 340 on the "left side" of the interconnected IC die 250, and a vertical stack of metal lines 310, vias 320, and conductive pads 340 on the "right side" of the interconnected IC die 250. Similarly, IC die 221 also has a seal ring 270, which includes a vertical stack of metal wires 310, vias 320, and conductive pads 340 disposed on their sides. The second seal ring layer (seal ring 280) is also composed of a vertical stack of metal wires 310, vias 320, and conductive pads 340. Compared with seal ring 270, seal ring 280 is disposed farther from IC die 220/221. In other words, seal ring 270 is disposed between its corresponding IC die 220/221 and seal ring 280.

密封環270和密封環280保護IC晶粒220和221免受半導體製造中不希望的因素的影響,例如濕氣、水氣、污染物顆粒,或者甚至在單粒化製程中藉由分割/鋸切工具對IC晶粒220、221施加的壓力。這是因為密封環270和密封環280各自在IC晶粒220/221周圍形成封閉屏障,使得上述不希望的元素無法穿透屏障而對IC晶粒220/221內的部件產生不利影響。密封環270各自為單獨的IC晶粒220和221提供第一層保護。密封環280為單獨的IC晶粒220和221以及作為整體的互連IC晶粒250提供第二層保護。The sealing rings 270 and 280 protect the IC dies 220 and 221 from unwanted factors in semiconductor manufacturing, such as moisture, water vapor, contaminant particles, or even pressure applied to the IC dies 220 and 221 by the separation/sawing tools during the singulation process. This is because the sealing rings 270 and 280 each form a closed barrier around the IC dies 220/221, so that the above-mentioned unwanted elements cannot penetrate the barrier and have an adverse effect on the components within the IC dies 220/221. The sealing rings 270 each provide a first layer of protection for the individual IC dies 220 and 221. The sealing rings 280 provide a second layer of protection for the individual IC dies 220 and 221 and the interconnected IC die 250 as a whole.

在互連IC晶粒250內,間隙區域350位於環繞IC晶粒220和221的不同密封環270之間。由於互連IC晶粒250與獨立IC晶粒210、211形成在相同的晶圓上,所以會存在間隙區域350。更詳細地,獨立IC晶粒210、211之間存在類似的間隙,因為間隙對應於用來分割晶圓,以分離獨立IC晶粒210、211的切割道區域。同時,為了便於製造,互連IC晶粒250的IC晶粒220、221的尺寸和與相鄰IC晶粒的間距配置為類似於獨立IC晶粒210、211。以此方式,互連IC晶粒250「繼承」獨立IC晶粒210、211之間的間隙(對應於切割道區域)。與獨立IC晶粒210、211相反(其中切割道區域將被分割/分割),間隙區域350將被保留(因為分割不會發生在將互連在一起的兩個IC晶粒220、221之間)並將存在於互連IC晶粒250的最終結構上。In the interconnected IC die 250, a gap region 350 is located between the different seal rings 270 surrounding the IC dies 220 and 221. The gap region 350 exists because the interconnected IC die 250 and the independent IC dies 210, 211 are formed on the same wafer. In more detail, a similar gap exists between the independent IC dies 210, 211 because the gap corresponds to the scribe line region used to divide the wafer to separate the independent IC dies 210, 211. At the same time, for ease of manufacturing, the size and spacing of the IC dies 220, 221 of the interconnected IC die 250 are configured similarly to the independent IC dies 210, 211. In this manner, the interconnected IC die 250 "inherits" the gap (corresponding to the scribe line region) between the individual IC dies 210, 211. In contrast to the individual IC dies 210, 211 (where the scribe line region would be segmented/divided), the gap region 350 would be retained (because segmentation would not occur between the two IC dies 220, 221 that would be interconnected together) and would exist on the final structure of the interconnected IC die 250.

雖然間隙區域350不一定會降低互連IC晶粒250的電性能,但可認為它並不是對有價值的晶片空間最佳的利用,尤其是當IC裝置按比例縮小時。為了解決這個問題,本揭露在間隙區域350中形成各種有用的結構,例如多個導電元件370(參見第2圖的互連IC晶粒250的放大圖)。第4圖中還顯示了其中一個導電元件370,第4圖顯示了晶圓級結構200的另一部分沿切割線B-B'(第2圖中所示)截取的側視剖面圖,其中實施了一個導電元件370。剖切線B-B’也沿Y方向延伸,因此第4圖的側視剖面圖也是Y-Z平面的剖面圖。Although the gap region 350 does not necessarily degrade the electrical performance of the interconnected IC die 250, it is not considered to be the best use of valuable chip space, especially when the IC device is scaled down. To address this problem, the present disclosure forms various useful structures in the gap region 350, such as a plurality of conductive elements 370 (see the enlarged view of the interconnected IC die 250 in FIG. 2). One of the conductive elements 370 is also shown in FIG. 4, which shows a side view cross-sectional view of another portion of the wafer-level structure 200 taken along the cut line BB' (shown in FIG. 2), in which a conductive element 370 is implemented. The cut line BB' also extends in the Y direction, so the side view cross-sectional view of FIG. 4 is also a cross-sectional view in the Y-Z plane.

更詳細地,實施導電元件370(例如包含銅、鋁、鈷或其組合的金屬線)以將IC晶粒220和IC晶粒221電性互連在一起。導電元件370可以承載或允許傳導功率訊號(例如Vcc或Vdd),及/或承載或允許傳導其他合適的電訊號,例如控制訊號(例如用於SRAM裝置的READ或WRITE訊號)。In more detail, conductive element 370 (e.g., a metal wire comprising copper, aluminum, cobalt, or a combination thereof) is implemented to electrically interconnect IC die 220 and IC die 221. Conductive element 370 may carry or allow transmission of power signals (e.g., Vcc or Vdd), and/or carry or allow transmission of other suitable electrical signals, such as control signals (e.g., READ or WRITE signals for SRAM devices).

每個導電元件370都在Y方向上延伸並跨越間隙區域350。舉例來說,如第4圖所示,導電元件370的「最左」端連接到IC晶粒220的金屬線310之一者的「最右」端,而導電元件370的「最右」端連接到IC晶粒221的金屬線310之一者的「最左」端,從而將IC晶粒220、221的半導體裝置290電性互連在一起。因此,間隙區域350被有效地用作用於在互連IC晶粒250內建立電性互連的區域,並且它不再僅是對有價值晶片空間的浪費。Each conductive element 370 extends in the Y direction and spans across the gap region 350. For example, as shown in FIG. 4 , the “leftmost” end of the conductive element 370 is connected to the “rightmost” end of one of the metal lines 310 of the IC die 220, and the “rightmost” end of the conductive element 370 is connected to the “leftmost” end of one of the metal lines 310 of the IC die 221, thereby electrically interconnecting the semiconductor devices 290 of the IC dies 220, 221. Therefore, the gap region 350 is effectively used as an area for establishing electrical interconnections within the interconnected IC die 250, and it is no longer just a waste of valuable chip space.

注意為了使導電元件370互連於IC晶粒220和221,它們各自的密封環270必須被破壞或包含不連續性。舉例來說,位於IC晶粒220「右側」的(密封環270的)垂直堆疊藉由移除(或不實施)其中一條金屬線(例如Metal-5層中的金屬線)和金屬線上方和下方的通孔而被打斷。類似地,位於IC晶粒221「左側」的(密封環270的)垂直堆疊藉由移除(或不實施)其中一條金屬線(例如Metal-5層中的金屬線)和金屬線上方和下方的通孔而被打斷。這種佈置防止導電元件370和密封環270之間的不希望的電短路,這會增加不希望的電寄生(例如寄生電容)。應理解的是,因為互連IC晶粒250的部件(包括導電元件370)仍然被密封環280環狀環繞和保護,密封環270內的不連續性不會不利地影響互連IC晶粒250與不希望的外部元件的密封,而是仍然完好無損。Note that in order for conductive elements 370 to interconnect IC die 220 and 221, their respective seal rings 270 must be broken or contain discontinuities. For example, the vertical stack (of seal rings 270) located on the "right side" of IC die 220 is broken by removing (or not implementing) one of the metal lines (e.g., a metal line in a Metal-5 layer) and the vias above and below the metal line. Similarly, the vertical stack (of seal rings 270) located on the "left side" of IC die 221 is broken by removing (or not implementing) one of the metal lines (e.g., a metal line in a Metal-5 layer) and the vias above and below the metal line. This arrangement prevents undesirable electrical shorts between the conductive element 370 and the sealing ring 270, which would increase undesirable electrical parasitics (e.g., parasitic capacitance). It should be appreciated that because the components of the interconnected IC die 250 (including the conductive element 370) are still annularly surrounded and protected by the sealing ring 280, discontinuities within the sealing ring 270 do not adversely affect the sealing of the interconnected IC die 250 from undesirable external components, but remain intact.

回頭參考第2圖的俯視圖,與IC晶粒220、221的金屬線310的其餘部分相比,導電元件370可以具有不同的尺寸和間距需求。舉例來說,IC設計及/或佈局規則可以指定IC晶粒220、221的金屬線310可以具有寬度400(在X方向或Y方向),以及在相鄰設置的金屬線310之間可具有間距410。就此而言,寬度400和間距410均在垂直於金屬線310延伸的方向的方向上量測。換句話說,如果金屬線310沿X方向延伸,則其寬度是金屬線310在Y方向上量測的尺寸,並且金屬線310與其最近的金屬線之間的間距也是在Y方向量測的,反之亦然。Referring back to the top view of FIG. 2 , the conductive element 370 may have different size and spacing requirements than the rest of the metal lines 310 of the IC die 220 , 221 . For example, the IC design and/or layout rules may specify that the metal lines 310 of the IC die 220 , 221 may have a width 400 (in either the X-direction or the Y-direction) and a spacing 410 between adjacently disposed metal lines 310 . In this regard, the width 400 and the spacing 410 are both measured in a direction perpendicular to the direction in which the metal lines 310 extend. In other words, if the metal line 310 extends in the X-direction, its width is the dimension of the metal line 310 measured in the Y-direction, and the spacing between the metal line 310 and its nearest metal line is also measured in the Y-direction, and vice versa.

如第2圖所示,無論寬度400和420是否在相同方向上量測,每個導電元件370具有超過金屬線310的寬度400的寬度420。此外,無論間距410和430是否在相同方向上量測,每個導電元件370與相鄰導電元件370的間距430超過相鄰金屬線310的間距410。導電元件370被配置為具有更大的寬度和間距,至少部分是因為圖案或形貌均勻性問題。更詳細地,隨著半導體特徵尺寸繼續按比例縮小,不希望半導體晶圓具有相對大塊的空白空間,因為這可能會導致半導體裝置的製程不合格。反之,更偏向在晶圓上實現相對特徵圖案均勻性,例如藉由確保在晶片上沒有大的空白區域。在晶片上具有更大的圖案均勻性還有助於減少半導體製造中不希望的負載效應。As shown in FIG. 2 , each conductive element 370 has a width 420 that exceeds the width 400 of the metal line 310, regardless of whether the widths 400 and 420 are measured in the same direction. In addition, each conductive element 370 has a spacing 430 from an adjacent conductive element 370 that exceeds the spacing 410 of the adjacent metal line 310, regardless of whether the spacing 410 and 430 are measured in the same direction. The conductive elements 370 are configured to have larger widths and spacings, at least in part, because of pattern or topography uniformity issues. In more detail, as semiconductor feature sizes continue to scale down, it is undesirable for semiconductor wafers to have relatively large amounts of empty space, as this may result in process failures of semiconductor devices. Instead, it is preferred to achieve relative feature pattern uniformity across the wafer, for example by ensuring that there are no large blank areas on the die. Having greater pattern uniformity across the wafer also helps reduce undesirable loading effects in semiconductor manufacturing.

如果沒有實施導電元件370,則間隙區域350本來會被認為是大的空區域。然而,IC晶粒220、221之間的電性互連可能不需要大量的單獨導電元件。因此,如果導電元件370實施為與金屬線310的其餘部分相同的寬度400,那麼導電元件370的總區域可能仍然未與IC晶粒220、221的其餘部分更好的圖案均勻性所期望的那麼大。因此,本揭露按比例增加導電元件370的寬度420以提高圖案均勻性。導電元件370之間的間距430也大於金屬線310之間的間距410,因此在間隙區域350中發生電橋接(例如IC部件之間的意外電短路)的風險較小。換言之,金屬線310之間的間距410不能做得太大,因為這樣做會限制每個金屬層中可以實現的金屬線的數量。相比之下,將IC晶粒220、221電性連接在一起所需的導電元件370的數量可能沒有那麼多,因此相鄰的一對導電元件370之間的較大間距430是可以接受的。If the conductive elements 370 were not implemented, the gap region 350 would have been considered a large empty area. However, the electrical interconnect between the IC dies 220, 221 may not require a large number of individual conductive elements. Therefore, if the conductive elements 370 are implemented as the same width 400 as the rest of the metal lines 310, then the total area of the conductive elements 370 may still not be as large as desired for better pattern uniformity with the rest of the IC dies 220, 221. Therefore, the present disclosure proportionally increases the width 420 of the conductive elements 370 to improve pattern uniformity. The spacing 430 between the conductive elements 370 is also greater than the spacing 410 between the metal lines 310, so there is less risk of electrical bridging (e.g., an accidental electrical short between IC components) occurring in the gap region 350. In other words, the spacing 410 between metal lines 310 cannot be made too large, because doing so will limit the number of metal lines that can be implemented in each metal layer. In contrast, the number of conductive elements 370 required to electrically connect IC dies 220 and 221 together may not be that large, so a larger spacing 430 between adjacent pairs of conductive elements 370 is acceptable.

在一些實施例中,寬度420和寬度400的比值大於1:1,並且在大約2:1和大約4:1之間的範圍內,而間距430和間距410的比值大於1:1,並且在約2:1和約4:1之間的範圍內。應理解的是,上述範圍不是隨機選擇的,而是專門配置的,以最大限度地提高實現相對圖案或形貌均勻性的可能性,並減少電橋接的機會。In some embodiments, the ratio of width 420 to width 400 is greater than 1:1 and is within a range between about 2:1 and about 4:1, and the ratio of spacing 430 to spacing 410 is greater than 1:1 and is within a range between about 2:1 and about 4:1. It should be understood that the above ranges are not randomly selected, but are specifically configured to maximize the likelihood of achieving relative pattern or topography uniformity and reduce the chance of bridging.

注意為了簡單起見,第2圖沒有具體說明導電元件370和它們在IC晶粒220、221的對應金屬線310之間的電性連接及/或物理連接,但是應理解存在這種連接,以確保IC晶粒220的相關電路電性耦接到IC晶粒221的相關電路。Note that for simplicity, FIG. 2 does not specifically illustrate the electrical and/or physical connections between the conductive elements 370 and their corresponding metal lines 310 of the IC die 220, 221, but it should be understood that such connections exist to ensure that the relevant circuits of the IC die 220 are electrically coupled to the relevant circuits of the IC die 221.

第5圖示出了晶圓級結構200的另一個實施例的俯視圖,包括互連IC晶粒250的放大俯視圖。為了清楚和一致的原因,第2圖和第5圖中出現的類似部件將具有相同的標號。類似於第2圖的實施例,第5圖的實施例中所示的互連IC晶粒250也利用多個導電元件370A、370B將IC晶粒220和221電性耦接在一起。導電元件370A、370B類似於上述導電元件370,因為它們是導電的並且電性連接到IC晶粒220、221的金屬線(為了簡單起見這裡沒有具體示出)。導電元件370A、370B也延伸或跨過間隙區域350,這是對原本被視為浪費的晶片空間的有效利用。此外,實施導電元件370A、370B有助於改進半導體製造本身,例如藉由改進圖案均勻性和降低負載效應。導電元件370A、370B的尺寸也可以類似於上述導電元件370,例如在它們各自的寬度和間距方面。FIG. 5 shows a top view of another embodiment of the wafer-level structure 200, including an enlarged top view of the interconnected IC die 250. For reasons of clarity and consistency, similar components appearing in FIG. 2 and FIG. 5 will have the same reference numerals. Similar to the embodiment of FIG. 2, the interconnected IC die 250 shown in the embodiment of FIG. 5 also utilizes a plurality of conductive elements 370A, 370B to electrically couple the IC die 220 and 221 together. The conductive elements 370A, 370B are similar to the conductive elements 370 described above in that they are conductive and electrically connected to the metal lines of the IC die 220, 221 (not specifically shown here for simplicity). The conductive elements 370A, 370B also extend or span across the gap region 350, which is an efficient use of chip space that would otherwise be considered wasted. In addition, implementing conductive elements 370A, 370B helps improve semiconductor manufacturing itself, such as by improving pattern uniformity and reducing loading effects. The dimensions of conductive elements 370A, 370B can also be similar to conductive element 370 described above, such as in terms of their respective widths and spacings.

導電元件370A、370B與上述導電元件370之間的區別在於並非所有的導電元件370A、370B都是直的。舉例來說,導電元件370B中的至少一者包括一或多個轉角(例如90度)。如第5圖所示,導電元件370B起初在Y方向上從IC晶粒220向IC晶粒221延伸。然後導電元件370B在間隙區域350中進行實質上90度的轉向,從而在X方向上延伸。然後導電元件370B在間隙區域350中進行另一個實質上90度的轉向,因此再次在Y方向上朝向IC晶粒221延伸。導電元件370B的在俯視圖中輪廓不是直的的原因可能是為了便於電性佈線(例如繞過或避開某些微電子元件),或者它可能是為了圖案均勻性或負載的目的。應理解的是,也可以為導電元件370A、370B實施其他形狀或俯視圖配置,儘管為了簡化,它們未在本說明書中具體示出。The difference between the conductive elements 370A, 370B and the conductive element 370 described above is that not all of the conductive elements 370A, 370B are straight. For example, at least one of the conductive elements 370B includes one or more turns (e.g., 90 degrees). As shown in FIG. 5 , the conductive element 370B initially extends from the IC die 220 toward the IC die 221 in the Y direction. The conductive element 370B then makes a substantially 90 degree turn in the gap region 350, thereby extending in the X direction. The conductive element 370B then makes another substantially 90 degree turn in the gap region 350, thereby extending again in the Y direction toward the IC die 221. The reason why the outline of the conductive element 370B is not straight in the top view may be to facilitate electrical routing (e.g., bypassing or avoiding certain microelectronic components), or it may be for pattern uniformity or loading purposes. It should be understood that other shapes or top view configurations may also be implemented for the conductive elements 370A, 370B, although they are not specifically shown in this specification for simplicity.

第6圖示出了晶圓級結構200的又一個實施例的俯視圖,包括互連IC晶粒250的放大俯視圖。同樣,為了清楚和一致,第2圖和第5圖至第6圖中出現的類似部件具有相同標號。類似於第2圖和第5圖的實施例,第6圖的實施例中所示的互連IC晶粒250也利用多個導電元件370C、370D將IC晶粒220和221電性耦接在一起。然而,導電元件370C、370D的至少一些部分在X方向上實施為位在密封環270和密封環280之間。換句話說,密封環270和密封環280各自具有沿Y方向延伸的段部,並且導電元件370C、370D的至少一些部分設置在密封環270和密封環280的沿Y方向延伸的段部之間。舉例來說,導電元件370C在X方向上延伸出IC晶粒220,然後轉向實質上90度以在Y方向上延伸,然後再轉向實質上90度以在X方向上延伸到IC晶粒221中。同時,導電元件370D在X方向上延伸出IC晶粒220,然後轉向實質上90度以在Y方向上延伸,然後再轉向實質上90度以在X方向上延伸到間隙區域350中,最後再轉90度以在Y方向上延伸到IC晶粒221中。FIG. 6 shows a top view of yet another embodiment of the wafer-level structure 200, including an enlarged top view of the interconnected IC die 250. Again, for clarity and consistency, similar components appearing in FIG. 2 and FIGS. 5-6 have the same reference numerals. Similar to the embodiments of FIGS. 2 and 5, the interconnected IC die 250 shown in the embodiment of FIG. 6 also utilizes a plurality of conductive elements 370C, 370D to electrically couple the IC dies 220 and 221 together. However, at least some portions of the conductive elements 370C, 370D are implemented to be located between the sealing ring 270 and the sealing ring 280 in the X direction. In other words, the sealing ring 270 and the sealing ring 280 each have a section extending in the Y direction, and at least some portions of the conductive elements 370C, 370D are disposed between the sections extending in the Y direction of the sealing ring 270 and the sealing ring 280. For example, the conductive element 370C extends out of the IC die 220 in the X direction, then turns substantially 90 degrees to extend in the Y direction, and then turns substantially 90 degrees again to extend in the X direction into the IC die 221. Meanwhile, the conductive element 370D extends out of the IC die 220 in the X direction, then turns substantially 90 degrees to extend in the Y direction, then turns substantially 90 degrees again to extend in the X direction into the gap region 350, and finally turns 90 degrees again to extend in the Y direction into the IC die 221.

第7圖示出了晶圓級結構200的另一個實施例的俯視圖,包括互連IC晶粒250的放大俯視圖。同樣,為了清楚和一致,第2圖和第5圖至第7圖中出現的類似部件具有相同標號。除了在間隙區域350中實施導電元件370以將IC晶粒220和221電性耦接在一起之外,第7圖的實施例在間隙區域350中實施多個其他結構,以更有效地利用寶貴的晶片面積。FIG. 7 shows a top view of another embodiment of wafer-level structure 200, including an enlarged top view of interconnected IC die 250. Again, for clarity and consistency, similar components appearing in FIG. 2 and FIG. 5-7 have the same reference numerals. In addition to implementing conductive elements 370 in interstitial region 350 to electrically couple IC die 220 and 221 together, the embodiment of FIG. 7 implements a number of other structures in interstitial region 350 to more efficiently utilize precious wafer area.

舉例來說,第7圖的實施例可以在間隙區域350中實現多個虛置結構450。虛置結構450可以包括介電材料或金屬材料。舉例來說,虛置結構450可以包括虛置鰭結構、虛置閘極結構、虛置金屬線、虛置通孔等。雖然虛置結構450不用作IC晶粒220、221的微電子元件,但是它們在本說明書中實現成提高圖案均勻性或減少負載,例如藉由增加間隙區域350的圖案密度使其不那麼空。因此,可以藉由虛置結構450的存在改進晶圓級結構200的製造。For example, the embodiment of FIG. 7 can implement multiple dummy structures 450 in the gap region 350. The dummy structures 450 can include dielectric materials or metal materials. For example, the dummy structures 450 can include dummy fin structures, dummy gate structures, dummy metal lines, dummy vias, etc. Although the dummy structures 450 are not used as microelectronic components of the IC die 220, 221, they are implemented in this specification to improve pattern uniformity or reduce loading, such as by increasing the pattern density of the gap region 350 to make it less empty. Therefore, the manufacturing of the wafer-level structure 200 can be improved by the presence of the dummy structures 450.

在一個範例中,第7圖的實施例可以實現一或多個測試結構460。每個測試結構460可以被設計和配置用於半導體電路元件或部件(例如電晶體或電阻)的電性測試。因此,測試結構460可各自包含半導體元件或部件中的一者,以及用於在測試結構460的端子與外部裝置之間建立電性連接的導電墊。可以將電流或電壓施加到測試結構460。In one example, the embodiment of FIG. 7 may implement one or more test structures 460. Each test structure 460 may be designed and configured for electrical testing of semiconductor circuit elements or components (e.g., transistors or resistors). Thus, the test structures 460 may each include one of the semiconductor elements or components, and a conductive pad for establishing an electrical connection between a terminal of the test structure 460 and an external device. A current or voltage may be applied to the test structure 460.

在另一個範例中,第7圖的實施例可以實現一或多個圖案470。圖案470是形成在晶圓上的圖案,以在晶圓經歷一或多個製造過程時監測晶圓的狀態及/或一或多個製造過程的功效或精度。在一些實施例中,圖案470可以包括製程監測圖案以量測特定製造製程的功效。在其他實施例中,圖案470可以包括對準標記及/或覆蓋標記,它們可以是用於系統校準及/或用於將隨後形成的圖案與先前形成的圖案對準的特徵,例如不同層中的圖案。在各種實施例中,圖案470可以包括介電特徵或金屬特徵。In another example, the embodiment of FIG. 7 may implement one or more patterns 470. Pattern 470 is a pattern formed on a wafer to monitor the state of the wafer and/or the efficacy or accuracy of one or more manufacturing processes as the wafer undergoes one or more manufacturing processes. In some embodiments, pattern 470 may include a process monitoring pattern to measure the efficacy of a particular manufacturing process. In other embodiments, pattern 470 may include alignment marks and/or overlay marks, which may be features used for system calibration and/or for aligning subsequently formed patterns with previously formed patterns, such as patterns in different layers. In various embodiments, pattern 470 may include dielectric features or metal features.

應理解的是,虛置結構450、測試結構460和圖案470中的每一者不僅可以在晶圓級結構200的頂層中實現。舉例來說,可以在上述多層內連線結構300的任何一個金屬層中實現虛置結構450、測試結構460、和圖案470(例如作為金屬線及/或通孔)。也可以在多層內連線結構300下面的層中實現虛置結構450、測試結構460和圖案470,例如作為基板110中的部件。It should be understood that each of the dummy structure 450, the test structure 460, and the pattern 470 can be implemented not only in the top layer of the wafer-level structure 200. For example, the dummy structure 450, the test structure 460, and the pattern 470 (e.g., as metal lines and/or vias) can be implemented in any metal layer of the multi-layer interconnect structure 300. The dummy structure 450, the test structure 460, and the pattern 470 can also be implemented in a layer below the multi-layer interconnect structure 300, for example, as a component in the substrate 110.

不管在間隙區域350中實現什麼類型的結構,它們在間隙區域350中實現的事實意味著IC晶粒220、221內有價值的晶片空間被保存或保留。換句話說,雖然傳統的製造方法可能必須在IC晶粒220、221內形成結構(例如虛置結構450、測試結構460或監測圖案470),這會消耗寶貴的晶片面積,但本揭露藉由在IC晶粒220、221外部以及在間隙區域350中形成虛置結構450、測試結構460、監測圖案470來釋放寶貴的晶片區域,不然間隙區域350會被浪費掉。因此可以提高IC製造效率,並且可以降低製造成本。Regardless of what types of structures are implemented in the gap region 350, the fact that they are implemented in the gap region 350 means that valuable chip space within the IC die 220, 221 is saved or preserved. In other words, while conventional manufacturing methods may have to form structures (such as dummy structures 450, test structures 460, or monitoring patterns 470) within the IC die 220, 221, which consumes valuable chip area, the present disclosure frees up valuable chip area that would otherwise be wasted by forming the dummy structures 450, test structures 460, and monitoring patterns 470 outside the IC die 220, 221 and in the gap region 350. As a result, IC manufacturing efficiency can be improved and manufacturing costs can be reduced.

第8圖示出了互連IC晶粒250A和250B的其他實施例的俯視圖。儘管上述互連IC晶粒250包括兩個電性互連並被密封環280(作為外部密封環層)以360度周向環繞的IC晶粒220、221,互連IC晶粒250A和250B各自包括多於二個IC晶粒。舉例來說,互連IC晶粒250A包括電性互連在一起的四個單獨的IC晶粒222、223、224、225。在所示實施例中,IC晶粒222、223、224、225可以佈置成在Y方向上延伸的列。IC晶粒222、223通過一組導電元件370電性互連在一起。IC晶粒223、224通過另一組導電元件370電性互連在一起。IC晶粒224、225通過另一組導電元件370電性互連在一起。每個IC晶粒222、223、224、225被各自的密封環270(作為內部密封環層)以360度周向環繞。四個IC晶粒222、223、224、225隨後被密封環280(作為外部密封環層)以360度共同周向環繞。上面參考第7圖討論的結構450、460、470可以在IC晶粒222、223、223-224和224-225之間的間隙區域350中實現。FIG. 8 shows a top view of other embodiments of interconnected IC die 250A and 250B. Although the interconnected IC die 250 described above includes two IC die 220, 221 that are electrically interconnected and surrounded by a sealing ring 280 (as an outer sealing ring layer) at 360 degrees, the interconnected IC die 250A and 250B each include more than two IC die. For example, the interconnected IC die 250A includes four individual IC die 222, 223, 224, 225 that are electrically interconnected. In the illustrated embodiment, the IC die 222, 223, 224, 225 can be arranged in a row extending in the Y direction. The IC die 222, 223 are electrically interconnected through a set of conductive elements 370. The IC dies 223, 224 are electrically interconnected through another set of conductive elements 370. The IC dies 224, 225 are electrically interconnected through another set of conductive elements 370. Each IC die 222, 223, 224, 225 is surrounded 360 degrees by its own sealing ring 270 (as an inner sealing ring layer). The four IC dies 222, 223, 224, 225 are then surrounded 360 degrees by a sealing ring 280 (as an outer sealing ring layer). The structures 450, 460, 470 discussed above with reference to FIG. 7 can be implemented in the gap region 350 between the IC dies 222, 223, 223-224, and 224-225.

在另一個範例中,互連IC晶粒250B包括電性互連在一起的四個單獨的IC晶粒226、227、228和229。在所示實施例中,IC晶粒226、227、228、229可以佈置成2×2矩陣(例如具有兩行和兩列)。IC晶粒226在X方向上電性互連到IC晶粒227,並且在Y方向上電性互連到IC晶粒228。IC晶粒227在X方向上電性互連到IC晶粒226,並且在Y方向上電性互連到IC晶粒229。IC晶粒228在X方向上電性互連到IC晶粒229,並且在Y方向上電性互連到IC晶粒226。IC晶粒229在X方向上電性互連到IC晶粒228,並且在Y方向上電性互連到IC晶粒227。這種連接方式同樣使用了導電元件370的不同子集合來完成電性連接。IC晶粒226、227、228、229中的每一者都被對應的密封環270(作為內密封環層)以360度周向環繞。四個IC晶粒226、227、228、229隨後被密封環280(作為外部密封環層)以360度共同周向環繞。上面參考第7圖討論的結構可以在IC晶粒226-227、227-228、228-229和226-228之間的間隙區域350中實現。In another example, interconnected IC die 250B includes four individual IC die 226, 227, 228, and 229 that are electrically interconnected together. In the illustrated embodiment, IC die 226, 227, 228, 229 may be arranged in a 2×2 matrix (e.g., having two rows and two columns). IC die 226 is electrically interconnected to IC die 227 in the X direction and to IC die 228 in the Y direction. IC die 227 is electrically interconnected to IC die 226 in the X direction and to IC die 229 in the Y direction. IC die 228 is electrically interconnected to IC die 229 in the X direction and to IC die 226 in the Y direction. IC die 229 is electrically interconnected to IC die 228 in the X direction and to IC die 227 in the Y direction. This connection method also uses a different subset of conductive elements 370 to complete the electrical connection. Each of the IC dies 226, 227, 228, and 229 is surrounded by a corresponding sealing ring 270 (as an inner sealing ring layer) at 360 degrees. The four IC dies 226, 227, 228, and 229 are then surrounded by a sealing ring 280 (as an outer sealing ring layer) at 360 degrees. The structure discussed above with reference to FIG. 7 can be implemented in the gap area 350 between the IC dies 226-227, 227-228, 228-229, and 226-228.

亦可設想互連的IC晶粒的其他實施例,但為了簡潔,本說明書中未具體說明這些實施例。舉例來說,互連的IC晶粒可以包括在X方向上延伸的一行互連的IC晶粒。在另一個範例中,互連的IC晶粒可以包括少於或多於四個晶粒(例如三個或五個)。此外,互連的IC晶粒中的各個IC晶粒不需要彼此實質上相同。換句話說,互連的IC晶粒可以包括作為不同類型IC的IC晶粒(例如包含不同類型的電路或被配置用於不同的功能)。Other embodiments of interconnected IC die are also contemplated, but for the sake of brevity, these embodiments are not specifically described in this specification. For example, the interconnected IC die may include a row of interconnected IC die extending in the X direction. In another example, the interconnected IC die may include less than or more than four die (e.g., three or five). In addition, the individual IC die in the interconnected IC die need not be substantially identical to each other. In other words, the interconnected IC die may include IC die that are different types of ICs (e.g., containing different types of circuits or configured for different functions).

本揭露的另一方面關於製造「超級晶粒」,其是包括晶片上的大部分(如果不是全部的話)IC晶粒的晶圓級結構。舉例來說,在一些實施例中,作為「超級晶粒」結構的一部分形成的IC晶粒可以佔在單個晶圓上形成的所有IC晶粒的50%-100%之間的IC晶粒。舉例來說,如第9圖所示,在密封環280為矩形的情況下,上述比例可以在大約65%和大約75%之間。然而,在密封環280為十字形的實施例中,也如第9圖所示,上述比值可高於75%。Another aspect of the present disclosure relates to the fabrication of "super grains," which are wafer-level structures that include most, if not all, of the IC die on a wafer. For example, in some embodiments, the IC die formed as part of a "super grain" structure may comprise between 50%-100% of all IC die formed on a single wafer. For example, as shown in FIG. 9 , in the case where the sealing ring 280 is rectangular, the above ratio may be between about 65% and about 75%. However, in embodiments where the sealing ring 280 is cross-shaped, also as shown in FIG. 9 , the above ratio may be higher than 75%.

第10圖示出了晶圓600的簡化俯視圖,其包括多晶粒結構610作為這種「超級晶粒」的示例實施例。如第10圖所示,多晶粒結構610包括多個IC晶粒,例如IC晶粒620、621、622、623,它們被佈置成具有M個行和N個列的陣列。M和N是大於2的整數。在一些實施例中,M和N可以各自在7和16之間的範圍內。為了簡化和清楚,第10圖中的多晶粒結構610具有2行和2列(並且因此具有4個IC晶粒),從而形成2×2陣列,儘管應當理解作為實際製造的結構的多晶粒結構610可以包括更多數量的行及/或列(因此具有數百貨數千個IC晶粒)。在一些實施例中,形成在晶圓600上的所有IC晶粒都位於多晶粒結構610內。在其他實施例中,晶圓600可以包括不是多晶粒結構610的一部分的少量其他IC晶粒(例如少於多晶粒結構610的IC晶粒數量的10%),但是為了簡單起見,這些其他IC晶粒沒有在第10圖的實施例中具體示出。FIG. 10 shows a simplified top view of a wafer 600 including a multi-die structure 610 as an example embodiment of such a “super-die.” As shown in FIG. 10 , the multi-die structure 610 includes a plurality of IC dies, such as IC dies 620 , 621 , 622 , 623 , arranged in an array having M rows and N columns. M and N are integers greater than 2. In some embodiments, M and N may each be in a range between 7 and 16. For simplicity and clarity, the multi-die structure 610 in FIG. 10 has 2 rows and 2 columns (and thus has 4 IC dies), thereby forming a 2×2 array, although it should be understood that the multi-die structure 610 as an actually manufactured structure may include a greater number of rows and/or columns (and thus has hundreds or thousands of IC dies). In some embodiments, all IC dies formed on wafer 600 are located within multi-die structure 610. In other embodiments, wafer 600 may include a small number of other IC dies that are not part of multi-die structure 610 (e.g., less than 10% of the number of IC dies in multi-die structure 610), but for simplicity, these other IC dies are not specifically shown in the embodiment of FIG. 10 .

與上述IC晶粒220-229類似,IC晶粒620、621、622、623每一者都包含電路,其可以使用形成在基板上的多個電晶體(例如FinFET裝置或GAA裝置)來實現。同樣類似於IC晶粒220-229,IC晶粒620、621、622、623中的每一者在俯視圖中被對應的密封環270環繞,密封環270可以認為是內部密封環層,以保護對應的IC晶粒不受濕氣或其他污染物影響。Similar to the above-mentioned IC dies 220-229, each of the IC dies 620, 621, 622, 623 includes a circuit, which can be implemented using multiple transistors (e.g., FinFET devices or GAA devices) formed on a substrate. Also similar to the IC dies 220-229, each of the IC dies 620, 621, 622, 623 is surrounded by a corresponding sealing ring 270 in the top view, and the sealing ring 270 can be considered as an inner sealing ring layer to protect the corresponding IC die from moisture or other contaminants.

每個密封環270包括一或多個開口640,這些開口允許導電元件370從中延伸。如上所述,由於每個密封環270可以由垂直堆疊的金屬線310、通孔320(設置在金屬線310之間)和設置在金屬線310上方的導電墊340(例如參見第4圖)構成,開口640中的每一者都可以對應於這樣的垂直堆疊中的不連續性(或由其定義)。舉例來說,其中一層金屬層中的金屬線310可能有斷裂,或導電墊340之一可能有斷裂,這形成允許導電元件370延伸穿過的開口640。水平延伸的導電元件370的第一子集合將給定行中的兩個相鄰晶粒的電路電性耦接在一起。垂直延伸的導電元件370的第二子集將給定列中的兩個相鄰晶粒的電路電性耦接在一起。當這在多個行和列上重複時,多晶粒結構610的所有IC晶粒電性互連在一起。在一些實施例中,IC晶粒620、621、622、623彼此實質上相同。舉例來說,IC晶粒620、621、622、623使用相同的IC佈局設計,並使用相同的製造製程來製造(例如使用相同一組微影遮罩)。通過將所有IC晶粒620、621、622、623電性互連在一起,其集體處理能力及/或儲存容量可以允許多晶粒結構610用作增強的電腦工具,例如超級電腦或其部件。Each sealing ring 270 includes one or more openings 640 that allow conductive elements 370 to extend therethrough. As described above, since each sealing ring 270 may be comprised of a vertical stack of metal lines 310, vias 320 (disposed between metal lines 310), and conductive pads 340 (see, e.g., FIG. 4 ) disposed above the metal lines 310, each of the openings 640 may correspond to (or be defined by) a discontinuity in such a vertical stack. For example, a metal line 310 in one of the metal layers may have a break, or one of the conductive pads 340 may have a break, which forms an opening 640 that allows a conductive element 370 to extend therethrough. A first subset of horizontally extending conductive elements 370 electrically couples together the circuits of two adjacent die in a given row. A second subset of vertically extending conductive elements 370 electrically couples together the circuits of two adjacent die in a given column. When this is repeated over multiple rows and columns, all of the IC die of the multi-die structure 610 are electrically interconnected. In some embodiments, the IC die 620, 621, 622, 623 are substantially identical to one another. For example, the IC die 620, 621, 622, 623 use the same IC layout design and are manufactured using the same manufacturing process (e.g., using the same set of lithography masks). By electrically interconnecting all of the IC die 620, 621, 622, 623, their collective processing power and/or storage capacity can allow the multi-die structure 610 to be used as an enhanced computer tool, such as a supercomputer or component thereof.

多晶粒結構610還包括密封環280,其在俯視圖中環繞所有IC晶粒620、621、622、623、密封環270和導電元件370。密封環270用作外部密封環層以保護IC晶粒620、621、622、623、密封環270和導電元件370免受濕氣或其他污染物的影響,或緩衝它們免受在單粒化製程中施加在多晶粒結構610上的機械壓力。如第10圖所示,密封環280還可包括多個開口650,其允許導電元件370的子集合延伸穿過其中。類似於密封環270的開口640,密封環280的開口650也是由共同構成密封環280的金屬線或導電墊中的不連續點或間隙來定義。The multi-die structure 610 also includes a sealing ring 280 that surrounds all of the IC dies 620, 621, 622, 623, the sealing ring 270, and the conductive elements 370 in a top view. The sealing ring 270 serves as an outer sealing ring layer to protect the IC dies 620, 621, 622, 623, the sealing ring 270, and the conductive elements 370 from moisture or other contaminants, or to buffer them from mechanical stresses applied to the multi-die structure 610 during the singulation process. As shown in FIG. 10 , the sealing ring 280 may also include a plurality of openings 650 that allow a subset of the conductive elements 370 to extend therethrough. Similar to the opening 640 of the sealing ring 270 , the opening 650 of the sealing ring 280 is also defined by a discontinuity or gap in the metal wires or conductive pads that together constitute the sealing ring 280 .

在一些實施例中,其他結構(例如其他IC晶粒,本說明書未示出)可以藉由延伸穿過開口650的導電元件370電性互連到多晶粒結構610內的IC晶粒。在一些實施例中,在晶圓600上的密封環280外部沒有IC晶粒。換句話說,所有IC晶粒都在多晶粒結構610內實現並且被密封環280環繞/保護。在這種實施例中,導電元件370不必通過開口650延伸到密封環280外部,因為在密封環280外部沒有電性部件互連。然而仍可保留開口650和延伸穿過開口650的導電元件370的子集合通。這是因為在製造上,在行和列之間更容易重複製造每個IC晶粒以及其各自的密封環270和導電元件370(從IC晶粒的四個側面延伸)以形成多晶粒結構610的部件(包括邊緣的IC晶粒或直接鄰接密封環280的晶粒)。要考慮到多晶粒結構610邊緣的IC晶粒不需要形成一些本應延伸出密封環280的導電元件,為此設計不同的微影遮罩集合將更加複雜和昂貴。因此,即使這些導電元件370(即使它們未與任何其他IC晶粒電性耦接)延伸出密封環280,它們在這種情況下被視為製造過程的副產物,因為它們在場景中沒有任何有用的用途。In some embodiments, other structures (e.g., other IC dies, not shown herein) may be electrically interconnected to the IC dies within the multi-die structure 610 via the conductive elements 370 extending through the openings 650. In some embodiments, there are no IC dies outside the seal ring 280 on the wafer 600. In other words, all IC dies are implemented within the multi-die structure 610 and are surrounded/protected by the seal ring 280. In such embodiments, the conductive elements 370 do not have to extend through the openings 650 to the outside of the seal ring 280 because there are no electrical components interconnected outside of the seal ring 280. However, the openings 650 and a subset of the conductive elements 370 extending through the openings 650 may still be maintained. This is because it is easier to repeat the manufacturing process between rows and columns for each IC die and its respective seal ring 270 and conductive elements 370 (extending from the four sides of the IC die) to form the components of the multi-die structure 610 (including IC dies at the edges or dies directly adjacent to the seal ring 280). It would be more complicated and expensive to design a different set of lithography masks to take into account that the IC dies at the edges of the multi-die structure 610 do not need to form some of the conductive elements that would extend beyond the seal ring 280. Therefore, even though these conductive elements 370 (even though they are not electrically coupled to any other IC die) extend beyond the seal ring 280, they are considered a byproduct of the manufacturing process in this case because they do not serve any useful purpose in the scenario.

這些導電元件的端部可以在沿著密封環280外部的切割道執行的單粒化製程中被鋸掉或分割,以將多晶粒結構610與晶片的其餘部分分離。因此,導電元件370在密封環280外延伸的程度取決於切割道與密封環280之間的距離。The ends of these conductive elements can be sawed off or separated during a singulation process performed along the scribe lines outside the sealing ring 280 to separate the multi-die structure 610 from the rest of the wafer. Therefore, the extent to which the conductive elements 370 extend outside the sealing ring 280 depends on the distance between the scribe lines and the sealing ring 280.

第11圖示出了包括多晶粒結構610的實施例的晶圓600的另一個俯視圖,其中包含多晶粒結構610的放大俯視圖。多晶粒結構610包括一個IC晶粒陣列R 11-R mn,排列成M行(行R1-Rm)和N列(列C1-Cn)。一部分在X方向延伸的導電元件370在每行中電性互連IC晶粒(例如晶粒R 11-R 1n)。另一部分在Y方向延伸的導電元件370在每列中電性互連IC晶粒(例如晶粒R 11-R m1) FIG. 11 shows another top view of a wafer 600 including an embodiment of a multi-die structure 610, including an enlarged top view of the multi-die structure 610. The multi-die structure 610 includes an array of IC die R 11 -R mn arranged in M rows (rows R1-Rm) and N columns (columns C1-Cn). A portion of the conductive elements 370 extending in the X direction electrically interconnects the IC dies (e.g., dies R 11 -R 1n ) in each row. Another portion of the conductive elements 370 extending in the Y direction electrically interconnects the IC dies (e.g., dies R 11 -R m1 ) in each column.

如上所述,導電元件通過環繞每個IC晶粒的密封環270中的開口延伸到它們各自互連的IC晶粒中。然而,根據設計要求,多晶粒結構610內的一些IC晶粒可能不需要互連在一起。舉例來說,IC晶粒R 22和IC晶粒R 23不需要電性耦接在一起,因此在IC晶粒R 22和IC晶粒R 23之間沒有導電元件370。在一些實施例中,IC晶粒R 11-R mn彼此實質上相同,如此可增強多晶粒結構610整體的處理能力及/或儲存能力。 As described above, the conductive elements extend into their respective interconnected IC dies through the openings in the seal ring 270 surrounding each IC die. However, depending on design requirements, some IC dies within the multi-die structure 610 may not need to be interconnected. For example, IC die R 22 and IC die R 23 do not need to be electrically coupled together, so there is no conductive element 370 between IC die R 22 and IC die R 23. In some embodiments, IC dies R 11 -R mn are substantially identical to each other, which can enhance the overall processing capability and/or storage capability of the multi-die structure 610.

第11圖中還示出了位於多晶粒結構610的4個側面上的切割道670、671、672和673。具體地,切割道670、671、672、673位於多晶粒結構610的一個區域中。晶圓600在密封環280外面。在單粒化製程中,使用晶圓分割或鋸切設備沿著切割道670、671、672、673進行分割或鋸切,以將多晶粒結構610與晶圓600的其餘部分分開。因為密封環280位於切割道670、671、672、673內,所以保留了密封環280以用於多晶粒結構610。因此,密封環280可以幫助保護多晶粒結構610內的微電子部件以承受分割或鋸切製程產生的機械力(例如變形力),此外還可以保護微電子元件免受水分或其他污染物顆粒的影響。FIG. 11 also shows scribe lines 670, 671, 672, and 673 on four sides of the multi-die structure 610. Specifically, the scribe lines 670, 671, 672, and 673 are located in a region of the multi-die structure 610. The wafer 600 is outside the sealing ring 280. In the singulation process, wafer separation or sawing equipment is used to separate or saw along the scribe lines 670, 671, 672, and 673 to separate the multi-die structure 610 from the rest of the wafer 600. Because the sealing ring 280 is located within the scribe lines 670, 671, 672, and 673, the sealing ring 280 is retained for the multi-die structure 610. Thus, the sealing ring 280 can help protect the microelectronic components within the multi-die structure 610 from mechanical forces (eg, deformation forces) generated by the singulation or sawing process, and can also protect the microelectronic components from moisture or other contaminant particles.

在第11圖所示的實施例中,可以在多晶粒結構610外部的晶圓600區域上實現其他結構。舉例來說,可以在晶圓600的「左側」及/和或多晶粒結構610的「右側」實施結構680。舉例來說,結構680可能包括另一個IC晶粒,晶粒可能與多晶粒結構610中的IC晶粒R 11-R m1具有相同的IC設計佈局或功能,也可能不同。在另一個範例中,結構680可以包括測試結構或量測結構,其包含用於測試或量測多晶粒結構610內的部件的性能或狀態的電子電路。因此,在某些實施例中,可能需要建立結構680與多晶粒結構610之間的電性連接,至少在多晶粒結構610仍在製造過程中且尚未進行單粒化之前。舉例來說,導電元件370A的子集合可用於在結構680之一和多晶粒結構610內的IC晶粒R 1n之間建立電性連接。如第11圖所示,密封環280的開口650允許導電元件370A延伸穿過密封環280,以電性互連結構680和IC晶粒R 1nIn the embodiment shown in FIG. 11 , other structures may be implemented on areas of wafer 600 outside of multi-die structure 610. For example, structure 680 may be implemented on the “left side” of wafer 600 and/or the “right side” of multi-die structure 610. For example, structure 680 may include another IC die that may or may not have the same IC design layout or functionality as IC die R 11 -R m1 in multi-die structure 610. In another example, structure 680 may include a test structure or a measurement structure that includes electronic circuitry for testing or measuring the performance or status of components within multi-die structure 610. Therefore, in some embodiments, it may be desirable to establish electrical connections between structure 680 and multi-die structure 610, at least while multi-die structure 610 is still in the manufacturing process and before singulation. For example, a subset of conductive elements 370A may be used to establish electrical connections between one of structures 680 and IC die R 1n within multi-die structure 610. As shown in FIG. 11 , opening 650 of seal ring 280 allows conductive element 370A to extend through seal ring 280 to electrically interconnect structure 680 and IC die R 1n .

應理解的是,在已經完成多晶粒結構610的製造之後且不再需要多晶粒結構610和外部裝置之間的電性連接的實施例中,上述單粒化製程可以切除部分延伸超出切割道(例如超出切割道671)的導電元件(例如導電元件370A)。因此,多晶粒結構610的最終裝置可以包括具有被鋸掉或分割的端部的導電元件370。It should be understood that after the fabrication of the multi-grain structure 610 is completed and in embodiments where electrical connections between the multi-grain structure 610 and external devices are no longer required, the singulation process may remove portions of the conductive elements (e.g., conductive elements 370A) extending beyond the scribe line (e.g., beyond the scribe line 671). Therefore, the final device of the multi-grain structure 610 may include the conductive elements 370 having sawn-off or separated ends.

多晶粒結構610內的一些IC晶粒不需要連接到多晶粒結構610外部的任何裝置。例如晶粒R11-Rm1不需要和多晶粒結構610的「左側」的結構680之間建立任何連接。舉例來說,這些結構680可以是為了圖案均勻性目的而實現的虛置特徵,或者它們可以是對準標記或覆蓋標記。無論如何,由於這些結構680不需要電性互連到IC晶粒R 11-R m1,所以不需要在IC晶粒R 11-R m1的「左側」形成導電元件370。備選地,即使導電元件370形成在IC晶粒R 11-R m1的「左側」,它們的端部也可能會在上述單粒化製程中沿著切割道673被切除。 Some IC dies within the multi-die structure 610 do not need to be connected to any device outside the multi-die structure 610. For example, the dies R11-Rm1 do not need to establish any connection between them and the structures 680 on the "left side" of the multi-die structure 610. For example, these structures 680 can be dummy features implemented for pattern uniformity purposes, or they can be alignment marks or overlay marks. In any case, since these structures 680 do not need to be electrically interconnected to the IC dies R 11 -R m1 , there is no need to form the conductive elements 370 on the "left side" of the IC dies R 11 -R m1 . Alternatively, even if the conductive elements 370 are formed on the "left side" of the IC dies R 11 -R m1 , their ends may be cut off along the sawing lines 673 during the above-mentioned singulation process.

注意導電元件370可以使用任何合適的形狀或配置來實現,例如第5圖至第6圖中所示的形狀或配置。舉例來說,導電元件370不需要是直的,而是可以包括一或多個轉角,並且它們也可以直接實施在密封環270和密封環280之間 (參見第6圖)。然而,在大多數實施例中(例如第11圖中所示的實施例),可能更容易將導電元件370實施為直接位於多晶粒結構610內的每對相鄰設置的IC晶粒之間的直矩形部件。這樣的實施例可以使轉角區域700變空,其中轉角區域700指的是多晶粒結構610的位於4個相鄰的IC晶粒的轉角之間的區域。為了進一步利用這些空的空間,可以在轉角區域700中實現虛置結構450、測試結構460及/或圖案470(例如對準標記或覆蓋標記)。如上所述,實現在多晶粒結構610的IC晶粒進行製造時,轉角區域700中的虛置結構450、測試結構460及/或圖案470可以提高圖案均勻性或其他製造製程相關的度量,及/或可以騰出可在IC晶粒內部使用的寶貴的晶片空間,以實現等效或相似的結構/圖案。Note that the conductive elements 370 may be implemented using any suitable shape or configuration, such as the shapes or configurations shown in FIGS. 5-6. For example, the conductive elements 370 need not be straight, but may include one or more corners, and they may also be implemented directly between the seal rings 270 and 280 (see FIG. 6). However, in most embodiments (such as the embodiment shown in FIG. 11), it may be easier to implement the conductive elements 370 as straight rectangular features directly between each pair of adjacently disposed IC dies within the multi-die structure 610. Such embodiments may leave the corner regions 700 empty, where the corner regions 700 refer to the regions of the multi-die structure 610 located between the corners of four adjacent IC dies. To further utilize these empty spaces, dummy structures 450, test structures 460, and/or patterns 470 (e.g., alignment marks or overlay marks) may be implemented in the corner regions 700. As described above, when the IC die of the multi-die structure 610 is fabricated, the dummy structures 450, test structures 460, and/or patterns 470 in the corner regions 700 may improve pattern uniformity or other manufacturing process-related metrics, and/or may free up valuable chip space that can be used within the IC die to implement equivalent or similar structures/patterns.

通過在晶圓級別實施多晶粒結構610實現的另一個好處,可以在給定的晶圓上封裝更多的晶粒。更詳細而言,傳統的晶圓製造可在給定的晶圓上形成多個IC晶粒,但在某些時候,這些IC晶粒將需要彼此分離(例如藉由單粒化製程)並單獨封裝,然後才能作為成品來販賣。為了確保單粒化過程不會意外損壞IC晶粒(例如鋸到IC晶粒中,或者即使機械分割/鋸切工具沒有直接鋸入IC晶粒,也會對IC晶粒造成過多的機械壓力),傳統的晶圓製造需要在相鄰的IC晶粒之間保留足夠大的間距。這可以稱為晶粒間的間距。因為沒有IC晶粒的功能微電子部件設置在這種空間內,對應於晶粒間的間距的晶圓區域可認為是浪費的空間。隨著半導體裝置製程繼續按比例縮小,晶圓上的可用空間變得更有價值,因此需要減小晶粒到晶粒的間距,以便可以在給定的晶圓上形成更多的IC晶粒。不幸的是,對於傳統的晶圓,很難進一步減小晶粒到晶粒的間距,因為晶粒到晶粒的間距應超過分割/鋸切工具(例如刀片)的寬度,這可能會有一個固定的尺寸。Another benefit achieved by implementing the multi-die structure 610 at the wafer level is that more dies can be packaged on a given wafer. In more detail, traditional wafer manufacturing can form multiple IC dies on a given wafer, but at some point, these IC dies will need to be separated from each other (for example, by a singulation process) and packaged individually before they can be sold as finished products. In order to ensure that the singulation process does not accidentally damage the IC die (for example, by sawing into the IC die, or even if the mechanical separation/sawing tool does not directly saw into the IC die, it will cause excessive mechanical stress on the IC die), traditional wafer manufacturing requires that a sufficiently large spacing be maintained between adjacent IC dies. This can be referred to as the inter-die spacing. Because no functional microelectronic components of the IC die are placed in such spaces, the wafer area corresponding to the inter-die pitch can be considered as wasted space. As semiconductor device processes continue to scale down, the available space on the wafer becomes more valuable, so there is a need to reduce the die-to-die pitch so that more IC dies can be formed on a given wafer. Unfortunately, with conventional wafers, it is difficult to further reduce the die-to-die pitch because the die-to-die pitch should exceed the width of the singulation/sawing tool (e.g., blade), which may have a fixed size.

然而,作為多晶粒結構610的一部分形成的IC晶粒可以更緊密地封裝在一起,因為它們不需要單獨封裝,這意味著不需要使用分割/鋸切工具在相鄰的IC晶粒之間的區域中進行切割。換句話說,由於不需要在多晶粒結構610內實現切割道區,並且由於只需要在多晶粒結構610外部的晶圓600上形成非常少的其他結構(如果有的話),所以與傳統晶圓相比,多晶片結構中的IC晶粒可以更靠近附近的IC晶粒。此處IC晶粒之間的更接近可以由晶粒間的間距710與IC晶粒之一的尺寸715之間的比值來表示。作為一個簡化示例,第11圖中所示的示例晶粒間的間距710是IC晶粒R 12和IC晶粒R 22之間的Y方向距離,尺寸715是IC晶粒R 22的Y方向尺寸(這對於多晶粒結構610的所有IC晶粒可以是實質上相同的)。可以理解的是,也可以在X方向上取得類似的晶粒間的間距和IC晶粒尺寸。 However, IC dies formed as part of the multi-die structure 610 can be packed more closely together because they do not need to be packaged individually, which means that there is no need to use a singulation/sawing tool to cut in the area between adjacent IC dies. In other words, because there is no need to implement scribe lines within the multi-die structure 610, and because there are very few other structures (if any) that need to be formed on the wafer 600 outside of the multi-die structure 610, the IC dies in the multi-wafer structure can be closer to nearby IC dies than in a conventional wafer. The closer proximity between IC dies here can be represented by the ratio between the inter-die spacing 710 and the size 715 of one of the IC dies. As a simplified example, the example inter-die spacing 710 shown in FIG. 11 is the Y-direction distance between IC die R12 and IC die R22 , and dimension 715 is the Y-direction dimension of IC die R22 (which may be substantially the same for all IC dies in multi-die structure 610). It is understood that similar inter-die spacing and IC die dimensions may also be achieved in the X-direction.

在任何情況下,多晶粒結構610的晶粒間的間距710與尺寸715之間的比值小於常規晶圓中的對應比值。舉例來說,在IC晶粒具有與本說明書IC晶粒R 11-R mn相同尺寸的常規晶圓中,其晶粒間的間距可以比本說明書晶粒間的間距710大2到4倍,且晶粒間的間距710和尺寸715之間的比值可以比IC晶粒尺寸相同的傳統晶圓中的對應比值小了大約2-4倍(對於同類產品比較)。同樣,這裡的較小比值之所以成為可能,是因為實質上晶圓600上的所有IC晶粒都形成在多晶粒結構610內,因此不需要被單獨分割和封裝。因此,晶粒間的間距710甚至可以小於用於執行單粒化製程的分割/鋸切工具的寬度(在多晶粒結構610的情況下,僅用於切割密封環280的外部)。因此,即使晶圓600的整體大小與常規晶圓相同,其上可以形成的IC晶粒數量也可以超過具有相同尺寸的常規晶圓上形成的IC晶粒數量,至少部分原因是因為IC晶粒可以更加緊密地排列。因此,多晶粒結構610可以增加產量及/或降低製造成本。 In any case, the ratio between the die spacing 710 and the dimension 715 of the multi-die structure 610 is smaller than the corresponding ratio in a conventional wafer. For example, in a conventional wafer with IC dies having the same dimensions as the IC dies R 11 -R mn of the present specification, the die spacing can be 2 to 4 times larger than the die spacing 710 of the present specification, and the ratio between the die spacing 710 and the dimension 715 can be about 2 to 4 times smaller than the corresponding ratio in a conventional wafer with IC dies of the same dimensions (for like products). Again, the smaller ratio is possible because substantially all of the IC dies on the wafer 600 are formed in the multi-die structure 610 and therefore do not need to be singulated and packaged individually. Thus, the inter-die spacing 710 may even be smaller than the width of a singulation/saw tool used to perform the singulation process (in the case of a multi-die structure 610, only used to cut the outer portion of the seal ring 280). Thus, even though the overall size of wafer 600 is the same as a conventional wafer, the number of IC dies that can be formed thereon may exceed the number of IC dies formed on a conventional wafer of the same size, at least in part because the IC dies may be more densely packed. Thus, the multi-die structure 610 may increase yield and/or reduce manufacturing costs.

第12圖示出了根據本揭露的實施例經歷製造過程的多晶粒結構610的一部分的俯視圖。在步驟720中,使用第一組微影製程(例如曝光和顯影製程)連同其密封環270和導電元件370A的「左」部分一起形成IC晶粒620。導電元件370A的「左」部分延伸穿過環繞IC晶粒620的密封環270的開口640。在步驟730中,IC晶粒621(位於IC晶粒620 X方向上的「右側」)連同其密封環270和導電元件370B的「右」部分使用第二組微影製程(例如曝光和顯影製程)形成。導電元件370B的「右」部分延伸穿過環繞IC晶粒621的密封環270的開口640。FIG. 12 shows a top view of a portion of a multi-die structure 610 undergoing a manufacturing process according to an embodiment of the present disclosure. In step 720, IC die 620 is formed along with its seal ring 270 and the “left” portion of conductive element 370A using a first set of lithography processes (e.g., exposure and development processes). The “left” portion of conductive element 370A extends through opening 640 of seal ring 270 surrounding IC die 620. In step 730, IC die 621 (located on the “right side” of IC die 620 in the X direction) is formed along with its seal ring 270 and the “right” portion of conductive element 370B using a second set of lithography processes (e.g., exposure and development processes). The “right” portion of conductive element 370B extends through opening 640 of seal ring 270 surrounding IC die 621 .

「左」部分的導電元件370A和「右」部分的導電元件370B在區域740處在X方向上彼此合併以共同形成導電元件370,其與IC晶粒620和621電性互連。為了確保「左」部分的導電元件370A和「右」部分的導電元件370B的合併,「左」部分的導電元件370A和「右」部分的導電元件370B各自初始配置為在X方向上具有足夠長的長度。舉例來說,假設最終形成的導電元件370在X方向上的均具有長度750。在這種情況下,「左」部分的導電元件370A和「右」部分的導電元件370B被配置為使得它們各自具有初始長度760,其中初始長度760大於長度750的1/2。這個配置提供了安全邊際,讓 「左」部分的導電元件370A和「右」部分的導電元件370B可以合併,即使製造過程中的缺陷導致「左」部分的導電元件370A和「右」部分的導電元件370B彼此漂移。The "left" portion of the conductive element 370A and the "right" portion of the conductive element 370B merge with each other in the X direction at region 740 to jointly form a conductive element 370, which is electrically interconnected with the IC dies 620 and 621. In order to ensure the merging of the "left" portion of the conductive element 370A and the "right" portion of the conductive element 370B, the "left" portion of the conductive element 370A and the "right" portion of the conductive element 370B are each initially configured to have a sufficiently long length in the X direction. For example, it is assumed that the conductive element 370 finally formed has a length of 750 in the X direction. In this case, the "left" portion of the conductive element 370A and the "right" portion of the conductive element 370B are configured so that they each have an initial length 760, wherein the initial length 760 is greater than 1/2 of the length 750. This configuration provides a safety margin so that the “left” portion conductive element 370A and the “right” portion conductive element 370B can be merged even if defects in the manufacturing process cause the “left” portion conductive element 370A and the “right” portion conductive element 370B to drift relative to each other.

如上所述,常規裝置及其製造的問題之一是,即使可以在同一晶圓上形成多個晶粒,是在每個晶粒已經形成之後進行的(例如晶粒被分割後) 晶粒之間的連接。這會造成額外的遮罩和製程。如果需要互連兩種或多種不同類型的晶粒,因為要互連的晶粒由不同的製程形成,則會增加製造成本和處理時間,並且可能需要打破環繞這些單獨晶粒形成的現有密封環並重新連接。As mentioned above, one of the problems with conventional devices and their manufacture is that even though multiple dies can be formed on the same wafer, the connection between the dies is made after each die has been formed (e.g., after the die has been separated). This results in additional masks and processes. If two or more different types of dies need to be interconnected, because the dies to be interconnected are formed by different processes, it will increase the manufacturing cost and processing time, and it may be necessary to break the existing sealing ring formed around these individual dies and reconnect them.

為了克服這些問題,本揭露的另一方面關於在同一晶圓上形成和互連不同類型的晶粒(每個晶粒具有它們自己的密封環),並且形成密封環以環繞互連的晶粒,其中可使用相同的遮罩或光罩執行互連。因此將減少製造時間和成本。舉例來說,第13圖示出有助於說明上述概念的晶圓級結構200的實施例的俯視圖。為了清楚和一致,第13圖和前面的圖(例如第2圖)中出現的類似部件將具有相同的標號。To overcome these problems, another aspect of the present disclosure is to form and interconnect different types of die on the same wafer (each die has its own seal ring), and to form the seal ring to surround the interconnected die, wherein the interconnection can be performed using the same mask or photomask. Thus, the manufacturing time and cost will be reduced. For example, FIG. 13 shows a top view of an embodiment of a wafer-level structure 200 that helps illustrate the above concepts. For clarity and consistency, similar components appearing in FIG. 13 and previous figures (e.g., FIG. 2) will have the same reference numerals.

如第13圖所示,第5圖的實施例中所示的互連IC晶粒250包括形成在晶圓205上的互連IC晶粒250和251。互連IC晶粒250包括單獨的IC晶粒220和221,在俯視圖中被各自的密封環270周向環繞。在俯視圖中,互連IC晶粒250本身被密封環280周向環繞。在所示實施例中,IC晶粒220和221是相同類型的晶粒。舉例來說,它們可以各自是中央處理單元(central processing unit,CPU)。同時,互連IC晶粒251包括單獨的IC晶粒222和223,它俯視圖中也被各自的密封環270周向環繞。在俯視圖中,互連IC晶粒251被另一個密封環280周向環繞。然而,與互連IC晶粒250不同,IC晶粒222和223是不均勻的,因為它們是不同類型的晶粒及/或具有不同的功能。舉例來說,IC晶粒222可以是CPU,而IC晶粒223可以是儲存設備,例如動態隨機存取記憶體(dynamic random-access memory,DRAM)設備。As shown in FIG. 13 , the interconnected IC die 250 shown in the embodiment of FIG. 5 includes interconnected IC die 250 and 251 formed on wafer 205. The interconnected IC die 250 includes individual IC die 220 and 221, which are circumferentially surrounded by respective sealing rings 270 in the top view. In the top view, the interconnected IC die 250 itself is circumferentially surrounded by sealing ring 280. In the illustrated embodiment, IC die 220 and 221 are the same type of die. For example, they can each be a central processing unit (CPU). At the same time, the interconnected IC die 251 includes individual IC die 222 and 223, which are also circumferentially surrounded by respective sealing rings 270 in the top view. In the top view, interconnected IC die 251 is circumferentially surrounded by another sealing ring 280. However, unlike interconnected IC die 250, IC die 222 and 223 are not uniform because they are different types of die and/or have different functions. For example, IC die 222 may be a CPU, and IC die 223 may be a storage device, such as a dynamic random-access memory (DRAM) device.

雖然IC晶粒222和223是不同類型的裝置,但是可以實質上同時進行製造,例如在同一晶圓上並使用相同的製程工具(儘管在每個IC晶粒222和223上形成的電晶體和內連線可能不同)。因此,與必須單獨製造IC晶粒222和223的傳統製程相比,製程成本和時間將大大減少。Although IC dies 222 and 223 are different types of devices, they can be manufactured substantially simultaneously, such as on the same wafer and using the same process tools (although the transistors and interconnects formed on each IC die 222 and 223 may be different). Therefore, compared to a conventional process in which IC dies 222 and 223 must be manufactured separately, process cost and time are greatly reduced.

應理解的是,將IC晶粒222實施為CPU並將IC晶粒223實施為DRAM裝置僅僅是一個非限制性示例,IC晶粒222和223可以靈活地實施為其他不同的種類的裝置及/或具有不同的功能,取決於設計需求。此外,無論它們是否是相同類型的IC晶粒,IC晶粒222和223可以實施為具有不同尺寸。舉例來說,IC晶粒222和223分別可以是記憶體裝置,但是在俯視圖中IC晶粒222可以具有比IC晶粒223更大或更小的面積。It should be understood that implementing IC die 222 as a CPU and IC die 223 as a DRAM device is merely a non-limiting example, and IC die 222 and 223 may be flexibly implemented as other different types of devices and/or have different functions, depending on design requirements. In addition, whether or not they are the same type of IC die, IC die 222 and 223 may be implemented to have different sizes. For example, IC die 222 and 223 may each be a memory device, but IC die 222 may have a larger or smaller area than IC die 223 in a top view.

還應理解的是,對於互連IC晶粒250和互連IC晶粒251來說,仍然存在間隙區域350。可以在間隙區域350中形成有用的結構。在所示的實施例中,有用的結構可以包括導電元件370,其在Y方向上延伸以將IC晶粒220、221電性互連在一起,或將IC晶粒222、223互連在一起。在其他實施例中,也可以在間隙區域350中實現虛置結構450、測試結構460和圖案470(上面參考第7圖討論的)。It should also be understood that there is still an interstitial region 350 for interconnected IC die 250 and interconnected IC die 251. Useful structures can be formed in the interstitial region 350. In the embodiment shown, the useful structures can include conductive elements 370 that extend in the Y direction to electrically interconnect IC die 220, 221 together, or to interconnect IC die 222, 223 together. In other embodiments, dummy structures 450, test structures 460, and patterns 470 (discussed above with reference to FIG. 7) can also be implemented in the interstitial region 350.

第14圖示出了根據本揭露的各個方面的晶圓級結構200的另一個實施例的俯視圖。為了清楚和一致,第2圖和前面的圖中出現的類似部件將具有相同的標號。如第14圖所示,形成在晶圓205上的互連IC晶粒250C包括電性互連在一起的四個IC晶粒220、221、222和223。IC晶粒220、221通過第一組導電元件370電性互連在一起,IC晶粒222、223通過第二組導電元件370電性互連在一起。此外,IC晶粒220和223通過導電元件371電性互連在一起, IC晶粒222和221通過導電元件372電性互連在一起。導電元件371和372在對角線方向上延伸,因為IC晶粒220和223彼此對角放置,IC晶粒221和222也一樣。在一些實施例中,對角線方向與X方向或Y方向成45度。這是電性互連對角佈置的IC晶粒220、221、222、223(或對角佈置的IC晶粒221、222)的更有效方式。可以理解的是,雖然為了簡單起見僅示出單個導電元件371和單個元件372,但是導電元件371可以包括多個導電元件,導電元件372也是如此。FIG. 14 shows a top view of another embodiment of a wafer-level structure 200 according to aspects of the present disclosure. For clarity and consistency, similar components appearing in FIG. 2 and previous figures will have the same reference numerals. As shown in FIG. 14, an interconnected IC die 250C formed on a wafer 205 includes four IC dies 220, 221, 222, and 223 electrically interconnected. IC dies 220, 221 are electrically interconnected through a first set of conductive elements 370, and IC dies 222, 223 are electrically interconnected through a second set of conductive elements 370. In addition, IC dies 220 and 223 are electrically interconnected through conductive element 371, and IC dies 222 and 221 are electrically interconnected through conductive element 372. Conductive elements 371 and 372 extend in a diagonal direction because IC dies 220 and 223 are placed diagonally to each other, as are IC dies 221 and 222. In some embodiments, the diagonal direction is 45 degrees to the X-direction or the Y-direction. This is a more efficient way to electrically interconnect diagonally arranged IC dies 220, 221, 222, 223 (or diagonally arranged IC dies 221, 222). It will be appreciated that although only a single conductive element 371 and a single element 372 are shown for simplicity, conductive element 371 may include multiple conductive elements, as may conductive element 372.

第15圖示出了根據本揭露的各個方面的晶圓級結構200的又一個實施例的俯視圖。為了清楚和一致,第14圖和之前的圖中出現的類似部件將具有相同的標號。如第15圖所示,形成在晶圓205上的互連IC晶粒250C包括電性互連在一起的四個IC晶粒220、221、222和223。IC晶粒220、221通過第一組導電元件370電性互連在一起,IC晶粒222、223通過第二組導電元件370電性互連在一起。此外,IC晶粒220和223通過對角線設置的導電元件371電性互連在一起(例如部分在X方向延伸,部分在Y方向延伸)。在其他實施例中,導電元件371可以以任何銳角(例如介於0度和90度之間的任何角度)延伸,只要製程偏差被控制在可接受的範圍內即可。FIG. 15 shows a top view of another embodiment of a wafer-level structure 200 according to aspects of the present disclosure. For clarity and consistency, similar components appearing in FIG. 14 and previous figures will have the same reference numerals. As shown in FIG. 15, an interconnected IC die 250C formed on a wafer 205 includes four IC die 220, 221, 222, and 223 electrically interconnected together. The IC die 220, 221 are electrically interconnected together through a first set of conductive elements 370, and the IC die 222, 223 are electrically interconnected together through a second set of conductive elements 370. In addition, the IC die 220 and 223 are electrically interconnected together through diagonally arranged conductive elements 371 (e.g., extending partially in the X direction and partially in the Y direction). In other embodiments, the conductive element 371 may extend at any sharp angle (eg, any angle between 0 degrees and 90 degrees) as long as the process variation is controlled within an acceptable range.

IC晶粒222和221通過另一個導電元件373電性互連在一起。導電元件373包括多個段部,其中一些在Y方向上延伸,而其他部分在X方向上延伸。通過使用在X方向和Y方向但不在對角方向延伸的導電元件373將對角設置的IC晶粒221、222電性互連,本說明書的實施例可以避免晶片應力釋放(chip stress release,CSR)區域。在這方面,也在第15圖中示出CSR區域的放大圖,其可以包括IC晶粒220、221、222、223的任何轉角區域。CSR區域包括密封環的加強部分,用於更好地保護IC晶粒220、221、222、223的轉角。藉由避開CSR區域,此處的實施例可以降低導電元件373(及/或其他內連線金屬)的形成難度。The IC dies 222 and 221 are electrically interconnected via another conductive element 373. The conductive element 373 includes a plurality of segments, some of which extend in the Y direction and others extend in the X direction. By electrically interconnecting the diagonally disposed IC dies 221, 222 using a conductive element 373 that extends in the X direction and the Y direction but not in the diagonal direction, the embodiments of the present specification can avoid chip stress release (CSR) regions. In this regard, an enlarged view of the CSR region is also shown in FIG. 15, which can include any corner regions of the IC dies 220, 221, 222, 223. The CSR region includes a reinforced portion of the sealing ring for better protecting the corners of the IC dies 220, 221, 222, 223. By avoiding the CSR region, the embodiments herein can reduce the difficulty of forming the conductive element 373 (and/or other interconnect metals).

第16圖示出了根據本揭露的各個方面的晶圓級結構200的另一個實施例的俯視圖。為了清楚和一致,第16圖和之前的圖中出現的類似部件將具有相同的標號。如第16圖所示,晶圓級結構200包括類似於上面參考第11圖討論的多晶粒結構610的多晶粒結構。舉例來說,晶圓級結構200包括形成在同一晶圓上的IC晶粒A 11-A nn的陣列。IC晶粒A 11-A nn排列成多行Y 1-Y n和多列 X 1-X n。在俯視圖中,IC晶粒A 11-A nn中的每一者都被對應的密封環270周向環繞,並且在俯視圖中,IC晶粒陣列共同地被密封環280周向環繞。 FIG. 16 shows a top view of another embodiment of a wafer-level structure 200 according to aspects of the present disclosure. For clarity and consistency, similar components appearing in FIG. 16 and previous figures will have the same reference numbers. As shown in FIG. 16, the wafer-level structure 200 includes a multi-die structure similar to the multi-die structure 610 discussed above with reference to FIG. 11. For example, the wafer-level structure 200 includes an array of IC dies A 11 -A nn formed on the same wafer. The IC dies A 11 -A nn are arranged in a plurality of rows Y 1 -Y n and a plurality of columns X 1 -X n . In the top view, each of the IC dies A 11 -A nn is circumferentially surrounded by a corresponding sealing ring 270, and in the top view, the IC die array is collectively circumferentially surrounded by a sealing ring 280.

導電元件370延伸到IC晶粒A 11-A nn中以將它們電性互連在一起。此外,實施導電元件374、375和376以進一步互連在X方向或Y方向上不彼此緊鄰的IC晶粒。舉例來說,導電元件374沿對角線方向延伸以電性互連彼此對角地相鄰設置的IC晶粒A 12和A 21。作為另一示例,導電元件375在另一對角線方向上延伸以電性互連IC晶粒A 21和A 32,IC晶粒A 21和A 32也被佈置成對角地彼此相鄰。作為進一步的示例,導電元件376具有多個段部並且在X方向和Y方向都延伸以電性互連IC晶粒A n2和A 3n,它們彼此對角設置(但不相鄰),因為IC晶粒A n2和A 3n在Y方向上被多行隔開。在一些實施例中,一些IC晶粒A 11-A nn也可以是不同類型或具有不同功能。舉例來說,IC晶粒A 11可以是CPU,而IC晶粒A nn可以是DRAM裝置。IC晶粒A 11-A nn也可具有不同的尺寸。 Conductive element 370 extends into IC dies A11 - Ann to electrically interconnect them together. In addition, conductive elements 374, 375, and 376 are implemented to further interconnect IC dies that are not adjacent to each other in the X direction or the Y direction. For example, conductive element 374 extends in a diagonal direction to electrically interconnect IC dies A12 and A21 that are arranged diagonally adjacent to each other. As another example, conductive element 375 extends in another diagonal direction to electrically interconnect IC dies A21 and A32 , which are also arranged diagonally adjacent to each other. As a further example, the conductive element 376 has multiple segments and extends in both the X-direction and the Y-direction to electrically interconnect the IC dies A n2 and A 3n , which are arranged diagonally to each other (but not adjacent) because the IC dies A n2 and A 3n are separated by multiple rows in the Y-direction. In some embodiments, some of the IC dies A 11 -A nn may also be of different types or have different functions. For example, the IC die A 11 may be a CPU, while the IC die A nn may be a DRAM device. The IC dies A 11 -A nn may also have different sizes.

第17圖是示出根據本揭露的實施例的製造半導體裝置的方法500的流程圖。方法500包括在基板中形成第一積體電路(IC)晶粒和第二IC晶粒的主動層的步驟510。請注意,此時第一IC晶粒和第二IC晶粒尚未完全形成。FIG. 17 is a flow chart showing a method 500 for manufacturing a semiconductor device according to an embodiment of the present disclosure. The method 500 includes a step 510 of forming an active layer of a first integrated circuit (IC) die and a second IC die in a substrate. Please note that at this point, the first IC die and the second IC die are not yet fully formed.

方法500包括步驟520,以在主動層上方形成第一IC晶粒和第二IC晶粒的內連線結構。內連線結構包括第一密封環、第二密封環和第三密封環。在俯視圖中,第一密封環和第二密封環分別環繞第一IC晶粒和第二IC晶粒。第三密封環在俯視圖中環繞第一IC晶粒、第二IC晶粒、第一密封環和第二密封環。內連線結構還包括延伸到第一IC晶粒和第二IC晶粒中,並將第一IC晶粒和第二IC晶粒電性耦接在一起的多個導電元件。The method 500 includes step 520 to form an internal connection structure of the first IC die and the second IC die above the active layer. The internal connection structure includes a first sealing ring, a second sealing ring, and a third sealing ring. In the top view, the first sealing ring and the second sealing ring surround the first IC die and the second IC die, respectively. The third sealing ring surrounds the first IC die, the second IC die, the first sealing ring, and the second sealing ring in the top view. The internal connection structure also includes a plurality of conductive elements extending into the first IC die and the second IC die and electrically coupling the first IC die and the second IC die together.

方法500包括步驟530,以在第一密封環和第二密封環外部的區域中形成一或多個測試結構、一或多個虛置結構、一或多個製程監測圖案、一或多個對準標記、或一或多個覆蓋標記,但仍被第三個密封環環繞。The method 500 includes step 530 of forming one or more test structures, one or more dummy structures, one or more process monitoring patterns, one or more alignment marks, or one or more cover marks in a region outside the first sealing ring and the second sealing ring, but still surrounded by the third sealing ring.

在一些實施例中,執行形成主動層的步驟510,使得第一IC晶粒和第二IC晶粒是不同類型的IC晶粒或具有不同的功能。舉例來說,第一IC晶粒可以是CPU,而第二IC晶粒可以是DRAM。In some embodiments, the step 510 of forming the active layer is performed so that the first IC die and the second IC die are different types of IC die or have different functions. For example, the first IC die may be a CPU and the second IC die may be a DRAM.

在一些實施例中,第一IC晶粒和第二IC晶粒相對於彼此位於對角,並且執行形成內連線結構的步驟520使得導電元件沿對角線延伸到第一IC晶粒中或延伸到第二IC晶粒中。In some embodiments, the first IC die and the second IC die are located diagonally relative to each other, and the step 520 of forming the interconnect structure is performed such that the conductive element extends diagonally into the first IC die or into the second IC die.

應理解的是,方法500可以包括在步驟510至530之前、期間或之後執行的另外的步驟。舉例來說,方法500可以包括晶圓測試、分割和封裝製程。為簡單起見,這些額外的步驟不在本說明書中詳細討論。It should be understood that method 500 may include additional steps performed before, during, or after steps 510 to 530. For example, method 500 may include wafer testing, singulation, and packaging processes. For simplicity, these additional steps are not discussed in detail in this specification.

第18圖是示出根據本揭露的實施例的製造半導體裝置的方法800的流程圖。方法800包括在基板上方形成多個第一積體電路(IC)晶粒的主動層的步驟810。FIG. 18 is a flow chart showing a method 800 for manufacturing a semiconductor device according to an embodiment of the present disclosure. The method 800 includes a step 810 of forming an active layer of a plurality of first integrated circuit (IC) dies above a substrate.

方法800包括步驟820,以在主動層上方形成第一IC晶粒的內連線結構。內連線結構包括:多個第一密封環,在俯視圖中環繞每個第一IC晶粒;多組導電元件,延伸穿過第一密封環的間隙以將第一IC晶粒電性互連在一起成為多晶粒結構,以及在俯視圖中環繞第一IC晶粒、第一密封環和導電元件的第二密封環。The method 800 includes step 820 of forming an interconnect structure of the first IC die above the active layer. The interconnect structure includes: a plurality of first sealing rings surrounding each first IC die in a top view; a plurality of conductive elements extending through gaps of the first sealing rings to electrically interconnect the first IC dies together to form a multi-die structure, and a second sealing ring surrounding the first IC die, the first sealing rings, and the conductive elements in a top view.

方法800包括步驟830,以在多晶粒的區域中形成位於第二密封環內但位於每個第一密封環外的一或多個測試結構、一或多個虛置結構、一或多個製程監測圖案、一或多個對準標記或一或多個覆蓋標記結構。The method 800 includes step 830 of forming one or more test structures, one or more dummy structures, one or more process monitoring patterns, one or more alignment marks, or one or more cover mark structures within the second seal ring but outside each first seal ring in the region of the multi-die.

方法800包括步驟840,以沿著位於第二密封環外部的切割道執行分割製程。第二密封環內的區域沒有被分割。The method 800 includes step 840 of performing a singulation process along the scribe line outside the second seal ring. The area inside the second seal ring is not singulated.

在一些實施例中,第一密封環包括形成多個垂直堆疊的金屬線和設置在金屬線之間的通孔。In some embodiments, the first sealing ring includes metal lines forming a plurality of vertical stacks and vias disposed between the metal lines.

在一些實施例中,每個導電元件具有第一長度,並且其中每組導電元件通過以下方式形成:執行第一曝光製程以定義每組中每個導電元件的第一段部,第一段部具有大於第一長度的50%的第二長度; 執行第二曝光製程以定義每組中每個導電元件的第二段部,第二段部具有大於第一長度的50%的第三長度。第一段部和第二段部的部分相互重疊並合併。應理解的是,方法800可以包括在步驟810至840之前、期間或之後執行的另外的步驟。舉例來說,方法800可以包括測試和封裝第一IC晶粒的步驟。為簡單起見,這些額外的步驟不在本說明書中詳細討論。In some embodiments, each conductive element has a first length, and wherein each group of conductive elements is formed by: performing a first exposure process to define a first segment of each conductive element in each group, the first segment having a second length greater than 50% of the first length; performing a second exposure process to define a second segment of each conductive element in each group, the second segment having a third length greater than 50% of the first length. Portions of the first segment and the second segment overlap and merge with each other. It should be understood that method 800 may include additional steps performed before, during, or after steps 810 to 840. For example, method 800 may include the steps of testing and packaging the first IC die. For simplicity, these additional steps are not discussed in detail in this specification.

第19圖圖示了根據本揭露的實施例的積體電路製造系統900。製造系統900包括由通信網路918連接的多個實體902、904、906、908、910、912、914、916...、N。網路918可以是單個網路或可以是多種不同的網路,例如區域網路和網際網路,並且可以包括有線和無線通訊通道。FIG. 19 illustrates an integrated circuit manufacturing system 900 according to an embodiment of the present disclosure. The manufacturing system 900 includes a plurality of entities 902, 904, 906, 908, 910, 912, 914, 916 ..., N connected by a communication network 918. The network 918 may be a single network or may be a plurality of different networks, such as a local area network and the Internet, and may include wired and wireless communication channels.

在一些實施例中,實體902表示用於製造協定的服務系統;實體904表示用戶,例如對感興趣的產品進行監測的產品工程師;實體906代表工程師,例如控制製程和相關配方的製程工程師,或監測或調整製程工具的條件和設置的設備工程師;實體908表示用於IC測試和量測的計量工具;實體910表示半導體加工工具,例如用於執行微影製程以定義SRAM裝置的閘極間隔物的EUV工具;實體912表示與處理工具910相關聯的虛擬計量模組;實體914表示與處理工具910以及其他處理工具相關聯的高級處理控制模組;實體916表示與處理工具910相關的採樣模組。In some embodiments, entity 902 represents a service system for manufacturing protocols; entity 904 represents a user, such as a product engineer who monitors a product of interest; entity 906 represents an engineer, such as a process engineer who controls a process and associated recipes, or an equipment engineer who monitors or adjusts conditions and settings of a process tool; entity 908 represents a metrology tool used for IC testing and measurement; entity 910 represents a semiconductor processing tool, such as an EUV tool used to perform a lithography process to define gate spacers for SRAM devices; entity 912 represents a virtual metrology module associated with processing tool 910; entity 914 represents an advanced process control module associated with processing tool 910 and other processing tools; entity 916 represents a sampling module associated with processing tool 910.

每個實體可以與其他實體交互作用,並且可以向其他實體提供積體電路製造、製程控制及/或計算能力及/或從其他實體接收的能力。每個實體還可以包括一或多個用於執行計算和執行自動化的電腦系統。舉例來說,實體914的高級處理控制模組可以包括其中編碼有軟件指令的多個電腦硬體。電腦硬體可能包括硬碟、隨身碟、CD-ROM、RAM記憶體、顯示裝置(例如螢幕)、輸入/輸出設備(例如滑鼠和鍵盤)。可以用任何合適的程式語言編寫軟體指令,並且可以設計成用來執行特定任務。Each entity may interact with other entities and may provide integrated circuit manufacturing, process control and/or computing capabilities to other entities and/or receive capabilities from other entities. Each entity may also include one or more computer systems for performing calculations and performing automation. For example, the high-level processing control module of entity 914 may include a plurality of computer hardware having software instructions encoded therein. The computer hardware may include hard drives, flash drives, CD-ROMs, RAM memory, display devices (e.g., screens), input/output devices (e.g., mice and keyboards). The software instructions may be written in any suitable programming language and may be designed to perform specific tasks.

積體電路製造系統900實現實體之間的交互作用以及積體電路(IC)製造以及IC製造的先進製程控制。在一些實施例中,先進製程控制包括根據量測結果調整適用於相關晶圓的製程工具的製程條件、設定及/或製程。The integrated circuit manufacturing system 900 implements interaction between entities and integrated circuit (IC) manufacturing and advanced process control of IC manufacturing. In some embodiments, the advanced process control includes adjusting process conditions, settings and/or processes of process tools applied to related wafers based on measurement results.

在其他實施例中,計量結果是從處理過的晶圓子集合中根據製程品質及/或產品品質確定的最佳抽樣率進行測量的。在又一些實施例中,計量結果是從處理過的晶圓子集合中選定的特定領域和點位測量的,這些領域和點位是根據製程品質及/或產品品質的各種特性確定的最佳抽樣領域/點位。In other embodiments, the metrology results are measured from a subset of processed wafers at an optimal sampling rate determined based on process quality and/or product quality. In still other embodiments, the metrology results are measured from specific areas and points selected from a subset of processed wafers, which are optimal sampling areas/points determined based on various characteristics of process quality and/or product quality.

IC製造系統900提供的能力之一可以實現在諸如設計、工程、製程、計量學和高級處理控制等領域中的協作和訊息訪問。另一個由IC製造系統900提供的功能,是在設施之間實現系統集成,例如在計量工具和加工工具之間。這種集成使得設施能夠協調它們的活動。例如,將計量工具和加工工具集成起來,可以更有效地將製造信息納入到製造過程或自動程序控制模組中,也可以實現與計量工具集成的在線或現場測量的晶圓數據。One of the capabilities provided by the IC manufacturing system 900 is to enable collaboration and information access in areas such as design, engineering, process, metrology, and advanced process control. Another function provided by the IC manufacturing system 900 is to enable system integration between facilities, such as between metrology tools and processing tools. This integration enables the facilities to coordinate their activities. For example, integrating metrology tools and processing tools can more effectively incorporate manufacturing information into manufacturing processes or automatic program control modules, and can also enable wafer data measured online or in the field to be integrated with metrology tools.

上述先進微影製程、方法和材料可用於許多應用,包括鰭型場效電晶體(FinFET)。舉例來說,可以圖案化鰭片,以在特徵之間產生相對緊密的間距,本揭露非常適合於此。此外,可以按照上述公開處理在形成FinFET鰭片時使用的間隔物(也稱為心軸)。同時,本揭露的各個方面也可以適用於多通道裝置,如環繞閘極(GAA)裝置。就本揭露關於鰭片結構或FinFET裝置的討論而言,這些討論同樣適用於GAA裝置。The above-described advanced lithography processes, methods, and materials can be used in many applications, including fin field effect transistors (FinFETs). For example, fins can be patterned to produce relatively tight spacing between features, and the present disclosure is well suited for this. In addition, spacers (also known as mandrels) used in forming FinFET fins can be processed according to the above disclosure. At the same time, various aspects of the present disclosure can also be applied to multi-channel devices, such as gate-all-around (GAA) devices. To the extent that the present disclosure discusses fin structures or FinFET devices, these discussions are equally applicable to GAA devices.

本揭露可能比傳統裝置具有優點。然而,應當理解並非本文中討論的所有優點,不同的實施例可能具有不同的優點,並且任何實施例都不需要特定的優點。其中一個優點是改進晶片面積利用率。這是通過在晶圓的各個區域形成不同的結構實現的,這些區域在其他情況下被認為是浪費的空間。例如,晶圓可以包括多個第一密封環,每個第一密封環在俯視圖中包圍著各自的IC晶粒,而這些第一密封環則被另一個第二密封環共同包圍。在第二密封環內,各種結構形成於第一密封環之間的區域,這些區域在其他情況下將構成浪費的空間。這些結構可以包括用於將相鄰的IC晶粒互連的導電元件、用於改善圖案均勻性或其他製造度量的虛置特徵、用於測試晶圓上電路性能的測試結構、或用於測量微影精度/精密度的對準標記或重疊標記等。通過在晶圓的否則浪費的區域中形成這些結構,它們就不再需要在IC晶粒內部形成,從而為在其中形成其他功能性電路元素釋放寶貴的晶片空間。The present disclosure may have advantages over conventional devices. However, it should be understood that not all advantages are discussed herein, different embodiments may have different advantages, and no embodiment requires a particular advantage. One advantage is improved chip area utilization. This is achieved by forming different structures in various areas of the wafer that would otherwise be considered wasted space. For example, a wafer may include multiple first sealing rings, each of which surrounds a respective IC die in a top view, and these first sealing rings are collectively surrounded by another second sealing ring. Within the second sealing rings, various structures are formed in areas between the first sealing rings that would otherwise constitute wasted space. These structures may include conductive elements used to interconnect adjacent IC dies, dummy features used to improve pattern uniformity or other manufacturing metrics, test structures used to test circuit performance on the wafer, or alignment marks or overlay marks used to measure lithography accuracy/precision, etc. By forming these structures in otherwise wasted areas of the wafer, they no longer need to be formed inside the IC die, freeing up valuable chip real estate for forming other functional circuit elements therein.

另一個優點是多晶粒結構可以形成為晶圓級結構。舉例來說,晶圓上的大部分(如果不是全部的話)IC晶粒(每個都被其各自的第一密封環環繞)可以電性互連在一起,然後被第二密封環環繞。這導致形成「超級晶粒」結構(或更一般地稱為多晶粒結構)。與傳統的IC晶粒相比,這種多晶粒結構可以提供卓越的性能及/或能力。舉例來說,在多晶粒結構通過將多個電腦處理器晶粒電性互連在一起(它們可以彼此實質上相同)而形成的實施例中,與傳統的電腦處理器晶粒相比,這種多晶粒結構可以提供快得多的處理速度或更大的處理能力。多晶粒結構甚至可以用作超級電腦的部件。在另一個範例中,作為另一個例子,在將多個電子儲存晶粒(例如SRAM或DRAM)電性互連形成多晶粒結構的實施例中,這種多晶粒結構可比傳統的電子儲存晶粒提供更大的儲存容量。此外,由於多晶粒結構是在晶圓級形成和互連的,因此它們可以更緊密地封裝在一起,因為它們不需要被分割和封裝成單獨的IC。這樣,與IC晶粒必須單獨封裝的傳統晶圓相比,可以在具有給定面積的晶圓上形成的IC晶粒(作為多晶粒結構的一部分)的數量增加了。這可以進一步提高最終結構的性能及/或降低製造成本。其他優點可能包括與現有製造製程(包括FinFET和GAA製程)的兼容性以及實施的簡便性和低成本。Another advantage is that the multi-grain structure can be formed as a wafer-level structure. For example, most (if not all) of the IC dies on a wafer (each surrounded by its own first sealing ring) can be electrically interconnected and then surrounded by a second sealing ring. This results in a "super-grain" structure (or more generally a multi-grain structure). Such a multi-grain structure can provide superior performance and/or capabilities compared to traditional IC dies. For example, in an embodiment where the multi-grain structure is formed by electrically interconnecting multiple computer processor dies (which can be substantially identical to each other), such a multi-grain structure can provide much faster processing speeds or greater processing capabilities than traditional computer processor dies. The multi-grain structure can even be used as a component of a supercomputer. In another example, as another example, in an embodiment where multiple electronic storage dies (such as SRAM or DRAM) are electrically interconnected to form a multi-die structure, such a multi-die structure can provide greater storage capacity than traditional electronic storage dies. In addition, because the multi-die structure is formed and interconnected at the wafer level, they can be packaged more tightly together because they do not need to be divided and packaged into separate ICs. In this way, the number of IC dies (as part of a multi-die structure) that can be formed on a wafer of a given area is increased compared to traditional wafers where IC dies must be packaged individually. This can further improve the performance of the final structure and/or reduce manufacturing costs. Other advantages may include compatibility with existing manufacturing processes (including FinFET and GAA processes) and ease and low cost of implementation.

本揭露實施例提供一種半導體裝置,包括複數個晶粒的一晶粒陣列,形成在一基板上,其中晶粒陣列中的晶粒中的每一者包括複數個功能性電晶體;複數個第一密封環,在俯視圖中各自環繞晶粒中的對應一者,其中第一密封環定義複數個角落區域,設置在第一密封環外以及在晶粒的對應子集合的角落之間;複數個結構,設置在角落區域中,其中結構並非任何功能性電晶體的一部份,且結構包括測試結構、虛置結構、製程監測圖案、對準標記、或覆蓋標記;複數個電性互連元件,在俯視圖中設置在晶粒陣列中的晶粒中的相鄰一對晶粒之間,其中電性互連元件使晶粒陣列中的晶粒彼此電性連接;以及一第二密封環,在俯視圖中環繞晶粒陣列、第一密封環、以及結構。The disclosed embodiment provides a semiconductor device, including a die array of a plurality of dies formed on a substrate, wherein each of the dies in the die array includes a plurality of functional transistors; a plurality of first sealing rings, each surrounding a corresponding one of the dies in a top view, wherein the first sealing ring defines a plurality of corner regions, which are disposed outside the first sealing ring and between corners of a corresponding subset of the dies; a plurality of structures, which are disposed in the corner regions; A first sealing ring is provided for enclosing a first die array and a second sealing ring. The first sealing ring is provided for enclosing a first die array and a second sealing ring. The first sealing ring is provided for enclosing a first die array and a second sealing ring. The first sealing ring is provided for enclosing a first die array and a second sealing ring. The first sealing ring is provided for enclosing a first die array and a second sealing ring.

在一些實施例中,在角落區域不具有任何功能性電晶體。在一些實施例中,第一密封環的每一者包括複數個第一開口;以及電性互連元件延伸穿過第一開口以電性連接晶粒陣列中的晶粒。在一些實施例中, 第二密封環包括一第二開口;第一密封環以及第二密封環的每一者包括對應的複數個金屬線以及複數個通孔的一垂直堆疊,通孔垂直地設置在金屬線之間;第一密封環以及第二密封環的每一者包括對應的一組導電墊,設置在金屬線以及通孔的垂直堆疊上方;以及第一開口以及第二開口對應於金屬線中的一者的開口或導電墊中的一者的開口。在一些實施例中,第二密封環包括一第二開口,且電性互連元件的一子集合延伸穿過第二開口以及第一開口的對應一者。在一些實施例中,電性互連元件的子集合延伸穿過第二開口並延伸到第二密封環之外;以及電性互連元件的子集合具有被分割的端部。在一些實施例中,半導體裝置更包括一電路,形成在基板上,其中在俯視圖中,電路位在第二密封環之外;以及電性互連元件的子集合將電路電性耦接到晶粒陣列。在一些實施例中,晶粒陣列包括在一第一方向延伸的M行以及在一第二方向延伸的N列,且M和N為大於2的整數。在一些實施例中,電性互連元件的一第一族群在第一方向延伸,以將每一行中的晶粒電性互連在一起;以及電性互連元件的一第二族群在第二方向延伸,以將每一列中的晶粒電性互連在一起。在一些實施例中,裝置是一晶圓級結構;以及晶圓級結構除了晶粒陣列之外,不具有其他晶粒。在一些實施例中,裝置是一晶圓級結構,包括位在第二密封環之外的複數個晶粒;以及第二密封環所環繞的晶粒佔晶圓級結構上形成的所有晶粒的50%以上。在一些實施例中,在俯視圖中,位在第二密封環之外的晶粒中的每一者被最多一層密封環所圍繞。在一些實施例中,晶粒陣列中的晶粒具有相同的積體電路佈局。In some embodiments, there are no functional transistors in the corner area. In some embodiments, each of the first sealing rings includes a plurality of first openings; and the electrical interconnect elements extend through the first openings to electrically connect the die in the die array. In some embodiments, the second sealing ring includes a second opening; each of the first sealing ring and the second sealing ring includes a corresponding plurality of metal wires and a vertical stack of a plurality of vias, the vias being vertically disposed between the metal wires; each of the first sealing ring and the second sealing ring includes a corresponding set of conductive pads disposed above the vertical stack of metal wires and vias; and the first opening and the second opening correspond to an opening of one of the metal wires or an opening of one of the conductive pads. In some embodiments, the second sealing ring includes a second opening, and a subset of the electrical interconnect elements extend through the second opening and a corresponding one of the first openings. In some embodiments, a subset of the electrical interconnect elements extends through the second opening and extends outside the second sealing ring; and the subset of the electrical interconnect elements has a segmented end. In some embodiments, the semiconductor device further includes a circuit formed on the substrate, wherein in a top view, the circuit is located outside the second sealing ring; and the subset of the electrical interconnect elements electrically couples the circuit to the die array. In some embodiments, the die array includes M rows extending in a first direction and N columns extending in a second direction, and M and N are integers greater than 2. In some embodiments, a first group of electrical interconnect elements extends in the first direction to electrically interconnect the die in each row; and a second group of electrical interconnect elements extends in the second direction to electrically interconnect the die in each column. In some embodiments, the device is a wafer-level structure; and the wafer-level structure has no other die except the die array. In some embodiments, the device is a wafer-level structure including a plurality of dies outside a second sealing ring; and the dies surrounded by the second sealing ring account for more than 50% of all dies formed on the wafer-level structure. In some embodiments, in a top view, each of the dies outside the second sealing ring is surrounded by at most one sealing ring. In some embodiments, the dies in the die array have the same integrated circuit layout.

本揭露實施例提供一種晶圓級結構,包括複數個積體電路晶粒,形成在一基板上方,其中積體電路晶粒具有實質上相同的積體電路佈局;複數個第一密封環,在俯視圖中各自圍繞積體電路晶粒的對應一者,其中第一密封環的每一者包括複數個第一開口;複數個第一結構,設置在第一密封環之外的相鄰的積體電路晶粒之間,其中第一結構包括測試結構、虛置結構、製程監測圖案、對準標記、或覆蓋標記;複數個電性互連元件,延伸穿過第一開口以電性互連積體電路晶粒以形成一多晶粒積體電路,多晶粒積體電路包括第一結構;一第二密封環,在俯視圖中環繞多晶粒積體電路,其中第一密封環一起被第二密封環所環繞,且第一密封環以及第二密封環的每一者包括複數個金屬線以及垂直地設置在金屬線之間的複數個通孔;以及一或多個第二結構,形成在基板的複數個區域中,區域在俯視圖中位在第二密封環之外,其中一或多個第二結構在俯視圖中未被多於一個密封環環繞。The disclosed embodiment provides a wafer-level structure, including a plurality of integrated circuit dies formed on a substrate, wherein the integrated circuit dies have substantially the same integrated circuit layout; a plurality of first sealing rings, each surrounding a corresponding one of the integrated circuit dies in a top view, wherein each of the first sealing rings includes a plurality of first openings; a plurality of first structures, disposed between adjacent integrated circuit dies outside the first sealing rings, wherein the first structures include test structures, dummy structures, process monitoring patterns, alignment marks, or cover marks; a plurality of electrical interconnect elements, extending through the first sealing rings; An opening is used to electrically interconnect integrated circuit grains to form a multi-grain integrated circuit, the multi-grain integrated circuit including a first structure; a second sealing ring, which surrounds the multi-grain integrated circuit in a top view, wherein the first sealing ring is surrounded by the second sealing ring together, and each of the first sealing ring and the second sealing ring includes a plurality of metal wires and a plurality of through holes vertically arranged between the metal wires; and one or more second structures are formed in a plurality of regions of the substrate, the regions are located outside the second sealing ring in a top view, wherein the one or more second structures are not surrounded by more than one sealing ring in a top view.

在一些實施例中,一或多個第二結構包括複數個額外的積體電路晶粒;第二密封環包括一或多個第二開口;以及額外的積體電路晶粒藉由電性互連元件的一子集合電性耦接到多晶粒積體電路,電性互連元件的子集合也延伸穿過一或多個第二開口。在一些實施例中,如請求項15之晶圓級結構,其中多晶粒積體電路的積體電路晶粒佔晶圓級結構上的所有積體電路晶粒的至少50%。In some embodiments, the one or more second structures include a plurality of additional IC dies; the second seal ring includes one or more second openings; and the additional IC dies are electrically coupled to the multi-die IC via a subset of electrical interconnects, the subset of electrical interconnects also extending through the one or more second openings. In some embodiments, the wafer-level structure of claim 15, wherein the IC dies of the multi-die IC account for at least 50% of all IC dies on the wafer-level structure.

本揭露實施例提供一種半導體裝置形成方法,包括進行多道微影製程,以在一基板上形成複數個第一積體電路晶粒,其中第一積體電路晶粒彼此實質上相同,且排列成具有複數行以及複數列的一陣列;形成複數個第一密封環,以在俯視圖中環繞第一積體電路晶粒的每一者,其中第一密封環的每一者之中具有複數個間距;形成複數組導電元件,延伸穿過第一密封環的間距,以將第一積體電路晶粒彼此電性互連以形成一多晶粒結構,其中每一組導電元件中電性耦接到第一積體電路晶粒的相鄰兩者;形成一第二密封環,在俯視圖中環繞第一積體電路晶粒、第一密封環、以及導電元件;在多晶粒結構的複數個區域中形成一或多個測試結構、一或多個虛置結構、一或多個製程監測圖案、一或多個對準標記、或一或多個覆蓋標記,區域位在第二密封環中但位在第一密封環之外;以及沿著第二密封環之外的複數個切割道進行分割製程,其中第二密封環之中的區域未被分割。The disclosed embodiment provides a method for forming a semiconductor device, comprising performing a multi-step lithography process to form a plurality of first integrated circuit dies on a substrate, wherein the first integrated circuit dies are substantially identical to each other and are arranged in an array having a plurality of rows and a plurality of columns; forming a plurality of first sealing rings to surround each of the first integrated circuit dies in a top view, wherein each of the first sealing rings has a plurality of spacings therein; forming a plurality of sets of conductive elements extending through the spacings of the first sealing rings to electrically interconnect the first integrated circuit dies to form a multi-die structure, wherein the plurality of conductive elements are electrically connected to each other through the first sealing rings to form a multi-die structure; The method comprises forming a second sealing ring which surrounds the first integrated circuit die, the first sealing ring, and the conductive elements in a top view; forming one or more test structures, one or more dummy structures, one or more process monitoring patterns, one or more alignment marks, or one or more cover marks in a plurality of regions of the multi-die structure, the regions being located in the second sealing ring but outside the first sealing ring; and performing a segmentation process along a plurality of scribe lines outside the second sealing ring, wherein the regions in the second sealing ring are not segmented.

在一些實施例中,形成第一密封環包括形成複數個金屬線以及設置在金屬線之間的複數個通孔的複數個垂直堆疊。在一些實施例中,導電元件的每一者具有一第一長度,且每一組導電元件藉由以下方式所形成:進行一第一曝光製程,以定義組導電元件中的每一者的一第一段部,第一段部具有一第二長度,第二長度大於第一長度的50%;以及進行一第二曝光製程,以定義組導電元件中的每一者的一第二段部,第二段部具有一第三長度,第三長度大於第一長度的50%,且一部分的第一段部以及第二段部彼此重疊並合併。在一些實施例中,半導體裝置形成方法更包括在第二密封環之外形成複數個第二積體電路晶粒,其中第一積體電路晶粒的數量佔第一積體電路晶粒以及第二積體電路晶粒的總數的至少50%。In some embodiments, forming the first sealing ring includes forming a plurality of vertical stacks of a plurality of metal lines and a plurality of vias disposed between the metal lines. In some embodiments, each of the conductive elements has a first length, and each group of conductive elements is formed by: performing a first exposure process to define a first segment of each of the group of conductive elements, the first segment having a second length, the second length being greater than 50% of the first length; and performing a second exposure process to define a second segment of each of the group of conductive elements, the second segment having a third length, the third length being greater than 50% of the first length, and a portion of the first segment and the second segment overlap and merge with each other. In some embodiments, the semiconductor device forming method further includes forming a plurality of second integrated circuit dies outside the second sealing ring, wherein the number of the first integrated circuit dies accounts for at least 50% of the total number of the first integrated circuit dies and the second integrated circuit dies.

上述內容概述許多實施例的特徵,因此任何所屬技術領域中具有通常知識者,可更加理解本揭露之各面向。任何所屬技術領域中具有通常知識者,可能無困難地以本揭露為基礎,設計或修改其他製程及結構,以達到與本揭露實施例相同的目的及/或得到相同的優點。任何所屬技術領域中具有通常知識者也應了解,在不脫離本揭露之精神和範圍內做不同改變、代替及修改,如此等效的創造並沒有超出本揭露的精神及範圍。The above content summarizes the features of many embodiments, so that anyone with ordinary knowledge in the art can better understand the various aspects of the present disclosure. Anyone with ordinary knowledge in the art may have no difficulty in designing or modifying other processes and structures based on the present disclosure to achieve the same purpose and/or obtain the same advantages as the embodiments of the present disclosure. Anyone with ordinary knowledge in the art should also understand that making different changes, substitutions and modifications without departing from the spirit and scope of the present disclosure does not exceed the spirit and scope of the present disclosure.

90:IC裝置 110:基板 120:主動區/鰭片結構/鰭片 122:源極/汲極特徵 130:隔離結構 140:閘極結構 150:GAA裝置 155:遮罩 160:閘極間隔物 165:覆蓋層 170:奈米結構 175:介電內間隔物 180:源極/汲極接點 185:層間介電質 200:晶圓級結構 205:晶圓 210,211,220,221,222,223,224,225,226,227,228,229,620, 621,622,623:IC晶粒 240:切割道 250,250A,250B,250C,251:互連IC晶粒 270,280:密封環 290:半導體裝置 300:多層內連線結構 310:金屬線 320:通孔 330:層間介電質 340:導電墊 350:間隙區域 370,370A,370B,370C,370D,371,372,373,374,375,376:導電元件 400,420:寬度 410,430,710:間距 450:虛置結構 460:測試結構 470:圖案 500,800:方法 510,520,530, 720,730,810,820,830,840:步驟 600:晶圓 610:多晶粒結構 640,650:開口 670,671,672,673:切割道 680:結構 700:轉角區域 715:尺寸 740:區域 750:長度 760:初始長度 900:製造系統 902,904,906,908,910,912,914,916,918:實體 A 11-A nn:IC晶粒 C1-Cn, X1-Xn:列 R1-Rm,Y1-Yn:行 R 11-R mn:IC晶粒陣列 90: IC device 110: substrate 120: active area/fin structure/fin 122: source/drain features 130: isolation structure 140: gate structure 150: GAA device 155: mask 160: gate spacer 165: cap layer 170: nanostructure 175: dielectric interspacer 180: source/drain contact 185: interlayer dielectric 200: wafer level structure 205: wafer 210,211,220,221,222,223,224,225,226,227,228,229,620, 621,622,623: IC die 240: sawing line 250,250A,250B,250C,251: interconnect IC die 270,280: sealing ring 290: semiconductor device 300: multi-layer interconnect structure 310: metal line 320: through hole 330: interlayer dielectric 340: conductive pad 350: gap region 370,370A,370B,370C,370D,371,372,373,374,375,376: conductive element 400,420: width 410,430,710: spacing 450: dummy structure 460: test structure 470: pattern 500,800: method 510,520,530, 720,730,810,820,830,840: Step 600: Wafer 610: Multi-die structure 640,650: Opening 670,671,672,673: Slice 680: Structure 700: Corner region 715: Dimension 740: Region 750: Length 760: Initial length 900: Manufacturing system 902,904,906,908,910,912,914,916,918: Entity A 11 -A nn : IC die C1-Cn, X1-Xn: Rows R1-Rm, Y1-Yn: Rows R 11 -R mn : IC die array

以下將配合所附圖式詳述本揭露之實施例。應注意的是,依據在業界的標準做法,多種特徵並未按照比例繪示且僅用以說明例示。事實上,可能任意地放大或縮小元件的尺寸,以清楚地表現出本揭露的特徵。 第1A圖是根據本揭露各個方面的FinFET形式的IC裝置的透視圖。 第1B圖是根據本揭露的各個方面的FinFET形式的IC裝置的平面俯視圖。 第1C圖是根據本揭露各個方面的GAA裝置形式的IC裝置的透視圖。 第2圖示出了根據本揭露各個方面的晶圓級結構的俯視圖。 第3圖至第4圖是根據本揭露的各個方面的IC裝置的側視剖面圖。 第5圖至第11圖示出了根據本揭露各個方面的晶圓級結構的俯視圖。 第12圖示出了根據本揭露的各個方面的處於不同製造階段的IC晶粒的俯視圖。 第13圖至第16圖示了根據本揭露的各個方面的晶圓級結構及其部分的俯視圖。 第17圖至第18圖各自圖示了根據本揭露的各個方面的方法的流程圖。 第19圖是根據本揭露的各個方面的製造系統的方塊圖。 The following will be described in detail with the accompanying drawings. It should be noted that, in accordance with standard practice in the industry, various features are not drawn to scale and are only used for illustration. In fact, the size of the components may be arbitrarily enlarged or reduced to clearly show the features of the present disclosure. FIG. 1A is a perspective view of a FinFET-type IC device according to various aspects of the present disclosure. FIG. 1B is a plan view of a FinFET-type IC device according to various aspects of the present disclosure. FIG. 1C is a perspective view of a GAA device-type IC device according to various aspects of the present disclosure. FIG. 2 shows a top view of a wafer-level structure according to various aspects of the present disclosure. FIG. 3 to FIG. 4 are side cross-sectional views of an IC device according to various aspects of the present disclosure. FIG. 5 to FIG. 11 show top views of wafer-level structures according to various aspects of the present disclosure. FIG. 12 illustrates a top view of an IC die at different stages of fabrication according to various aspects of the present disclosure. FIGs. 13 to 16 illustrate top views of wafer-level structures and portions thereof according to various aspects of the present disclosure. FIGs. 17 to 18 each illustrate a flow chart of a method according to various aspects of the present disclosure. FIG. 19 is a block diagram of a fabrication system according to various aspects of the present disclosure.

without

200:晶圓級結構 200: Wafer-level structure

205:晶圓 205: Wafer

210,211,220,221:IC晶粒 210,211,220,221: IC chips

240:切割道 240: Cutting Road

250:互連IC晶粒 250: Interconnected IC chips

270,280:密封環 270,280: Sealing ring

310:金屬線 310:Metal wire

350:間隙區域 350: Gap area

370:導電元件 370: Conductive element

400,420:寬度 400,420:Width

410,430:間距 410,430: Spacing

Claims (20)

一種半導體裝置,包括: 複數個晶粒的一晶粒陣列,形成在一基板上,其中該晶粒陣列中的該等晶粒中的每一者包括複數個功能性電晶體; 複數個第一密封環,在俯視圖中各自環繞該等晶粒中的對應一者,其中該等第一密封環定義複數個角落區域,設置在該等第一密封環外以及在該等晶粒的對應子集合的角落之間; 複數個結構,設置在該等角落區域中,其中該等結構並非任何功能性電晶體的一部份,且該等結構包括測試結構、虛置結構、製程監測圖案、對準標記、或覆蓋標記; 複數個電性互連元件,在俯視圖中設置在該晶粒陣列中的該等晶粒中的相鄰一對晶粒之間,其中該等電性互連元件使該晶粒陣列中的該等晶粒彼此電性連接;以及 一第二密封環,在俯視圖中環繞該晶粒陣列、該等第一密封環、以及該等結構。 A semiconductor device, comprising: A die array of a plurality of dies, formed on a substrate, wherein each of the dies in the die array comprises a plurality of functional transistors; A plurality of first sealing rings, each surrounding a corresponding one of the dies in a top view, wherein the first sealing rings define a plurality of corner regions, disposed outside the first sealing rings and between corners of corresponding subsets of the dies; A plurality of structures, disposed in the corner regions, wherein the structures are not part of any functional transistor, and the structures comprise test structures, dummy structures, process monitoring patterns, alignment marks, or cover marks; A plurality of electrical interconnect elements, disposed between a pair of adjacent die in the die array in a top view, wherein the electrical interconnect elements electrically connect the die in the die array to each other; and a second sealing ring, surrounding the die array, the first sealing rings, and the structures in a top view. 如請求項1之半導體裝置,其中在該等角落區域不具有任何功能性電晶體。A semiconductor device as claimed in claim 1, wherein there are no functional transistors in the corner regions. 如請求項1之半導體裝置,其中: 該等第一密封環的每一者包括複數個第一開口;以及 該等電性互連元件延伸穿過該等第一開口以電性連接該晶粒陣列中的該等晶粒。 A semiconductor device as claimed in claim 1, wherein: Each of the first sealing rings includes a plurality of first openings; and The electrical interconnect elements extend through the first openings to electrically connect the dies in the die array. 如請求項3之半導體裝置,其中: 該第二密封環包括一第二開口; 該等第一密封環以及該第二密封環的每一者包括對應的複數個金屬線以及複數個通孔的一垂直堆疊,該等通孔垂直地設置在該金屬線之間; 該等第一密封環以及該第二密封環的每一者包括對應的一組導電墊,設置在該等金屬線以及該等通孔的該垂直堆疊上方;以及 該等第一開口以及該等第二開口對應於該等金屬線中的一者的開口或該等導電墊中的一者的開口。 A semiconductor device as claimed in claim 3, wherein: the second sealing ring includes a second opening; each of the first sealing rings and the second sealing ring includes a corresponding plurality of metal wires and a vertical stack of a plurality of through holes, the through holes being vertically disposed between the metal wires; each of the first sealing rings and the second sealing ring includes a corresponding set of conductive pads disposed above the vertical stack of the metal wires and the through holes; and the first openings and the second openings correspond to an opening of one of the metal wires or an opening of one of the conductive pads. 如請求項3之半導體裝置,其中該第二密封環包括一第二開口,且該等電性互連元件的一子集合延伸穿過該第二開口以及該等第一開口的對應一者。A semiconductor device as claimed in claim 3, wherein the second sealing ring includes a second opening, and a subset of the electrical interconnect elements extend through the second opening and a corresponding one of the first openings. 如請求項5之半導體裝置,其中: 該等電性互連元件的該子集合延伸穿過該第二開口並延伸到該第二密封環之外;以及 該等電性互連元件的該子集合具有被分割的端部。 A semiconductor device as claimed in claim 5, wherein: the subset of the electrically interconnected elements extends through the second opening and extends outside the second sealing ring; and the subset of the electrically interconnected elements has segmented ends. 如請求項5之半導體裝置,更包括一電路,形成在該基板上,其中: 在俯視圖中,該電路位在該第二密封環之外;以及 該等電性互連元件的該子集合將該電路電性耦接到該晶粒陣列。 The semiconductor device of claim 5 further includes a circuit formed on the substrate, wherein: In the top view, the circuit is located outside the second sealing ring; and The subset of the electrical interconnect elements electrically couples the circuit to the die array. 如請求項1之半導體裝置,其中該晶粒陣列包括在一第一方向延伸的M行以及在一第二方向延伸的N列,且M和N為大於2的整數。A semiconductor device as claimed in claim 1, wherein the die array includes M rows extending in a first direction and N columns extending in a second direction, and M and N are integers greater than 2. 如請求項8之半導體裝置,其中: 該等電性互連元件的一第一族群在該第一方向延伸,以將每一行中的該等晶粒電性互連在一起;以及 該等電性互連元件的一第二族群在該第二方向延伸,以將每一列中的該等晶粒電性互連在一起。 A semiconductor device as claimed in claim 8, wherein: a first group of the electrical interconnect elements extend in the first direction to electrically interconnect the grains in each row; and a second group of the electrical interconnect elements extend in the second direction to electrically interconnect the grains in each column. 如請求項1之半導體裝置,其中: 該裝置是一晶圓級結構;以及 該晶圓級結構除了該晶粒陣列之外,不具有其他晶粒。 A semiconductor device as claimed in claim 1, wherein: the device is a wafer-level structure; and the wafer-level structure has no other dies besides the die array. 如請求項1之半導體裝置,其中: 該裝置是一晶圓級結構,包括位在該第二密封環之外的複數個晶粒;以及 該第二密封環所環繞的該等晶粒佔該晶圓級結構上形成的所有晶粒的50%以上。 A semiconductor device as claimed in claim 1, wherein: the device is a wafer-level structure including a plurality of dies located outside the second sealing ring; and the dies surrounded by the second sealing ring account for more than 50% of all dies formed on the wafer-level structure. 如請求項11之半導體裝置,其中在俯視圖中,位在該第二密封環之外的該等晶粒中的每一者被最多一層密封環所圍繞。A semiconductor device as claimed in claim 11, wherein in a top view, each of the die outside the second sealing ring is surrounded by at most one sealing ring. 如請求項1之半導體裝置,其中該晶粒陣列中的該等晶粒具有相同的積體電路佈局。A semiconductor device as claimed in claim 1, wherein the dies in the die array have the same integrated circuit layout. 一種晶圓級結構,包括: 複數個積體電路晶粒,形成在一基板上方,其中該等積體電路晶粒具有實質上相同的積體電路佈局; 複數個第一密封環,在俯視圖中各自圍繞該等積體電路晶粒的對應一者,其中該等第一密封環的每一者包括複數個第一開口; 複數個第一結構,設置在該第一密封環之外的相鄰的該等積體電路晶粒之間,其中該第一結構包括測試結構、虛置結構、製程監測圖案、對準標記、或覆蓋標記; 複數個電性互連元件,延伸穿過該等第一開口以電性互連該等積體電路晶粒以形成一多晶粒積體電路,該多晶粒積體電路包括該等第一結構; 一第二密封環,在俯視圖中環繞該多晶粒積體電路,其中該等第一密封環一起被該第二密封環所環繞,且該等第一密封環以及該第二密封環的每一者包括複數個金屬線以及垂直地設置在該等金屬線之間的複數個通孔;以及 一或多個第二結構,形成在該基板的複數個區域中,該等區域在俯視圖中位在該第二密封環之外,其中該一或多個第二結構在俯視圖中未被多於一個密封環環繞。 A wafer-level structure, comprising: A plurality of integrated circuit dies, formed on a substrate, wherein the integrated circuit dies have substantially the same integrated circuit layout; A plurality of first sealing rings, each surrounding a corresponding one of the integrated circuit dies in a top view, wherein each of the first sealing rings comprises a plurality of first openings; A plurality of first structures, disposed between adjacent integrated circuit dies outside the first sealing rings, wherein the first structures comprise test structures, dummy structures, process monitoring patterns, alignment marks, or cover marks; A plurality of electrical interconnect elements extending through the first openings to electrically interconnect the integrated circuit dies to form a multi-die integrated circuit, the multi-die integrated circuit comprising the first structures; a second sealing ring surrounding the multi-die integrated circuit in a top view, wherein the first sealing rings are surrounded by the second sealing ring together, and each of the first sealing rings and the second sealing ring comprises a plurality of metal wires and a plurality of through holes vertically disposed between the metal wires; and one or more second structures formed in a plurality of regions of the substrate, the regions being outside the second sealing ring in a top view, wherein the one or more second structures are not surrounded by more than one sealing ring in a top view. 如請求項14之晶圓級結構,其中: 該一或多個第二結構包括複數個額外的積體電路晶粒; 該第二密封環包括一或多個第二開口;以及 該等額外的積體電路晶粒藉由該等電性互連元件的一子集合電性耦接到該多晶粒積體電路,該等電性互連元件的該子集合也延伸穿過該一或多個第二開口。 The wafer-level structure of claim 14, wherein: the one or more second structures include a plurality of additional integrated circuit dies; the second seal ring includes one or more second openings; and the additional integrated circuit dies are electrically coupled to the multi-die integrated circuit via a subset of the electrical interconnect elements, the subset of the electrical interconnect elements also extending through the one or more second openings. 如請求項15之晶圓級結構,其中該多晶粒積體電路的該等積體電路晶粒佔該晶圓級結構上的所有積體電路晶粒的至少50%。The wafer-level structure of claim 15, wherein the integrated circuit dies of the multi-die integrated circuit account for at least 50% of all integrated circuit dies on the wafer-level structure. 一種半導體裝置形成方法,包括: 進行多道微影製程,以在一基板上形成複數個第一積體電路晶粒,其中該等第一積體電路晶粒彼此實質上相同,且排列成具有複數行以及複數列的一陣列; 形成複數個第一密封環,以在俯視圖中環繞該等第一積體電路晶粒的每一者,其中該等第一密封環的每一者之中具有複數個間距; 形成複數組導電元件,延伸穿過該等第一密封環的該等間距,以將該等第一積體電路晶粒彼此電性互連以形成一多晶粒結構,其中每一組該等導電元件中電性耦接到該等第一積體電路晶粒的相鄰兩者; 形成一第二密封環,在俯視圖中環繞該等第一積體電路晶粒、該等第一密封環、以及該等導電元件; 在該多晶粒結構的複數個區域中形成一或多個測試結構、一或多個虛置結構、一或多個製程監測圖案、一或多個對準標記、或一或多個覆蓋標記,該等區域位在該第二密封環中但位在該等第一密封環之外;以及 沿著該第二密封環之外的複數個切割道進行分割製程,其中該第二密封環之中的區域未被分割。 A method for forming a semiconductor device, comprising: Performing a multi-pass lithography process to form a plurality of first integrated circuit grains on a substrate, wherein the first integrated circuit grains are substantially identical to each other and are arranged in an array having a plurality of rows and a plurality of columns; Forming a plurality of first sealing rings to surround each of the first integrated circuit grains in a top view, wherein each of the first sealing rings has a plurality of spacings; Forming a plurality of sets of conductive elements extending through the spacings of the first sealing rings to electrically interconnect the first integrated circuit grains to form a multi-grain structure, wherein each set of the conductive elements is electrically coupled to two adjacent first integrated circuit grains; Forming a second sealing ring, which surrounds the first integrated circuit dies, the first sealing rings, and the conductive elements in a top view; Forming one or more test structures, one or more dummy structures, one or more process monitoring patterns, one or more alignment marks, or one or more cover marks in a plurality of regions of the multi-die structure, the regions being located in the second sealing ring but outside the first sealing rings; and Performing a segmentation process along a plurality of cutting paths outside the second sealing ring, wherein the region within the second sealing ring is not segmented. 如請求項17之半導體裝置形成方法,其中形成該等第一密封環包括形成複數個金屬線以及設置在該等金屬線之間的複數個通孔的複數個垂直堆疊。A method for forming a semiconductor device as claimed in claim 17, wherein forming the first sealing rings includes forming a plurality of vertical stacks of a plurality of metal wires and a plurality of through holes disposed between the metal wires. 如請求項17之半導體裝置形成方法,其中該等導電元件的每一者具有一第一長度,且每一組該等導電元件藉由以下方式所形成: 進行一第一曝光製程,以定義該組該等導電元件中的每一者的一第一段部,該第一段部具有一第二長度,該第二長度大於該第一長度的50%;以及 進行一第二曝光製程,以定義該組該等導電元件中的每一者的一第二段部,該第二段部具有一第三長度,該第三長度大於該第一長度的50%,且一部分的該等第一段部以及該等第二段部彼此重疊並合併。 A method for forming a semiconductor device as claimed in claim 17, wherein each of the conductive elements has a first length, and each group of the conductive elements is formed by: Performing a first exposure process to define a first segment of each of the conductive elements in the group, the first segment having a second length, the second length being greater than 50% of the first length; and Performing a second exposure process to define a second segment of each of the conductive elements in the group, the second segment having a third length, the third length being greater than 50% of the first length, and a portion of the first segments and the second segments overlap and merge with each other. 如請求項17之半導體裝置形成方法,更包括在該第二密封環之外形成複數個第二積體電路晶粒,其中該等第一積體電路晶粒的數量佔該等第一積體電路晶粒以及該等第二積體電路晶粒的總數的至少50%。The semiconductor device forming method of claim 17 further includes forming a plurality of second integrated circuit grains outside the second sealing ring, wherein the number of the first integrated circuit grains accounts for at least 50% of the total number of the first integrated circuit grains and the second integrated circuit grains.
TW112115766A 2022-06-14 2023-04-27 Semiconductor device,wafer-level structure and forming method thereof TW202414774A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US17/839,946 2022-06-14

Publications (1)

Publication Number Publication Date
TW202414774A true TW202414774A (en) 2024-04-01

Family

ID=

Similar Documents

Publication Publication Date Title
KR102218929B1 (en) Configuring different via sizes for bridging risk reduction and performance improvement
US11469238B2 (en) Non-interleaving N-well and P-well pickup region design for IC devices
KR102253277B1 (en) Transistor layout and sizing for high speed applications
US20230361124A1 (en) Isolation structure for preventing unintentional merging of epitaxially grown source/drain
TW202414774A (en) Semiconductor device,wafer-level structure and forming method thereof
CN220569676U (en) Wafer level structure and semiconductor device
US20230402406A1 (en) Wafer Level Multi-Die Structure Formation
TW202414768A (en) Wafer-level structure, semiconductor device and method of manufacturing the same
CN114792657A (en) Semiconductor device with a plurality of semiconductor chips
CN221057430U (en) Semiconductor structure
US20240055433A1 (en) Semiconductor structure with backside power mesh and method of forming the same
US11682450B2 (en) SRAM performance optimization via transistor width and threshold voltage tuning
US20230056694A1 (en) Revising IC Layout Design to Eliminate Gaps Between Isolation Structures
US20240120257A1 (en) Layer-By-Layer Formation Of Through-Substrate Via
US20240055354A1 (en) Bridge Die Having Different Surface Orientation Than Ic Dies Interconnected By The Bridge Die
US20230395598A1 (en) Metal Gate Electrode Formation Of Memory Devices
CN220914236U (en) Semiconductor device with a semiconductor device having a plurality of semiconductor chips
US20240038587A1 (en) Forming Openings Through Carrier Substrate of IC Package Assembly for Fault Identification
US20230345786A1 (en) Defect Reduction Through Scheme Of Conductive Pad Layer And Capping Layer
TW202243245A (en) Semiconductor device
CN116581104A (en) Semiconductor device and method of forming the same
TW202416385A (en) Semiconductor device and fabricating method thereof
TW202416490A (en) Semiconductor device and method of forming the same
TW202416446A (en) Semiconductor devic and method for manufacturing the same