TW202407302A - Optical sensor system, method, and optical sensing array for in-situ optical chamber surface and process sensor - Google Patents

Optical sensor system, method, and optical sensing array for in-situ optical chamber surface and process sensor Download PDF

Info

Publication number
TW202407302A
TW202407302A TW112137336A TW112137336A TW202407302A TW 202407302 A TW202407302 A TW 202407302A TW 112137336 A TW112137336 A TW 112137336A TW 112137336 A TW112137336 A TW 112137336A TW 202407302 A TW202407302 A TW 202407302A
Authority
TW
Taiwan
Prior art keywords
optical
chamber
reflector
housing
optical sensor
Prior art date
Application number
TW112137336A
Other languages
Chinese (zh)
Inventor
莊嘉 林
烏彭德拉 烏梅薩拉
史蒂芬E 巴巴揚
磊 連
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202407302A publication Critical patent/TW202407302A/en

Links

Abstract

Embodiments disclosed herein include optical sensor systems and methods of using such systems. In an embodiment, the optical sensor system comprises a housing and an optical path through the housing. In an embodiment, the optical path comprises a first end and a second end. In an embodiment a reflector is at the first end of the optical path, and a lens is between the reflector and the second end of the optical path. In an embodiment, the optical sensor further comprises an opening through the housing between the lens and the reflector.

Description

用於原位光學腔室表面及處理感應器的光學感應器系統、方法及光學感應陣列Optical sensor systems, methods and optical sensing arrays for in situ optical chamber surface and processing sensors

實施例相關於半導體製造領域,且特定相關於用於提供用於監視腔室表面條件和腔室處理參數的原位光學感應器的系統和方法。Embodiments are related to the field of semiconductor manufacturing, and particularly to systems and methods for providing in-situ optical sensors for monitoring chamber surface conditions and chamber processing parameters.

腔室表面的改變影響了各種處理參數。例如,腔室壁上的蝕刻副產物的再沉積可變更給定處理的蝕刻率。據此,當在腔室中處理基板時,蝕刻率(或其他處理參數)可改變並導致基板之間的非均勻處理。Changes to the chamber surface affect various processing parameters. For example, redeposition of etch byproducts on chamber walls can alter the etch rate for a given process. Accordingly, when substrates are processed in a chamber, etch rates (or other processing parameters) can change and cause non-uniform processing between substrates.

為了解決處理條件的改變,已經在處理腔室中實行了光發射光譜法(OES)。OES涉及監視腔室中的電漿的發射光譜。沿著腔室壁放置窗口,且發射光譜可沿著穿過該窗口的光學路徑通過而至腔室外部的感應器。隨著電漿光譜的改變,可推斷出處理操作的定性分析。特定地,OES對於決定何時滿足處理操作的終點是有用的。為了提供最佳的測量,該窗口被設計為防止沿著光學路徑徑發生沉積。此外,儘管進行端點分析是可能的,目前尚沒有使用現有OES系統以用於實行定量分析的處理。To account for changes in processing conditions, optical emission spectroscopy (OES) has been implemented in the processing chamber. OES involves monitoring the emission spectrum of the plasma in a chamber. A window is placed along the chamber wall and the emission spectrum can be passed along an optical path through the window to a sensor outside the chamber. As the plasma spectrum changes, a qualitative analysis of the processing operation can be inferred. In particular, OES is useful for deciding when the endpoint of a processing operation has been met. To provide optimal measurements, the window is designed to prevent deposition along the optical path. Furthermore, although endpoint analysis is possible, there is currently no process for performing quantitative analysis using existing OES systems.

本文揭露的實施例包含光學感應器系統及使用該等系統的方法。在一實施例中,光學感應器系統包括:一外殼及一光學路徑,該光學路徑穿過該外殼。在一實施例中,該光學路徑包括一第一端及一第二端。在一實施例中,一反射器位於該光學路徑的該第一端處,且一透鏡位於該反射器及該光學路徑的該第二端之間。在一實施例中,光學感應器進一步包括一開口,該開口在該透鏡及該反射器之間穿過該外殼。Embodiments disclosed herein include optical sensor systems and methods of using such systems. In one embodiment, an optical sensor system includes a housing and an optical path passing through the housing. In one embodiment, the optical path includes a first end and a second end. In one embodiment, a reflector is located at the first end of the optical path, and a lens is located between the reflector and the second end of the optical path. In one embodiment, the optical sensor further includes an opening through the housing between the lens and the reflector.

在一實施例中,用於使用光學感應器在一處理腔室中測量一處理條件或一腔室條件的方法包括以下步驟:獲取一參考信號。在一實施例中,獲取該參考信號之步驟包括以下步驟:從該腔室外部的一源發射電磁輻射,其中沿著該源及該腔室中的一反射器之間的一光學路徑傳播該電磁輻射;使用該反射器將該電磁輻射反射沿著該光學路徑返回;及使用一感應器感應經反射的該電磁輻射,該感應器光學地耦合至該光學路徑。在一實施例中,該方法進一步包括以下步驟:獲取一處理信號,其中獲取該處理信號之步驟包括以下步驟:使用該感應器感應沿著該光學路徑前進的在該處理腔室中發射的電磁輻射。在一實施例中,該方法進一步包括以下步驟:比較該處理信號與該參考信號。In one embodiment, a method for measuring a processing condition or a chamber condition in a processing chamber using an optical sensor includes the following steps: acquiring a reference signal. In one embodiment, obtaining the reference signal includes emitting electromagnetic radiation from a source external to the chamber, wherein the electromagnetic radiation is propagated along an optical path between the source and a reflector in the chamber. electromagnetic radiation; using the reflector to reflect the electromagnetic radiation back along the optical path; and using an inductor to sense the reflected electromagnetic radiation, the inductor being optically coupled to the optical path. In one embodiment, the method further includes the step of obtaining a processing signal, wherein the step of obtaining the processing signal includes the step of using the sensor to sense electromagnetic waves emitted in the processing chamber traveling along the optical path. radiation. In one embodiment, the method further includes the step of comparing the processed signal with the reference signal.

在一實施例中,用於一電漿處理腔室的光學感應陣列包括:複數個光學感應系統,該複數個光學感應系統繞著該處理腔室的一周邊來定向。在一實施例中,該複數個光學感應系統之每一者包括:一外殼;一光學路徑,該光學路徑穿過該外殼,其中該光學路徑包括一第一端及一第二端;一反射器,該反射器位於該光學路徑的該第一端處;一透鏡,該透鏡位於該反射器及該光學路徑的該第二端之間;及一開口,該開口在該透鏡及該反射器之間穿過該外殼。In one embodiment, an optical sensing array for a plasma processing chamber includes a plurality of optical sensing systems oriented around a perimeter of the processing chamber. In one embodiment, each of the plurality of optical sensing systems includes: a housing; an optical path passing through the housing, wherein the optical path includes a first end and a second end; a reflection a reflector located at the first end of the optical path; a lens located between the reflector and the second end of the optical path; and an opening between the lens and the reflector through the shell.

本文所述的系統和方法包含光學感應器以用於原位監視腔室條件及/或腔室中的處理條件。在以下描述中,闡述了許多特定細節以便提供對實施例的透徹理解。對於發明所屬領域具有通常知識者而言將顯而易見的是,可在沒有這些特定細節的情況下實踐實施例。在其他實例中,未詳細描述眾所周知的態樣,以免不必要地混淆實施例。此外,應理解,附圖中展示的各種實施例是圖示性表示,且不一定按比例繪製。The systems and methods described herein include optical sensors for in-situ monitoring of chamber conditions and/or process conditions within the chamber. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the embodiments. It will be apparent to one of ordinary skill in the art to which this invention pertains that the embodiments may be practiced without these specific details. In other instances, well-known aspects have not been described in detail so as not to unnecessarily obscure the embodiments. Furthermore, it is to be understood that the various embodiments illustrated in the drawings are diagrammatic representations and are not necessarily drawn to scale.

如上述,當前可用的光發射光譜法(OES)系統可提供定性測量以達到例如終點決定之類的功能,但當前無法提供精確的定量測量。現有OES系統無法直接測量例如蝕刻率之類的處理參數。據此,本文揭露的實施例包含光學感應器系統,包含參考信號和電漿發射光譜都通過的光學路徑。例如,光學路徑始於光源,通過腔室壁,並沿著光學路徑從腔室中的反射器表面反射離開而朝向感應器。由於參考信號和發射光譜沿相同的光學路徑通過,因此無需打開腔室並中斷操作而可使用參考信號以決定可歸因於光學路徑的損耗。這允許了發射光譜的精確和定量的測量。據此,可使用校準的電漿發射光譜以決定處理參數,例如蝕刻率。As mentioned above, currently available optical emission spectrometry (OES) systems can provide qualitative measurements for functions such as endpoint determination, but are currently unable to provide precise quantitative measurements. Existing OES systems cannot directly measure process parameters such as etch rate. Accordingly, embodiments disclosed herein include an optical sensor system including an optical path through which both a reference signal and a plasma emission spectrum pass. For example, the optical path starts from the light source, passes through the chamber wall, and reflects off the reflector surface along the optical path toward the sensor. Since the reference signal and emission spectrum pass along the same optical path, the reference signal can be used to determine the losses attributable to the optical path without opening the chamber and interrupting operation. This allows precise and quantitative measurement of the emission spectrum. From this, calibrated plasma emission spectra can be used to determine process parameters such as etch rate.

此外,儘管當前可用的OES系統被設計為防止沿著光學路徑的沉積,本文揭露的實施例包含暴露於處理環境的反射器表面。在一些實施例中,可選擇反射器表面以實質匹配腔室的內部表面。這樣,在反射器表面上的沉積實質上類似於腔室的內部表面上看到的沉積。反射器表面與源發射的電磁輻射相互作用,因此可使用以決定沉積膜或壁材料轉換的特性。例如,電磁輻射的光譜的部分的吸收可與膜的特定材料成分及/或厚度相關。Additionally, while currently available OES systems are designed to prevent deposition along the optical path, embodiments disclosed herein include reflector surfaces that are exposed to the processing environment. In some embodiments, the reflector surface may be selected to substantially match the interior surface of the chamber. In this way, the deposition on the reflector surface is substantially similar to that seen on the interior surface of the chamber. The reflector surface interacts with the electromagnetic radiation emitted by the source and can therefore be used to determine the properties of the deposited film or wall material conversion. For example, absorption of a portion of the spectrum of electromagnetic radiation may be related to a specific material composition and/or thickness of the film.

據此,本文揭露的實施例允許對處理條件及/或腔室條件進行定量的原位測量。由於本文揭露的實施例提供了定量測量,實施例可允許腔室匹配測量(亦即,比較在不同腔室中實行的單一處理)。在一些實施例中,單一光學感應器可被包含在處理腔室中。其他實施例可包含繞著處理腔室的周邊放置的光學感應器的陣列。該等實施例可允許獲取腔室均勻性資料(例如,電漿均勻性、腔室表面均勻性等)。此外,該等實施例也可提供腔室異常(例如,腔室飄移)的指示。Accordingly, embodiments disclosed herein allow for quantitative in-situ measurements of process conditions and/or chamber conditions. Because the embodiments disclosed herein provide quantitative measurements, embodiments may allow chamber matching measurements (ie, comparison of a single process performed in different chambers). In some embodiments, a single optical sensor may be included in the processing chamber. Other embodiments may include arrays of optical sensors placed around the perimeter of the processing chamber. These embodiments may allow the acquisition of chamber uniformity information (eg, plasma uniformity, chamber surface uniformity, etc.). Additionally, these embodiments may also provide indications of chamber anomalies (eg, chamber drift).

現在參考圖1,展示了根據一實施例的處理工具100的橫截面圖。在一實施例中,處理工具100包括腔室105。例如,腔室105可適於低壓處理操作。在一個實施例中,處理操作可包含在腔室105中產生電漿107。在一實施例中,基板支撐件108位於腔室105中。基板支撐件108可為卡盤(例如,靜電卡盤、真空卡盤等)或任何其他合適的支撐物,在處理期間可在其上放置一個或更多個基板。Referring now to FIG. 1 , a cross-sectional view of a processing tool 100 is shown according to an embodiment. In one embodiment, processing tool 100 includes chamber 105 . For example, chamber 105 may be suitable for low pressure processing operations. In one embodiment, processing operations may include generating plasma 107 in chamber 105 . In one embodiment, substrate support 108 is located in chamber 105 . Substrate support 108 may be a chuck (eg, electrostatic chuck, vacuum chuck, etc.) or any other suitable support upon which one or more substrates may be placed during processing.

在一實施例中,處理工具100可包括原位光學感應器120。原位光學感應器120通過腔室105的表面,使得光學感應器120的第一部分在腔室105的內部,且光學感應器的第二部分在腔室105的外部。在一實施例中,光學感應器120被圖示為通過腔室105的側壁。然而,應理解,可放置光學感應器120以穿過腔室105的任何表面。In one embodiment, the processing tool 100 may include an in-situ optical sensor 120 . The in-situ optical sensor 120 passes through the surface of the chamber 105 such that a first portion of the optical sensor 120 is inside the chamber 105 and a second portion of the optical sensor is outside the chamber 105 . In one embodiment, optical sensor 120 is illustrated through the side wall of chamber 105 . However, it should be understood that the optical sensor 120 may be positioned to pass through any surface of the chamber 105 .

在所圖示的實施例中,展示了單一光學感應器120。然而,應理解,實施例不限於該等配置,且處理工具100中可包含多於一個的光學感應器120。此外,光學感應器120僅需要穿過腔室105的單一光學開口(亦即,窗口)。如下面將更詳細描述的,光學路徑包含反射器121以將來自源137的電磁輻射反射穿回同一開口。這與現有系統相反,現有系統需要跨腔室105的空間的光學路徑且需要至少兩個穿過腔室的光學開口。In the illustrated embodiment, a single optical sensor 120 is shown. However, it should be understood that embodiments are not limited to such configurations and that more than one optical sensor 120 may be included in the processing tool 100 . Furthermore, optical sensor 120 requires only a single optical opening (ie, window) through chamber 105 . As will be described in more detail below, the optical path includes a reflector 121 to reflect electromagnetic radiation from source 137 back through the same opening. This is in contrast to existing systems, which require an optical path across the space of chamber 105 and require at least two optical openings through the chamber.

在一實施例中,光學感應器120包括外殼。在一實施例中,外殼可包括第一外殼124和第二外殼122。在一實施例中,第一外殼124可使用任何合適的緊固件緊固到第二外殼122。在其他實施例中,外殼可為單一結構。亦即,第一外殼124和第二外殼122可組合成單一結構。此外,儘管揭露了第一外殼124和第二外殼122,應理解,外殼可包括耦合在一起的任何數量的部件。In one embodiment, optical sensor 120 includes a housing. In one embodiment, the housing may include a first housing 124 and a second housing 122 . In one embodiment, the first housing 124 may be secured to the second housing 122 using any suitable fasteners. In other embodiments, the housing may be a unitary structure. That is, the first housing 124 and the second housing 122 may be combined into a single structure. Additionally, although first housing 124 and second housing 122 are disclosed, it should be understood that the housings may include any number of components coupled together.

在一實施例中,第一外殼124可延伸穿過腔室105中的開口且延伸進入腔室內部空間。例如,延伸部(例如,管126)可通過腔室105中的開口。在一實施例中,管126可為光學透明的材料。例如,管126可為石英。然而,應理解,管126不需要是光學透明的。在一些實施例中,管126可為陶瓷或金屬材料。此外,儘管描述了管126,應理解,任何細長構件可延伸進入腔室105的空間。特定地,可使用能夠在腔室105的內部空間中支撐反射器121的任何結構。In one embodiment, the first housing 124 may extend through the opening in the chamber 105 and into the chamber interior space. For example, an extension (eg, tube 126) may pass through an opening in chamber 105. In one embodiment, tube 126 may be an optically clear material. For example, tube 126 may be quartz. However, it should be understood that tube 126 need not be optically clear. In some embodiments, tube 126 may be a ceramic or metallic material. Additionally, although tube 126 is depicted, it should be understood that any elongate member may extend into the space of chamber 105 . In particular, any structure capable of supporting the reflector 121 in the interior space of the chamber 105 may be used.

在一實施例中,可沿著管126的長度放置一個或更多個開口123。一個或更多個開口123允許來自電漿107的電磁輻射進入光學感應器120。另外,開口123將反射器121暴露至處理環境。將反射器121暴露至處理環境允許了以與處理操作期間修改腔室的內部表面實質相同的方式來修改反射器121的表面。例如,沉積在腔室105的內部表面上的副產物也可沉積在反射器121上。在特定實施例中,反射器121可包括與腔室105的內部表面相同的材料。據此,可假設反射器121的表面的改變以實質匹配腔室105的內部表面的改變。以此方式,可藉由光學感應器120實行腔室表面的監視。In an embodiment, one or more openings 123 may be placed along the length of tube 126. One or more openings 123 allow electromagnetic radiation from the plasma 107 to enter the optical sensor 120 . Additionally, opening 123 exposes reflector 121 to the processing environment. Exposing reflector 121 to the processing environment allows the surface of reflector 121 to be modified in substantially the same manner as the interior surface of the chamber is modified during processing operations. For example, by-products deposited on the interior surfaces of chamber 105 may also be deposited on reflector 121 . In certain embodiments, reflector 121 may include the same material as the interior surface of chamber 105 . From this, it can be assumed that the surface of reflector 121 changes to substantially match the change of the interior surface of chamber 105 . In this manner, monitoring of the chamber surface can be performed via optical sensor 120 .

在一些實施例中,反射器121可為可替換的部件。亦即,反射器121可為可從第一外殼124移除的部件。例如,反射器121可附接到覆蓋管126的端部的蓋。具有可移除的反射器允許了使用壽命到期後反射器121的置換。另外,可使用不同的反射器材料來匹配用於各種處理工具的腔室的內部表面。In some embodiments, reflector 121 may be a replaceable component. That is, the reflector 121 may be a removable component from the first housing 124 . For example, reflector 121 may be attached to a cap covering the end of tube 126 . Having a removable reflector allows replacement of the reflector 121 after its useful life has expired. Additionally, different reflector materials can be used to match the interior surfaces of the chamber for various processing tools.

在一些實施例中,透鏡125可固定在第一外殼124和第二外殼122之間。沿著源137和反射器121之間的光學路徑放置透鏡125,以便聚焦沿著光學路徑通過的電磁輻射。在一些實施例中,透鏡125可為密封件的部分以封閉穿過腔室105的開口。例如,O形環等可靠抵透鏡125面向腔室105的表面。In some embodiments, lens 125 may be secured between first housing 124 and second housing 122 . Lens 125 is positioned along the optical path between source 137 and reflector 121 to focus electromagnetic radiation passing along the optical path. In some embodiments, lens 125 may be part of a seal to close the opening through chamber 105 . For example, an O-ring or the like may bear against the surface of lens 125 facing chamber 105 .

在一實施例中,光學感應器120可進一步包括源137和感應器138。源137和感應器138可光學地耦合到光學路徑。例如,光纖纜線132可從第二外殼122延伸出來。在一實施例中,光纖纜線132可包括分離器134,分離器134分支到源137的光纖纜線135和到感應器138的光纖纜線136。In one embodiment, the optical sensor 120 may further include a source 137 and a sensor 138 . Source 137 and sensor 138 may be optically coupled to the optical path. For example, fiber optic cable 132 may extend from second housing 122 . In one embodiment, fiber optic cable 132 may include a splitter 134 that branches to fiber optic cable 135 to source 137 and to fiber optic cable 136 to sensor 138 .

在一實施例中,源137可為用於沿著光學路徑傳播電磁輻射的任何合適的源。特定地,實施例包含高精確源137。高精確源137提供已知的電磁光譜,可將該電磁光譜作為用於使用光學感應器120進行測量的參考基線。在一個實施例中,源137可為單一波長源。例如,源137可為雷射或發光二極體(LED)。在其他實施例中,源137可為寬帶光源。例如,源137可為電弧閃光燈(例如,氙閃光燈)。In an embodiment, source 137 may be any suitable source for propagating electromagnetic radiation along an optical path. In particular, embodiments include high precision sources 137 . High-precision source 137 provides a known electromagnetic spectrum that can be used as a reference baseline for measurements using optical sensor 120 . In one embodiment, source 137 may be a single wavelength source. For example, source 137 may be a laser or a light emitting diode (LED). In other embodiments, source 137 may be a broadband light source. For example, source 137 may be an arc flash lamp (eg, a xenon flash lamp).

在一實施例中,感應器138可為用於偵測電磁輻射的任何合適的感應器。在一實施例中,感應器138可包括光譜儀。例如,光譜儀可具有電荷耦合裝置(CCD)陣列。在其他實施例中,感應器138可具有對電磁輻射的特定波長敏感的光電二極體。In one embodiment, sensor 138 may be any suitable sensor for detecting electromagnetic radiation. In one embodiment, sensor 138 may include a spectrometer. For example, a spectrometer may have an array of charge coupled devices (CCD). In other embodiments, the sensor 138 may have photodiodes that are sensitive to specific wavelengths of electromagnetic radiation.

現在參考圖2,展示了根據一實施例的光學感應器220的外殼的三維圖的截面視圖。如所展示,光學路徑228延伸穿過外殼。例如,光學路徑228沿著第二外殼222中的通道延伸,穿過透鏡225,且穿過第一外殼224的管226朝向反射器221。在一實施例中,穿過管226的開口223允許來自處理環境(例如,來自電漿)的電磁輻射通過進入外殼並沿著光學路徑228傳播。開口223也將反射器221暴露於腔室內部的處理環境。據此,可監視反射器221的表面的沉積或其他轉換,以便決定腔室的內部表面的改變。Referring now to FIG. 2 , a cross-sectional view of a three-dimensional illustration of a housing of optical sensor 220 is shown in accordance with an embodiment. As shown, optical path 228 extends through the housing. For example, optical path 228 extends along a channel in second housing 222 , through lens 225 , and through tube 226 of first housing 224 toward reflector 221 . In one embodiment, opening 223 through tube 226 allows electromagnetic radiation from the processing environment (eg, from the plasma) to pass into the housing and propagate along optical path 228 . Opening 223 also exposes reflector 221 to the processing environment inside the chamber. Accordingly, the surface of reflector 221 may be monitored for deposition or other transformations in order to determine changes to the interior surface of the chamber.

如圖2中所展示,反射器221是附接在管226的端部上的蓋。據此,可藉由移除蓋並將第二蓋與第二反射器221附接來置換反射器221。另外,圖2圖示了靠近透鏡225的通道227。在一實施例中,通道227的尺寸可設置成接收抵靠第一外殼224和透鏡225的O形環(未展示)。據此,可維持真空密封,即便有穿過腔室壁的開口。As shown in Figure 2, reflector 221 is a cap attached to the end of tube 226. Accordingly, the reflector 221 can be replaced by removing the cover and attaching a second cover to the second reflector 221 . Additionally, FIG. 2 illustrates channel 227 proximate lens 225. In one embodiment, channel 227 may be sized to receive an O-ring (not shown) against first housing 224 and lens 225 . Accordingly, a vacuum seal can be maintained even with openings through the chamber wall.

如以上相關於圖1所示,光學感應器220的外殼可替代地包括單一部件或多於兩個部件(亦即,多於第一外殼224和第二外殼222)。另外,可使用可沿著光學路徑228支撐反射鏡的任何細長結構來置換管226。例如,可使用從第一外殼224延伸出的一個或更多個束來置換管226。As shown above with respect to FIG. 1 , the housing of optical sensor 220 may alternatively include a single component or more than two components (ie, more than first housing 224 and second housing 222 ). Additionally, tube 226 may be replaced with any elongated structure that can support a mirror along optical path 228 . For example, tube 226 may be replaced using one or more bundles extending from first housing 224 .

現在參考圖3A和圖3B,一對橫截面圖描繪了根據一實施例的使用光學感應器的處理。Referring now to FIGS. 3A and 3B , a pair of cross-sectional views depict a process using an optical sensor according to an embodiment.

現在參考圖3A,展示了在基板的處理開始時的橫截面圖。如所展示,光學感應器320實質類似於上述的光學感應器120和220。例如,展示了包括第一外殼324、第二外殼322、透鏡325、反射器321的外殼。反射器321在圖3A中被展示為浮動的。亦即,僅展示了支撐結構的開口323。然而,應理解,反射器321以橫截面圖的平面外的結構連接至第一外殼324。例如,可使用一個或更多個束或管將反射器321連接到第一外殼324。Referring now to Figure 3A, a cross-sectional view at the beginning of processing of a substrate is shown. As shown, optical sensor 320 is substantially similar to optical sensors 120 and 220 described above. For example, a housing is shown that includes a first housing 324, a second housing 322, a lens 325, and a reflector 321. Reflector 321 is shown floating in Figure 3A. That is, only the opening 323 of the support structure is shown. However, it should be understood that the reflector 321 is connected to the first housing 324 in a configuration out of the plane of the cross-sectional view. For example, one or more beams or tubes may be used to connect reflector 321 to first housing 324.

在一實施例中,可藉由源(未展示)產生參考信號341且穿過外殼324、322光學地耦合到光學路徑。例如,參考信號341可在進入外殼322之前沿著光纖纜線332傳播。參考信號341可接著朝向反射器321傳播並作為反射信號342沿著光學路徑反射回來。反射信號342可與光纖纜線332光學地耦合且傳遞到感應器(未展示)。In one embodiment, the reference signal 341 may be generated by a source (not shown) and optically coupled to the optical path through the housings 324, 322. For example, reference signal 341 may propagate along fiber optic cable 332 before entering housing 322 . Reference signal 341 may then propagate towards reflector 321 and be reflected back along the optical path as reflected signal 342 . Reflected signal 342 may be optically coupled with fiber optic cable 332 and passed to a sensor (not shown).

由於源發射具有已知光譜和強度的電磁輻射,感應器對反射信號342的測量提供了沿著光學路徑的損耗的基線。亦即,反射信號342的測量值(例如,光譜和強度)與源的已知光譜和強度之間的差異提供了光學感應器320固有的損耗的量度。據此,可使用已知的損耗以校準後續獲取的信號。Since the source emits electromagnetic radiation with a known spectrum and intensity, the sensor's measurement of the reflected signal 342 provides a baseline for losses along the optical path. That is, the difference between the measured value (eg, spectrum and intensity) of the reflected signal 342 and the known spectrum and intensity of the source provides a measure of the losses inherent in the optical sensor 320 . From this, the known losses can be used to calibrate subsequently acquired signals.

特定地,感應器也可感應由電漿發射的電磁輻射。例如,電漿信號343可通過光學感應器320的開口323且沿著光學路徑傳播到感應器(未展示)。接著,可藉由加回光學感應器固有的已知損耗來校準電漿信號343的測量。這樣,可提供對由電漿發射的電磁輻射的定量測量。In particular, the sensor may also sense electromagnetic radiation emitted by the plasma. For example, the plasma signal 343 may pass through the opening 323 of the optical sensor 320 and propagate along an optical path to the sensor (not shown). The measurement of the plasma signal 343 can then be calibrated by adding back the known losses inherent in the optical sensor. In this way, a quantitative measurement of the electromagnetic radiation emitted by the plasma can be provided.

現在參考圖3B,展示了根據一實施例的在將膜306設置在腔室305的表面上方和反射器321的表面上方之後的光學感應器320的橫截面圖。在一實施例中,膜306可為在腔室305中實行的處理操作的副產物。例如,膜306可為蝕刻處理的副產物的再沉積。在反射器321的表面與腔室305的內部表面為相同材料的實施例中,反射器321上的膜306將代表腔室305的內部表面上的膜306。Referring now to FIG. 3B , a cross-sectional view of optical sensor 320 is shown after disposing membrane 306 over the surface of chamber 305 and over the surface of reflector 321 , according to an embodiment. In one embodiment, membrane 306 may be a by-product of processing operations performed in chamber 305. For example, film 306 may be a redeposition of a by-product of the etching process. In embodiments where the surface of the reflector 321 is of the same material as the interior surface of the chamber 305, the film 306 on the reflector 321 will represent the film 306 on the interior surface of the chamber 305.

據此,也可使用光學感應器320以決定膜306的一個或更多個特性。在一實施例中,可測量反射信號342以找到相對於參考信號341的差異。例如,可使用反射信號342的特定波長的減少(相對於參考信號)以決定什麼材料沉積在膜上。特定地,某些材料將優先吸收參考信號341的光譜的部分。據此,識別反射信號342的強度降低的部分允許了決定膜306的成分。另外,反射信號342的改變也可識別膜厚度。Accordingly, optical sensor 320 may also be used to determine one or more properties of film 306. In one embodiment, the reflected signal 342 can be measured to find the difference relative to the reference signal 341 . For example, a reduction in a specific wavelength of the reflected signal 342 (relative to a reference signal) may be used to determine what material was deposited on the film. In particular, certain materials will preferentially absorb parts of the spectrum of reference signal 341 . Accordingly, identifying portions of the reflected signal 342 with reduced intensity allows the composition of the film 306 to be determined. Additionally, changes in reflected signal 342 may also identify film thickness.

現在參考圖4A,根據一額外實施例,展示了穿過腔室405的表面的光學感應器420的橫截面圖。除了源437和感應器438直接整合進第二外殼422之外,圖4A中的光學感應器420與圖3A中的光學感應器320實質類似。例如,參考信號441可通過稜鏡439和透鏡425朝向反射器421,且反射信號442和電漿信號443可藉由稜鏡439重新引導朝向感應器438。據此,可實作源437和感應器438至光學路徑的光學耦合,而無需光纖纜線。該等實施例也可提供更緊密的光學感應器420。Referring now to FIG. 4A , a cross-sectional view of optical sensor 420 through a surface of chamber 405 is shown, according to an additional embodiment. Optical sensor 420 in Figure 4A is substantially similar to optical sensor 320 in Figure 3A except that source 437 and sensor 438 are directly integrated into second housing 422. For example, reference signal 441 can be directed toward reflector 421 through lens 439 and lens 425, and reflected signal 442 and plasma signal 443 can be redirected toward sensor 438 through lens 439. Accordingly, optical coupling of source 437 and sensor 438 to the optical path can be implemented without the need for fiber optic cables. These embodiments may also provide a more compact optical sensor 420.

現在參考圖4B,根據一額外實施例,展示了穿過腔室405的表面的光學感應器420的橫截面圖。除了沿著光學路徑放置濾波器445之外,圖4B中的光學感應器420與圖3A中的光學感應器320實質類似。在一實施例中,濾波器445可提供特定的通帶以便改善訊雜比,並改善光學感應器的效能。在一實施例中,濾波器445置於透鏡425和感應器(未展示)之間。亦即,將濾波器445放置於腔室空間的外部,以便保護免受處理環境的影響。Referring now to FIG. 4B , a cross-sectional view of optical sensor 420 through a surface of chamber 405 is shown, according to an additional embodiment. Optical sensor 420 in Figure 4B is substantially similar to optical sensor 320 in Figure 3A except for the placement of filter 445 along the optical path. In one embodiment, the filter 445 can provide a specific passband to improve the signal-to-noise ratio and improve the performance of the optical sensor. In one embodiment, filter 445 is placed between lens 425 and the sensor (not shown). That is, the filter 445 is placed outside the chamber space for protection from the processing environment.

現在參考圖5,展示了根據一實施例的處理工具500的平面視圖橫截面圖。在一實施例中,處理工具500可包括腔室505。基板支撐件508(例如,卡盤等)可位於腔室505內。在一實施例中,複數個光學感應器520 A- E佈置成繞著腔室505的周邊的陣列。光學感應器520 A- E可實質類似於上述光學感應器中的一個或更多個。在所圖示的實施例中,展示了五個光學感應器520 A- E。然而,應理解,處理工具500中可包含任何數量的光學感應器520。使用複數個光學感應器520允許獲取均勻性資料。例如,可獲取電漿均勻性及/或壁條件均勻性。另外,也可決定腔室飄移。 Referring now to FIG. 5 , a plan view cross-sectional view of a processing tool 500 is shown in accordance with an embodiment. In an embodiment, processing tool 500 may include chamber 505 . A substrate support 508 (eg, chuck, etc.) may be located within the chamber 505 . In one embodiment, a plurality of optical sensors 520 A - E are arranged in an array around the perimeter of the chamber 505 . Optical sensors 520 A - E may be substantially similar to one or more of the optical sensors described above. In the illustrated embodiment, five optical sensors 520 A - E are shown. However, it should be understood that any number of optical sensors 520 may be included in the processing tool 500 . The use of multiple optical sensors 520 allows the acquisition of uniformity information. For example, plasma uniformity and/or wall condition uniformity may be obtained. Additionally, chamber drift can also be determined.

現在參考圖6A和6B,展示了根據一實施例的描繪使用光學感應器以原位提供定量測量的處理的處理流程圖。Referring now to Figures 6A and 6B, shown is a process flow diagram depicting a process of using an optical sensor to provide quantitative measurements in situ, according to one embodiment.

現在參考圖6A,處理660從操作661開始,包含了獲取參考信號,該參考信號沿著腔室內部的反射器及腔室外部的感應器之間的光學路徑傳播。特定地,操作661可包括圖6B中所圖示的處理670。Referring now to Figure 6A, process 660 begins with operation 661 and includes acquiring a reference signal that propagates along an optical path between a reflector inside the chamber and an inductor outside the chamber. Specifically, operation 661 may include process 670 illustrated in Figure 6B.

現在參考圖6B,處理670可從操作671開始,包含了從腔室外部的源發射電磁輻射。在一實施例中,電磁輻射沿著源和腔室中的反射器之間的光學路徑傳播。接著,處理670可繼續進行操作672,包含了使用反射器沿著光學路徑將電磁輻射反射回去。接著,處理670可繼續進行操作673,包含了使用光學地耦合至光學路徑的感應器來感應經反射的電磁輻射。Referring now to Figure 6B, process 670 may begin with operation 671, including emitting electromagnetic radiation from a source external to the chamber. In one embodiment, electromagnetic radiation propagates along an optical path between the source and a reflector in the chamber. Process 670 may then continue with operation 672, which involves using a reflector to reflect the electromagnetic radiation back along the optical path. Process 670 may then continue with operation 673, which includes sensing the reflected electromagnetic radiation using a sensor optically coupled to the optical path.

返回參考圖6A,處理660可接著繼續進行操作662,包括了藉由感應沿著光學路徑傳播的在處理腔室中發射的電磁輻射來獲取處理信號。在一實施例中,處理660可接著繼續進行操作663,包括了比較處理信號與參考信號。Referring back to FIG. 6A , process 660 may then continue with operation 662 , which includes obtaining a processing signal by sensing electromagnetic radiation emitted in the processing chamber propagating along the optical path. In one embodiment, process 660 may then continue with operation 663, which includes comparing the processed signal to the reference signal.

在一實施例中,參考信號與處理信號的比較可提供處理信號的定量測量。特定地,參考信號可提供光學感應器固有的損耗的量度。據此,光學感應器中固有的損耗可被加回到處理信號,以便為處理信號提供定量值。獲取定量值提供了對腔室中的處理條件更精確地描繪。此外,可跨不同腔室比較定量值。這樣,可實行腔室匹配以便改善跨不同腔室的處理均勻性。In one embodiment, comparison of the reference signal and the processed signal may provide a quantitative measure of the processed signal. In particular, the reference signal may provide a measure of the losses inherent in the optical sensor. Accordingly, the losses inherent in the optical sensor can be added back to the processed signal to provide a quantitative value to the processed signal. Obtaining quantitative values provides a more accurate picture of the processing conditions in the chamber. Additionally, quantitative values can be compared across different chambers. In this way, chamber matching can be implemented to improve process uniformity across different chambers.

應理解,圖6A和6B中揭露的處理操作不需要以任何特定順序來實行。亦即,可在任何時間獲取每一信號。例如,在一個實施例中,當在腔室中沒有實行處理時,可獲取參考信號。這提供了不會被電漿發射的任何電磁輻射變更的參考信號。然而,應理解,在一些實施例中,當電漿在腔室中撞擊時,可獲取參考信號。在其他實施例中,可在源關閉時獲取處理信號。在該等實施例中,可獲取來自電漿的純信號,而不會受到源光的任何干擾。然而,應理解,在一些實施例中,在處理信號的測量期間,源光可為開啟的。此外,應理解,可在腔室中的一個或更多個基板的處理期間獲取參考信號和處理信號中的一者或兩者。這樣,測量可被稱為原位測量。It should be understood that the processing operations disclosed in Figures 6A and 6B need not be performed in any particular order. That is, each signal can be acquired at any time. For example, in one embodiment, the reference signal may be acquired when no processing is taking place in the chamber. This provides a reference signal that is not altered by any electromagnetic radiation emitted by the plasma. However, it should be understood that in some embodiments, the reference signal may be acquired as the plasma impinges in the chamber. In other embodiments, the processing signal may be acquired when the source is turned off. In these embodiments, a pure signal from the plasma can be obtained without any interference from the source light. However, it should be understood that in some embodiments the source light may be on during measurement of the processed signal. Furthermore, it should be understood that one or both of the reference signal and the process signal may be acquired during processing of one or more substrates in the chamber. In this way, the measurement can be called an in situ measurement.

現在參考圖7,圖示了根據一實施例的處理工具的示例性電腦系統760的區塊圖。在一實施例中,電腦系統760耦合至且控制處理工具中的處理。電腦系統760可連接(例如,網路連接)至區域網路(LAN)、內聯網路、外聯網路或網際網路中的其他機器。電腦系統760可在客戶端-伺服器網路環境中以伺服器或客戶端機器的能力操作,或作為點對點(或分佈式)網路環境中的同級機器操作。電腦系統760可為個人電腦(PC)、平板電腦、機上盒(STB)、個人數位助理(PDA)、行動式電話、網路應用設備、伺服器、網路路由器、交換器或橋、或任何能夠執行指令集(依序或其他)的機器以指定該機器要採取的動作。此外,雖然僅針對電腦系統760圖示了單一機器,用語「機器」也應被視為包含個別地或聯合地執行一指令集(或多個指令集)的任何機器的集合(例如,電腦),以執行本文描述的任何一個或更多個方法。Referring now to FIG. 7 , illustrated is a block diagram of an exemplary computer system 760 for a processing tool in accordance with an embodiment. In one embodiment, computer system 760 is coupled to and controls processing in the processing tool. Computer system 760 may be connected (eg, network connected) to a local area network (LAN), an intranet, an extranet, or other machines on the Internet. Computer system 760 may operate in the capacity of a server or client machine in a client-server network environment, or as a peer machine in a peer-to-peer (or distributed) network environment. Computer system 760 may be a personal computer (PC), tablet computer, set-top box (STB), personal digital assistant (PDA), mobile phone, network application device, server, network router, switch or bridge, or Any machine capable of executing a set of instructions (sequential or otherwise) specifying actions to be taken by the machine. Additionally, although only a single machine is illustrated with respect to computer system 760, the term "machine" shall also be taken to include any collection of machines (e.g., computers) that individually or jointly execute a set (or multiple sets) of instructions. , to perform any one or more of the methods described herein.

電腦系統760可包含電腦程式產品,或軟體722,具有儲存於上的指令的非暫態機器可讀取媒體,可使用以對電腦系統760(或其他電子裝置)進行編程以執行根據實施例的處理。機器可讀取媒體包含用於以機器(例如,電腦)可讀取的形式儲存或傳送資訊的任何機制。例如,機器可讀取(例如,電腦可讀取)媒體包含機器(例如,電腦)可讀取儲存媒體(例如,唯讀記憶體(「ROM」)、隨機存取記憶體(「RAM」)、磁碟儲存媒體、光學儲存媒體、快閃記憶體裝置等)、機器(例如,電腦)可讀取傳輸媒體(電、光、聲或其他形式的傳播信號(例如,紅外光信號、數位信號等))等。Computer system 760 may include a computer program product, or software 722, a non-transitory machine-readable medium having instructions stored thereon that may be used to program computer system 760 (or other electronic device) to perform operations in accordance with embodiments. handle. Machine-readable media includes any mechanism for storing or transmitting information in a form readable by a machine (eg, a computer). For example, machine-readable (eg, computer-readable) media include machine-readable (eg, computer-readable) storage media (eg, read-only memory ("ROM"), random access memory ("RAM") , disk storage media, optical storage media, flash memory devices, etc.), machines (e.g., computers) can read transmission media (electrical, optical, acoustic or other forms of propagation signals (e.g., infrared light signals, digital signals) etc.

在一實施例中,電腦系統760包含系統處理器702、主記憶體704(例如,唯讀記憶體(ROM)、快閃記憶體、例如同步DRAM(SDRAM)或Rambus DRAM (RDRAM)的動態隨機存取記憶體(DRAM)等)、靜態記憶體706(例如,快閃記憶體、靜態隨機存取記憶體(SRAM)等)和次級記憶體718(例如,資料儲存裝置),彼此經由匯流排730通訊。In one embodiment, computer system 760 includes system processor 702, main memory 704 (e.g., read only memory (ROM), flash memory, dynamic random access memory such as synchronous DRAM (SDRAM) or Rambus DRAM (RDRAM)). access memory (DRAM, etc.), static memory 706 (e.g., flash memory, static random access memory (SRAM), etc.) and secondary memory 718 (e.g., data storage device), each other via a bus Platoon 730 Communications.

系統處理器702表示一個或更多個一般用途處理裝置,例如微系統處理器、中央處理單元等。更特定地,系統處理器可為複雜指令集計算(CISC)微系統處理器、精簡指令集計算(RISC)微系統處理器、超長指令字(VLIW)微系統處理器、實行其他指令集的系統處理器、或實行指令集的組合的系統處理器。系統處理器702也可為一個或更多個特殊用途處理裝置,例如特定應用積體電路(ASIC)、現場可編程閘陣列(FPGA)、數位信號系統處理器(DSP)、網路系統處理器等。系統處理器702經配置以執行處理邏輯726以用於執行本文描述的操作。System processor 702 represents one or more general purpose processing devices, such as a microsystem processor, central processing unit, or the like. More specifically, the system processor may be a Complex Instruction Set Computing (CISC) microsystem processor, a Reduced Instruction Set Computing (RISC) microsystem processor, a Very Long Instruction Word (VLIW) microsystem processor, or a processor that implements other instruction sets. A system processor, or a system processor that implements a combination of instruction sets. The system processor 702 may also be one or more special purpose processing devices, such as an application specific integrated circuit (ASIC), a field programmable gate array (FPGA), a digital signal system processor (DSP), or a network system processor. wait. System processor 702 is configured to execute processing logic 726 for performing the operations described herein.

電腦系統760可進一步包含用於與其他裝置或機器通訊的系統網路介面裝置708。電腦系統760也可包含影像顯示單元710(例如,液晶顯示器(LCD)、發光二極體顯示器(LED)、或陰極射線管(CRT))、字母數字輸入裝置712(例如,鍵盤)、游標控制裝置714(例如,滑鼠)和信號產生裝置716(例如,喇叭)。Computer system 760 may further include system network interface device 708 for communicating with other devices or machines. Computer system 760 may also include an image display unit 710 (e.g., a liquid crystal display (LCD), a light emitting diode display (LED), or a cathode ray tube (CRT)), an alphanumeric input device 712 (e.g., a keyboard), a cursor control Device 714 (eg, mouse) and signal generating device 716 (eg, speaker).

次級記憶體718可包含機器可存取儲存媒體731(或更特定地,電腦可讀取儲存媒體),其上儲存了一個或更多個指令集(例如,軟體722),該等指令集施行本文描述的任何一個或更多個方法或功能。軟體722也可在由電腦系統760執行期間完全或至少部分地駐留在主記憶體704內及/或系統處理器702內,主記憶體704和系統處理器702也構成機器可讀取儲存媒體。可進一步經由系統網路介面裝置708在網路720上傳送或接收軟體722。在一實施例中,網路介面裝置708可使用RF耦合、光學耦合、聲耦合或電感耦合來操作。Secondary memory 718 may include machine-accessible storage media 731 (or, more specifically, computer-readable storage media) having stored thereon one or more sets of instructions (eg, software 722) that Perform any one or more methods or functions described herein. Software 722 may also reside fully or at least partially within main memory 704 and/or system processor 702 during execution by computer system 760 , which also constitute machine-readable storage media. Software 722 may further be transmitted or received over network 720 via system network interface device 708. In one embodiment, network interface device 708 may operate using RF coupling, optical coupling, acoustic coupling, or inductive coupling.

雖然在示例性實施例中將機器可存取儲存媒體731展示為單一媒體,用語「機器可讀取儲存媒體」應當被視為包含單一媒體或儲存一個或更多個指令集的多個媒體(例如,集中式或分佈式資料庫及/或相關聯的快取及伺服器)。用語「機器可讀取儲存媒體」也應被視為包含能夠儲存或編碼指令集以供機器執行並且使機器執行任何一個或更多個方法的任何媒體。據此,用語「機器可讀取儲存媒體」應被視為包含但不限於固態記憶體,及光學和磁性媒體。Although machine-accessible storage medium 731 is shown as a single medium in the exemplary embodiment, the term "machine-readable storage medium" should be taken to include a single medium or multiple media that store one or more sets of instructions ( For example, centralized or distributed databases and/or associated caches and servers). The term "machine-readable storage medium" shall also be deemed to include any medium capable of storing or encoding a set of instructions for execution by a machine and causing the machine to perform any one or more methods. Accordingly, the term "machine-readable storage media" shall be deemed to include, but not be limited to, solid-state memory, and optical and magnetic media.

在前述說明書中,已描述特定示例性實施例。顯而易見的是,在不脫離以下請求項的範圍的情況下,可對其進行各種修改。據此,本說明書及附圖被視為說明性意義而非限制性意義。In the foregoing specification, specific exemplary embodiments have been described. It will be apparent that various modifications may be made without departing from the scope of the following claims. Accordingly, this specification and drawings are to be regarded as illustrative rather than restrictive.

100:處理工具 105:腔室 107:電漿 108:基板支撐件 120:光學感應器 121:反射器 122:第二外殼 123:開口 124:第一外殼 125:透鏡 126:管 132:光纖纜線 134:分離器 135:光纖纜線 136:光纖纜線 137:源 138:感應器 220:光學感應器 221:反射器 222:第二外殼 223:開口 224:第一外殼 225:透鏡 226:管 227:通道 228:光學路徑 305:腔室 306:膜 320:光學感應器 321:反射器 322:第二外殼 323:開口 324:第一外殼 325:透鏡 332:光纖纜線 341:參考信號 342:反射信號 343:電漿信號 405:腔室 420:光學感應器 421:反射器 422:第二外殼 425:透鏡 437:源 438:感應器 439:稜鏡 441:參考信號 442:反射信號 443:電漿信號 445:濾波器 500:處理工具 505:腔室 508:基板支撐件 520 A~520 E:光學感應器 660:處理 661~663:操作 670:處理 671~673:操作 702:系統處理器 704:主記憶體 706:靜態記憶體 708:系統網路介面裝置 710:影像顯示單元 712:字母數字輸入裝置 714:游標控制裝置 716:信號產生裝置 718:次級記憶體 720:網路 722:軟體 726:處理邏輯 730:匯流排 731:機器可存取儲存媒體 760:電腦系統 100: Processing tool 105: Chamber 107: Plasma 108: Substrate support 120: Optical sensor 121: Reflector 122: Second housing 123: Opening 124: First housing 125: Lens 126: Tube 132: Fiber optic cable 134: Splitter 135: Fiber optic cable 136: Fiber optic cable 137: Source 138: Sensor 220: Optical sensor 221: Reflector 222: Second housing 223: Opening 224: First housing 225: Lens 226: Tube 227 :Channel 228: Optical path 305: Chamber 306: Membrane 320: Optical sensor 321: Reflector 322: Second housing 323: Opening 324: First housing 325: Lens 332: Fiber optic cable 341: Reference signal 342: Reflection Signal 343: Plasma signal 405: Chamber 420: Optical sensor 421: Reflector 422: Second housing 425: Lens 437: Source 438: Sensor 439: 稜鏡 441: Reference signal 442: Reflected signal 443: Plasma Signal 445: Filter 500: Processing tool 505: Chamber 508: Substrate support 520 A ~ 520 E : Optical sensor 660: Processing 661 ~ 663: Operation 670: Processing 671 ~ 673: Operation 702: System processor 704: Main memory 706: static memory 708: system network interface device 710: image display unit 712: alphanumeric input device 714: cursor control device 716: signal generation device 718: secondary memory 720: network 722: software 726 :Processing logic 730:Bus 731:Machine-accessible storage media 760:Computer system

圖1是根據一實施例的具有通過腔室壁的光學感應器的腔室的橫截面圖。Figure 1 is a cross-sectional view of a chamber with optical sensors through the chamber wall, according to an embodiment.

圖2是根據一實施例的感應器外殼的透視視圖的橫截面。Figure 2 is a cross-section of a perspective view of an inductor housing according to an embodiment.

圖3A是根據一實施例的通過腔室壁的光學感應器的橫截面圖,且圖示了穿過感應器外殼的光學路徑。3A is a cross-sectional view of an optical sensor through a chamber wall and illustrates the optical path through the sensor housing, according to an embodiment.

圖3B是根據一實施例的在將材料層沉積在反射器上方之後的光學感應器的橫截面圖。3B is a cross-sectional view of an optical sensor after depositing a layer of material over a reflector, according to an embodiment.

圖4A是根據一實施例的具有整合進感應器外殼的源及感應器的光學感應器的橫截面圖。4A is a cross-sectional view of an optical sensor with a source and sensor integrated into the sensor housing, according to an embodiment.

圖4B是根據一實施例的具有沿著光學路徑的濾波器的光學感應器的橫截面圖。Figure 4B is a cross-sectional view of an optical sensor with a filter along an optical path, according to an embodiment.

圖5是根據一實施例的具有通過腔室壁的光學感應器陣列的處理腔室的平面視圖。Figure 5 is a plan view of a processing chamber with an optical sensor array through the chamber wall, according to an embodiment.

圖6A是根據一實施例描繪用於使用光學感應器來決定壁條件或處理條件的處理的處理流程圖。Figure 6A is a process flow diagram depicting a process for determining wall conditions or process conditions using optical sensors, according to an embodiment.

圖6B是根據一實施例描繪用於獲取參考信號的處理的處理流程圖。Figure 6B is a process flow diagram depicting a process for obtaining a reference signal, according to an embodiment.

圖7根據一實施例圖示了示例性電腦系統的區塊圖,該電腦系統可與具有通過腔室壁的光學路徑的光學感應器一起使用。7 illustrates a block diagram of an exemplary computer system that may be used with an optical sensor having an optical path through a chamber wall, according to an embodiment.

國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無 Domestic storage information (please note in order of storage institution, date and number) without Overseas storage information (please note in order of storage country, institution, date, and number) without

100:處理工具 100:Processing Tools

105:腔室 105: Chamber

107:電漿 107:Plasma

108:基板支撐件 108:Substrate support

120:光學感應器 120: Optical sensor

121:反射器 121:Reflector

122:第二外殼 122:Second shell

123:開口 123:Open your mouth

124:第一外殼 124:First shell

125:透鏡 125:Lens

126:管 126:Tube

132:光纖纜線 132:Fiber optic cable

134:分離器 134:Separator

135:光纖纜線 135:Fiber optic cable

136:光纖纜線 136:Fiber optic cable

137:源 137:source

138:感應器 138: Sensor

Claims (14)

一種處理工具,包括: 一腔室;及 一光學感應器系統,該光學感應器系統通過該腔室的一壁,其中該光學感應器系統包括; 一外殼; 一光學路徑,該光學路徑穿過該外殼,其中該光學路徑包括在該腔室中的一第一端和在該腔室外部的一第二端; 一反射器,該反射器位於該光學路徑的該第一端處; 一透鏡,該透鏡在該反射器與該光學路徑的該第二端之間;及 一開口,該開口在該透鏡與該反射器之間穿過該外殼,其中該開口在該腔室內。 A processing tool that includes: a chamber; and An optical sensor system passes through a wall of the chamber, wherein the optical sensor system includes; a shell; an optical path passing through the housing, wherein the optical path includes a first end in the chamber and a second end outside the chamber; a reflector located at the first end of the optical path; a lens between the reflector and the second end of the optical path; and An opening passes through the housing between the lens and the reflector, wherein the opening is within the chamber. 如請求項1所述之光學感應器系統,進一步包括: 一光源,該光源光學地耦合至該透鏡;及 一感應器,該感應器光學地耦合至該透鏡。 The optical sensor system as described in claim 1 further includes: a light source optically coupled to the lens; and A sensor optically coupled to the lens. 如請求項2所述之光學感應器系統,其中該光源為單一波長源。The optical sensor system of claim 2, wherein the light source is a single wavelength source. 如請求項2所述之光學感應器系統,其中該光源為一寬帶光源。The optical sensor system of claim 2, wherein the light source is a broadband light source. 如請求項2所述之光學感應器系統,其中該光源和該感應器藉由一光纖纜線光學地耦合至該透鏡。The optical sensor system of claim 2, wherein the light source and the sensor are optically coupled to the lens through a fiber optic cable. 如請求項5所述之光學感應器系統,其中該光纖纜線包括一分離器。The optical sensor system of claim 5, wherein the optical fiber cable includes a splitter. 如請求項2所述之光學感應器系統,進一步包括: 一帶通濾波器,該帶通濾波器位於沿著該感應器與該開口之間的一光學路徑的一位置處。 The optical sensor system as described in claim 2 further includes: A bandpass filter located at a location along an optical path between the sensor and the opening. 如請求項2所述之光學感應器系統,其中該感應器為一光譜儀或一光電二極體。The optical sensor system as claimed in claim 2, wherein the sensor is a spectrometer or a photodiode. 如請求項2所述之光學感應器系統,其中該光源和該感應器被整合進該外殼。The optical sensor system of claim 2, wherein the light source and the sensor are integrated into the housing. 如請求項1所述之光學感應器系統,其中該外殼為透明的。The optical sensor system as claimed in claim 1, wherein the housing is transparent. 如請求項1所述之光學感應器系統,其中該反射器為可移除的。The optical sensor system of claim 1, wherein the reflector is removable. 一種用於一電漿處理腔室的光學感應陣列,包括: 複數個光學感應系統,該複數個光學感應系統繞著該電漿處理腔室的一周邊來定向,其中該光學感應系統通過該電漿處理腔室的一壁,其中該複數個光學感應系統之每一者包括: 一外殼,該外殼通過該電漿處理腔室的該壁; 一光學路徑,該光學路徑穿過該外殼,其中該光學路徑包括一第一端和一第二端; 一反射器,該反射器位於該光學路徑的該第一端處; 一透鏡,該透鏡在該反射器與該光學路徑的該第二端之間;及 一開口,該開口在該電漿處理腔室內穿過該外殼,其中該開口在該透鏡與該反射器之間。 An optical sensing array for a plasma processing chamber, comprising: A plurality of optical sensing systems oriented around a perimeter of the plasma processing chamber, wherein the optical sensing system passes through a wall of the plasma processing chamber, wherein one of the plurality of optical sensing systems Each includes: a housing passing through the wall of the plasma processing chamber; an optical path passing through the housing, wherein the optical path includes a first end and a second end; a reflector located at the first end of the optical path; a lens between the reflector and the second end of the optical path; and An opening passes through the housing within the plasma processing chamber, wherein the opening is between the lens and the reflector. 如請求項12所述之光學感應陣列,其中該複數個光學感應系統經配置以提供一電漿條件、一壁條件、或一電漿條件和一壁條件的均勻性資料。The optical sensing array of claim 12, wherein the plurality of optical sensing systems are configured to provide uniformity data of a plasma condition, a wall condition, or a plasma condition and a wall condition. 如請求項12所述之光學感應陣列,其中該複數個光學感應系統經配置以提供腔室飄移監視。The optical sensing array of claim 12, wherein the plurality of optical sensing systems are configured to provide chamber drift monitoring.
TW112137336A 2019-04-08 2020-04-08 Optical sensor system, method, and optical sensing array for in-situ optical chamber surface and process sensor TW202407302A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US16/378,271 2019-04-08

Publications (1)

Publication Number Publication Date
TW202407302A true TW202407302A (en) 2024-02-16

Family

ID=

Similar Documents

Publication Publication Date Title
TWI820327B (en) Optical sensor system, method, and optical sensing array for in-situ optical chamber surface and process sensor
KR102330413B1 (en) Temperature measuring method, substrate processing system and component to be provided in substrate processing apparatus of the substrate processing system
KR20150070025A (en) Wear amount measuring apparatus and method, temperature measuring apparatus and method and substrate processing system
JP7038621B2 (en) Position measuring device and position measuring method
JP7441944B2 (en) Process sensors and optical walls with plasma facing sensors
US10871396B2 (en) Optical emission spectroscopy calibration device and system including the same
TW202407302A (en) Optical sensor system, method, and optical sensing array for in-situ optical chamber surface and process sensor
TWI836157B (en) Optical sensor system, optical sensor, and plasma processing chamber
KR20180082185A (en) Method and apparatus of detecting an end point in the same
US11927543B2 (en) Multiple reflectometry for measuring etch parameters
US20200340858A1 (en) Plasma emission monitoring system with cross-dispersion grating
TW202414635A (en) Optical spectrum sensor wafer or robot for chamber condition ‎monitoring‎
US20230326726A1 (en) Optical spectrum sensor wafer or robot for chamber condition monitoring
US20230078567A1 (en) Transmission corrected plasma emission using in-situ optical reflectometry
KR20240054387A (en) Transmission-compensated plasma emission using in-situ optical reflectometry
JP2005093524A (en) Monitoring device and monitoring method