TW202406074A - Integrated circuit layout including standard cells and method to form the same - Google Patents

Integrated circuit layout including standard cells and method to form the same Download PDF

Info

Publication number
TW202406074A
TW202406074A TW111132741A TW111132741A TW202406074A TW 202406074 A TW202406074 A TW 202406074A TW 111132741 A TW111132741 A TW 111132741A TW 111132741 A TW111132741 A TW 111132741A TW 202406074 A TW202406074 A TW 202406074A
Authority
TW
Taiwan
Prior art keywords
standard
standard unit
integrated circuit
cell
circuit layout
Prior art date
Application number
TW111132741A
Other languages
Chinese (zh)
Inventor
陳建宏
陳瑞瑤
王偉任
吳昆源
陳建孚
許振賢
Original Assignee
聯華電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/868,770 external-priority patent/US20230097189A1/en
Application filed by 聯華電子股份有限公司 filed Critical 聯華電子股份有限公司
Publication of TW202406074A publication Critical patent/TW202406074A/en

Links

Images

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

A method for forming an integrated circuit layout including at least two standard cells having different cell heights is disclosed. The standard cells respectively have a well boundary to divide a PMOS region and an NMOS region. The standard cells are abutted side by side along their side edges in a way that the well boundaries of the cells are aligned along the row direction. The power rail and the ground rail of one of the standard cells are shifted to align and connect to the power rail and the ground rail of the other one of the standard cells.

Description

由標準單元構成之積體電路佈局及其形成方法Integrated circuit layout composed of standard cells and formation method thereof

本發明涉及積體電路技術領域,特別涉及一種使用標準單元形成積體電路佈局的方法以及由此獲得的積體電路佈局。The present invention relates to the technical field of integrated circuits, and in particular to a method of forming an integrated circuit layout using standard cells and the integrated circuit layout obtained thereby.

隨著效能提升及多種應用需求,積體電路(IC)的設計日趨複雜,動輒包含數十萬甚至上百萬個邏輯閘。為了設計上的方便,業界會將積體電路(IC)中常常使用到的特定功能先利用邏輯閘設計好,並且將它們製作成標準單元(Standard cell),例如反及閘(NAND)、反或閘(NOR)、D型正反器(D-Flip-Flop)、鎖存器(latch)、輸入輸出單元(I/O)、放大器(OP Amp)、類比數位轉換器(ADC)、數位類比轉換器(DAC)等。驗證標準單元的可製造性之後,接著將標準單元庫(Standard cell library)授權給晶片設計者,通過邏輯模擬器(logic simulators)、邏輯合成器(logic synthesizers)和自動佈局與繞線(automatic placement/routing) 等電子設計自動化(EDA)將這些標準單元排列組合出需要的電路功能。一般來說,電路設計過程通常包括使用硬體編程語言指定電路的功能,將生成的電路描述合成/映射到標準單元庫的基本邏輯閘,再根據門級網表(gate netlist)布置及With the improvement of performance and various application requirements, the design of integrated circuits (ICs) has become increasingly complex, often containing hundreds of thousands or even millions of logic gates. For the convenience of design, the industry will first design specific functions that are often used in integrated circuits (ICs) using logic gates, and make them into standard cells (Standard cells), such as NAND gates, NAND gates, and NAND gates. OR gate (NOR), D-type flip-flop (D-Flip-Flop), latch (latch), input/output unit (I/O), amplifier (OP Amp), analog-to-digital converter (ADC), digital Analog converter (DAC), etc. After verifying the manufacturability of the standard cell, the standard cell library is then licensed to chip designers through logic simulators, logic synthesizers and automatic placement. /routing) and other electronic design automation (EDA) arrange and combine these standard units to produce the required circuit functions. Generally speaking, the circuit design process usually includes using a hardware programming language to specify the function of the circuit, synthesizing/mapping the generated circuit description to the basic logic gates of the standard cell library, and then arranging and

局及連線,最後驗證佈局整體的連接性和功能。如此一來,可自動化且正確快速地建構出複雜的大型積體電路系統的佈局。Bureau and connections, and finally verify the overall connectivity and functionality of the layout. In this way, the layout of complex large-scale integrated circuit systems can be constructed automatically, accurately and quickly.

在先進技術中,為了改善積體電路的面積效率、速度和功耗,標準單元庫可提供具有不同尺寸功能組件(因而具有不同單元高度)的標準單元以供設計者根據設計需求選擇使用。然而,在同一電路區塊(routing block)內混用不同單元高度之標準單元時,常由於不同尺寸的圖案所造成的不規則性而降低了合成工具的效率,還容易產生違反設計規範之圖形而影響到製程良率。為了解決上述問題,目前業界普遍採用的方法是將不同單元高度的標準單元分別組成不同的電路區塊,再用金屬繞線完成電路區塊之間的電連接。然而,這不僅限制了設計靈活度,也由於金屬繞線長度增加而提高了功率損耗。In advanced technology, in order to improve the area efficiency, speed and power consumption of integrated circuits, standard cell libraries can provide standard cells with functional components of different sizes (and therefore different cell heights) for designers to choose and use according to design needs. However, when standard cells of different cell heights are mixed in the same circuit block (routing block), the efficiency of synthesis tools is often reduced due to irregularities caused by patterns of different sizes, and patterns that violate design specifications are easily produced. Affects process yield. In order to solve the above problems, a commonly used method in the industry is to form standard units of different unit heights into different circuit blocks, and then use metal windings to complete the electrical connections between the circuit blocks. However, this not only limits design flexibility, but also increases power loss due to the increased metal winding length.

因此,本領域仍需一種改良的包括混合高度之標準單元的積體電路佈局的及其形成方法,以改善前述習之技術之不足。Therefore, there is still a need in the art for an improved integrated circuit layout including standard cells of mixed heights and a method for forming the same, so as to improve the deficiencies of the aforementioned conventional technologies.

本發明目的在於提供一種包括混合高度之標準單元的積體電路佈局及其形成方法,其中混合高度之標準單元可分別選自不同的標準單元庫,或者選自同一個混合高度(mixed-height)標準單元庫。本發明可提高設計靈活度和佈局合成效率,且獲得之積體電路佈局具有較佳的可製造性。The object of the present invention is to provide an integrated circuit layout including mixed-height standard cells and a forming method thereof, wherein the mixed-height standard cells can be selected from different standard cell libraries, or selected from the same mixed-height (mixed-height). Standard cell library. The invention can improve design flexibility and layout synthesis efficiency, and the obtained integrated circuit layout has better manufacturability.

本發明一實施例提供了一種形成積體電路佈局的方法,包括以下步驟。首先,選取一第一標準單元以及一第二標準單元,該第一標準單元以及該第二標準單元具有不同單元高度,並且分別包括沿著一第一方向平行延伸的一電源線、一接地線,以及一井區邊界。兩主動區,具有相反導電型,設置在該電源線及該接地線之間且位於該井區邊界的兩側。一閘極線,沿著一第二方向延伸跨過該兩主動區,其中該第一方向與該第二方向垂直。接著,以該第一標準單元和該第二標準單元的該井區邊界沿著該第一方向對齊的方式鄰接該第一標準單元和該第二標準單元,獲得一暫時單元佈局。然後,沿著該第二方向移動該第二標準單元的該電源線和該接地線以與該第一標準單元的該電源線和該接地線沿著該第一方向對齊並且互相連接,從而由該暫時單元佈局形成該積體電路佈局。An embodiment of the present invention provides a method for forming an integrated circuit layout, including the following steps. First, select a first standard unit and a second standard unit. The first standard unit and the second standard unit have different unit heights, and respectively include a power line and a ground line extending in parallel along a first direction. , and the boundary of a well area. Two active areas, having opposite conductivity types, are arranged between the power line and the ground line and are located on both sides of the boundary of the well area. A gate line extends across the two active regions along a second direction, wherein the first direction is perpendicular to the second direction. Next, a temporary cell layout is obtained by adjoining the first standard cell and the second standard cell in such a manner that the well area boundaries of the first standard cell and the second standard cell are aligned along the first direction. Then, the power line and the ground line of the second standard unit are moved along the second direction to be aligned with the power line and the ground line of the first standard unit along the first direction and connected to each other, thereby The temporary cell layout forms the integrated circuit layout.

本發明另一實施例提供了一種積體電路佈局,包括一電源線以及一接地線,沿著一第一方向平行沿伸。一第一標準單元以及一第二標準單元,位在該電源線及該接地線之間並且互相鄰接。該第一標準單元以及該第二標準單元分別包括一上邊緣、一下邊緣,以及位於該上邊緣和下邊緣之間的一井區邊界,沿著該第一方向平行沿伸。兩主動區,具有相反導電型,設置在該井區邊界的兩側。一閘極線,沿著一第二方向延伸在該上邊緣與該下邊緣之間並且跨過該兩主動區,其中該第一方向與該第二方向垂直。其中,該第一標準單元的該上邊緣和該下邊緣之間的一第一單元高度不同於該第二標準單元的該上邊緣和該下邊緣之間的一第二單元高度,該第一標準單元的該井區邊界以及該第二標準單元的該井區邊界沿著該第一方向對齊。Another embodiment of the present invention provides an integrated circuit layout, including a power line and a ground line extending in parallel along a first direction. A first standard unit and a second standard unit are located between the power line and the ground line and adjacent to each other. The first standard unit and the second standard unit respectively include an upper edge, a lower edge, and a well area boundary located between the upper edge and the lower edge, extending in parallel along the first direction. Two active zones, with opposite conductivity types, are located on either side of the boundary of the well zone. A gate line extends between the upper edge and the lower edge and spans the two active regions along a second direction, wherein the first direction is perpendicular to the second direction. Wherein, a first unit height between the upper edge and the lower edge of the first standard unit is different from a second unit height between the upper edge and the lower edge of the second standard unit, and the first The well boundary of the standard unit and the well boundary of the second standard unit are aligned along the first direction.

為使熟習本發明所屬技術領域的一般技藝者能更進一步了解本發明,下文特列舉本發明的數個較佳實施例,並配合所附圖式,詳細說明本發明的構成內容及所欲達成的功效。在不悖離本發明的範圍內,可做結構、邏輯和電性上的修改,而應用在其他實施例上。本發明的各附圖只是示意圖,其詳細的比例可在不悖離本發明的情況下依照設計的需求進行調整。In order to enable those skilled in the technical field of the present invention to further understand the present invention, several preferred embodiments of the present invention are enumerated below, and together with the accompanying drawings, the composition and intended achievements of the present invention are described in detail. effect. Structural, logical and electrical modifications may be made and applied to other embodiments without departing from the scope of the present invention. Each drawing of the present invention is only a schematic diagram, and its detailed proportions can be adjusted according to design requirements without departing from the present invention.

為了便於說明特徵的空間關係,圖中示出了相互垂直的第一方向X和第二方向Y。除了附圖所示的方位,本發明的其他方位(例如旋轉90度或其他方位)也可以通過說明書中的空間相對描述來解釋。本文中,標準單元的「單元寬度」(cell width)定義為沿著第一方向X所截取之標準單元的鄰接框(或鄰接區)的兩側邊緣之間的寬度。標準單元的「單元高度」(cell height)定義為沿著第二方向Y所截取之標準單元的鄰接框(abutment box)或鄰接區的上邊緣和下邊緣之間的高度。電源線(power rail)或接地線(ground rail)的寬度定義為沿著第二方向Y所截取之寬度。在一些實施例中,電源線和接地線的寬度可大致上為一個軌寬(track width)。單元高度和單元寬度也可用軌道的數量來描述,例如7T、8T或9T。In order to facilitate the explanation of the spatial relationship of the features, the first direction X and the second direction Y which are perpendicular to each other are shown in the figure. In addition to the orientation shown in the drawings, other orientations of the invention (eg, rotated 90 degrees or other orientations) may also be explained by the spatially relative description in the specification. Herein, the "cell width" of a standard unit is defined as the width between the two edges of the adjacent frame (or adjacent area) of the standard unit taken along the first direction X. The "cell height" of a standard unit is defined as the height between the upper edge and the lower edge of the abutment box or adjacent area of the standard unit taken along the second direction Y. The width of the power rail or ground rail is defined as the width cut along the second direction Y. In some embodiments, the width of the power and ground lines may be approximately one track width. Unit height and unit width can also be described by the number of tracks, such as 7T, 8T or 9T.

實施例的標準單元的閘極線的數量僅為舉例,在不悖離本發明的範圍情況下,可做數量上的修改而應用在其他實施例上,且可以是單數或複數個。The number of gate lines of the standard unit in the embodiment is only an example. The number can be modified and applied to other embodiments without departing from the scope of the present invention, and the number can be singular or plural.

圖1為根據本發明一實施例之積體電路佈局10的部分區域平面示意圖,說明具有不同單元高度的標準單元是如何按列(row)設置。圖1示例性地繪示出積體電路佈局10的其中4個元件列,其中各元件列是沿第一方向X延伸,且元件列的列邊緣BN互相鄰接。第一方向X也稱為列方向(row direction)。如圖1所示,各元件列具有相同的列高RH,也就是說列邊緣BN之間是等距的。標準單元設置在各元件列內,並且分別與連續地沿著列邊緣BN延伸通過積體電路佈局10的電壓線(例如電源線和接地線)電連接。 值得注意的是,積體電路布圖10的組成標準單元可具有不同的單元高度,且各元件列的列高RH大致上等於組成標準單元之最大的單元高度。FIG. 1 is a partial plan view of an integrated circuit layout 10 according to an embodiment of the present invention, illustrating how standard cells with different cell heights are arranged in rows. FIG. 1 schematically illustrates four element columns of the integrated circuit layout 10 , wherein each element column extends along the first direction X, and the column edges BN of the element columns are adjacent to each other. The first direction X is also called a row direction. As shown in Figure 1, each element column has the same column height RH, which means that the column edges BN are equidistant. The standard cells are arranged in each element column and are respectively electrically connected to voltage lines (such as power lines and ground lines) extending continuously along the column edge BN through the integrated circuit layout 10 . It is worth noting that the standard cells constituting the integrated circuit layout 10 may have different cell heights, and the column height RH of each element column is substantially equal to the maximum cell height constituting the standard cells.

舉例來說,如圖1所示,積體電路佈局10可以包括設置在相同元件列內且具有不同單元高度的標準單元12和標準單元14,其分別可選自特定邏輯功能的不同標準單元庫(cell library)或者同一個混合高度(mixed-height)標準單元庫。標準單元12的鄰接框包括一上邊緣12a、一下邊緣12b以及兩個側邊緣12c,其中標準單元12的單元高度H12定義為上邊緣12a和下邊緣12b之間的距離,標準單元12的單元寬度W12定義為兩個側邊緣12c之間的距離。類似的,標準單元14的鄰接框包括一上邊緣14a、一下邊緣14b以及兩個側邊緣14c,其中標準單元14的單元高度H14定義為上邊緣14a和下邊緣14b之間的距離,標準單元14的單元寬度W14定義為兩個側邊緣14c之間的距離。標準單元12和標準單元14以側邊緣12c和側邊緣14c重疊的方式鄰接,藉此可減少兩者之間產生閒置區域。標準單元12和標準單元14可具有相同的邏輯功能(例如AND、NAND、OR、NOR、反相器、或正反器,但不限於此)但不同的單元高度,用以設置不同尺寸的功能組件來提供不同的元件效能。根據本發明一實施例,標準單元12的單元高度H12大於標準單元14的單元高度H14,並且大致上等於元件列的列高RH。因此,標準單元12的上邊緣12a和下邊緣12b會與列邊緣BN重疊,而標準單元14的上邊緣14a和下邊緣14b則與列邊緣BN間隔相同或不同的距離。標準單元12和標準單元14各自的功能組件電連接至同一條沿著該元件列的頂部列邊緣BN及/或底部列邊緣BN延伸的電源線及/或接地線。For example, as shown in FIG. 1 , the integrated circuit layout 10 may include standard cells 12 and 14 disposed within the same element column and having different cell heights, which can each be selected from different standard cell libraries for specific logic functions. (cell library) or the same mixed-height standard cell library. The adjacent frame of the standard unit 12 includes an upper edge 12a, a lower edge 12b and two side edges 12c, where the unit height H12 of the standard unit 12 is defined as the distance between the upper edge 12a and the lower edge 12b, and the unit width of the standard unit 12 W12 is defined as the distance between the two side edges 12c. Similarly, the adjacent frame of the standard unit 14 includes an upper edge 14a, a lower edge 14b and two side edges 14c, where the unit height H14 of the standard unit 14 is defined as the distance between the upper edge 14a and the lower edge 14b. The standard unit 14 The cell width W14 is defined as the distance between the two side edges 14c. The standard unit 12 and the standard unit 14 are adjacent in such a manner that the side edges 12c and 14c overlap, thereby reducing the idle area between the two. The standard cell 12 and the standard cell 14 may have the same logic function (such as AND, NAND, OR, NOR, inverter, or flip-flop, but are not limited thereto) but different cell heights to provide different sized functions. components to provide different component performance. According to an embodiment of the present invention, the unit height H12 of the standard unit 12 is greater than the unit height H14 of the standard unit 14 and is substantially equal to the column height RH of the element column. Therefore, the upper edge 12 a and the lower edge 12 b of the standard unit 12 overlap the column edge BN, while the upper edge 14 a and the lower edge 14 b of the standard unit 14 are spaced apart from the column edge BN by the same or different distances. The respective functional components of the standard unit 12 and the standard unit 14 are electrically connected to the same power line and/or ground line extending along the top column edge BN and/or the bottom column edge BN of the element column.

積體電路佈局10可以包括另一標準單元16設置在相鄰於標準單元12的下邊緣12b的另一元件列中。標準單元16可以選自另一個標準單元庫、標準單元12的標準單元庫、標準單元14的標準單元庫,或者與標準單元12和標準單元14同一個混合高度(mixed-height)標準單元庫。在一些實施例中,標準單元16的單元高度可等於列高RH,此時標準單元16的鄰接框的上邊緣和下邊緣與列邊緣BN重疊,還可沿著標準單元12的鄰接框的下邊緣12b鄰接在標準單元12一側。在另一些實施例中,標準單元16的單元高度可小於列高RH(類似於標準單元14),此時標準單元16的鄰接框的上邊緣和下邊緣分別與列邊緣BN間隔相同或不同間距,並且與標準單元12的鄰接框分隔開,不接觸。在一些實施例中,標準單元12和標準單元16的功能組件可電連接至沿著兩者之間的列邊緣BN延伸的同一條電源線(或者同一條接地線,由標準單元的設置方位決定),如此一來可提高積體電路佈局10的空間效率,獲得更緊密排列的陣列。The integrated circuit layout 10 may include another standard cell 16 disposed in another element column adjacent the lower edge 12 b of the standard cell 12 . Standard unit 16 may be selected from another standard unit library, a standard unit library of standard unit 12 , a standard unit library of standard unit 14 , or the same mixed-height standard unit library as standard unit 12 and standard unit 14 . In some embodiments, the cell height of the standard unit 16 may be equal to the column height RH, when the upper and lower edges of the adjoining frame of the standard unit 16 overlap the column edge BN, and may also be along the lower edge of the adjoining frame of the standard unit 12 . The edge 12b adjoins the standard unit 12 side. In other embodiments, the unit height of the standard unit 16 may be smaller than the column height RH (similar to the standard unit 14 ), in which case the upper and lower edges of the adjoining frame of the standard unit 16 are spaced at the same or different distances from the column edge BN, respectively. , and are separated from the adjacent frame of the standard unit 12 and do not touch. In some embodiments, the functional components of standard unit 12 and standard unit 16 may be electrically connected to the same power line (or the same ground line, depending on the orientation of the standard unit) extending along the column edge BN between them. ), thereby improving the space efficiency of the integrated circuit layout 10 and obtaining a more densely arranged array.

請參考圖2至圖5以及圖9。圖2所繪示為根據本發明一實施例之使用具有不同單元高度的標準單元來形成積體電路佈局的方法流程圖。圖3至圖5所繪示為使用圖2之方法來鄰接具有不同單元高度的第一標準單元Cell-A和第二標準單元Cell-B以形成一積體電路佈局的步驟示意圖。圖9為圖5所示積體電路佈局的電路圖。圖2所示的方法可以在一電子設計自動化(EDA)環境中執行。Please refer to Figures 2 to 5 and Figure 9. FIG. 2 illustrates a flowchart of a method for forming an integrated circuit layout using standard cells with different cell heights according to an embodiment of the present invention. 3 to 5 illustrate the steps of using the method of FIG. 2 to adjoin the first standard cell Cell-A and the second standard cell Cell-B with different cell heights to form an integrated circuit layout. FIG. 9 is a circuit diagram of the integrated circuit layout shown in FIG. 5 . The method shown in Figure 2 can be performed in an electronic design automation (EDA) environment.

首先,進行步驟22,根據設計好的一積體電路門級網表(gate netlist)選取一第一標準單元和一第二標準單元。該第一標準單元和該第二標準單元具有相同的邏輯功能但不同的單元高度以及不同的元件效能。舉例來說,如圖3所示,第一標準單元Cell-A和第二標準單元Cell-B可為反向器,其中第一標準單元Cell-A的鄰接框(以粗虛線框表示)包括沿著第一方向X平行延伸的上邊緣A1和下邊緣A2以及兩個沿著第二方向Y平行延伸的側邊緣A3。第一標準單元Cell-A具有由上邊緣A1和下邊緣A2定義的單元高度H1,以及由兩個側邊緣A3定義的單元寬度W1。第一標準單元Cell-A的中心線114沿第一方向X延伸穿過鄰接框的中心,而將鄰接框均分成相等的兩部分(上半部和下半部)。換言之,中心線114至上邊緣A1和下邊緣A2的距離相同。兩個具有相反導電型的主動區120p和主動區120n分別設置在鄰接框的上半部和下半部中。閘極線130沿第二方向Y延伸並與且跨過主動區120p和主動區120n。平行於閘極線130的兩條虛設閘極線132分別沿著兩個側邊緣A3設置在主動區120p和主動區120n的兩側。根據本發明一實施例,閘極線130與虛設閘極線132在第二方向Y上具有相同長度,且閘極線130與虛設閘極線132的端部沿著第一方向X切齊。第一標準單元Cell-A還可包括一井區116,重疊鄰接框的上半部和主動區120p。如圖3所示,井區116的井區邊界116a可以與鄰接框的中心線114重疊。根據本發明一實施例,主動區120p的導電型為p型,主動區120n的導電型為為n型。閘極線130和主動區120p的重疊區域形成p型金屬氧化物半導體電晶體(PMOS)。閘極線130和主動區120n的重疊區域形成n型金屬氧化物半導體電晶體(NMOS)。主動區120p和主動區120n位於閘極線130左側(接近導電連接件142和導電連接件152之側)的部分是PMOS和NMOS的源極區S,主動區120p和主動區120n位於閘極線130右側的部分則是PMOS和NMOS的汲極區D。製造積體電路時,井區116用於在p導電型的基底中定義一n型井區,以用來設置p型的主動區120p。First, step 22 is performed to select a first standard unit and a second standard unit according to a designed integrated circuit gate netlist. The first standard cell and the second standard cell have the same logic function but different cell heights and different device performance. For example, as shown in Figure 3, the first standard cell Cell-A and the second standard cell Cell-B may be inverters, where the adjacent frame (indicated by a thick dotted line frame) of the first standard cell Cell-A includes An upper edge A1 and a lower edge A2 extending in parallel along the first direction X and two side edges A3 extending in parallel along the second direction Y. The first standard cell Cell-A has a cell height H1 defined by the upper edge A1 and a lower edge A2, and a cell width W1 defined by the two side edges A3. The center line 114 of the first standard cell Cell-A extends through the center of the adjacent frame along the first direction X, thereby dividing the adjacent frame into two equal parts (the upper half and the lower half). In other words, the distance from the center line 114 to the upper edge A1 and the lower edge A2 is the same. Two active regions 120p and 120n having opposite conductivity types are respectively provided in the upper and lower halves of the adjacent frame. The gate line 130 extends along the second direction Y and spans the active region 120p and the active region 120n. Two dummy gate lines 132 parallel to the gate line 130 are respectively provided on both sides of the active area 120p and the active area 120n along the two side edges A3. According to an embodiment of the present invention, the gate line 130 and the dummy gate line 132 have the same length in the second direction Y, and the ends of the gate line 130 and the dummy gate line 132 are aligned along the first direction X. The first standard cell Cell-A may also include a well area 116 overlapping the upper half of the adjacent frame and the active area 120p. As shown in FIG. 3, the well boundary 116a of the well 116 may overlap the centerline 114 of the adjacent frame. According to an embodiment of the present invention, the conductivity type of the active region 120p is p-type, and the conductivity type of the active region 120n is n-type. The overlapping area of the gate line 130 and the active region 120p forms a p-type metal oxide semiconductor transistor (PMOS). The overlapping area of the gate line 130 and the active region 120n forms an n-type metal oxide semiconductor transistor (NMOS). The part of the active area 120p and the active area 120n located on the left side of the gate line 130 (close to the side of the conductive connector 142 and the conductive connector 152) is the source area S of PMOS and NMOS. The active area 120p and the active area 120n are located on the gate line. The part on the right side of 130 is the drain region D of PMOS and NMOS. When manufacturing an integrated circuit, the well region 116 is used to define an n-type well region in a p-conductivity type substrate to set the p-type active region 120p.

第一標準單元Cell-A還包括沿第一方向X延伸且分別設置在上邊緣A1和下邊緣A2上的電源線140和接地線150,兩者的寬度(沿著第二方向Y所截取之寬度)可相同或不同。根據本發明一實施例,將電源線140分成兩等份的電源線140的中心線140a可以與上邊緣A1完全重疊。將接地線150分成兩等分的接地線150的中心線150a可以與下邊緣A2完全重疊。The first standard cell Cell-A also includes a power line 140 and a ground line 150 extending along the first direction X and respectively provided on the upper edge A1 and the lower edge A2. width) can be the same or different. According to an embodiment of the present invention, the center line 140a of the power line 140 that divides the power line 140 into two equal parts may completely overlap the upper edge A1. The center line 150a of the ground line 150 which bisects the ground line 150 may completely overlap the lower edge A2.

第一標準單元Cell-A還包括導電連接件和接觸插塞,用於將電晶體電連接至電源線和接地線,以實現第一標準單元Cell-A的邏輯功能。詳細來說,如圖3右側所示,導電連接件142和導電連接件152設置在閘極線130的同側,分別連接在電源線140和接地線150的邊緣上,且分別與主動區120p和主動區120n的源極區S部分重疊。導電連接件162a設置在閘極線130的另一側,並且與主動區120p和主動區120n的汲極區D部分重疊。導電連接件162b與導電連接件142和導電連接件152設置在同側,並且包括與閘極線130的中間部分重疊的一凸塊。多個接觸插塞118,分別將主動區120p的源極區S電連接至導電連接件142和電源線140、將主動區120n的源極區S電連接至導電連接件152和接地線150、將閘極線130電連接至導電連接件162b,以及將主動區120p和主動區120n的汲極區D電連接至導電連接件162a。根據本發明一實施例,電源線140、接地線150以及導電連接件142、152、162a、162b是佈局在同一個佈局層上,例如第一金屬層。根據本發明一實施例,相較於第二標準單元Cell-B,第一標準單元Cell-A為具有較大動態電流和較快速度的高性能單元。The first standard cell Cell-A also includes a conductive connector and a contact plug for electrically connecting the transistor to the power line and the ground line to implement the logic function of the first standard cell Cell-A. Specifically, as shown on the right side of Figure 3, the conductive connector 142 and the conductive connector 152 are disposed on the same side of the gate line 130, are respectively connected to the edges of the power line 140 and the ground line 150, and are respectively connected to the active area 120p. It partially overlaps with the source region S of the active region 120n. The conductive connection 162a is disposed on the other side of the gate line 130 and partially overlaps the drain region D of the active region 120p and the active region 120n. The conductive connector 162 b is disposed on the same side as the conductive connector 142 and the conductive connector 152 and includes a bump that overlaps the middle portion of the gate line 130 . A plurality of contact plugs 118 electrically connect the source region S of the active region 120p to the conductive connector 142 and the power line 140, and electrically connect the source region S of the active region 120n to the conductive connector 152 and the ground wire 150. The gate line 130 is electrically connected to the conductive connection 162b, and the drain region D of the active region 120p and the active region 120n is electrically connected to the conductive connection 162a. According to an embodiment of the present invention, the power line 140, the ground line 150 and the conductive connectors 142, 152, 162a, 162b are laid out on the same layout layer, such as the first metal layer. According to an embodiment of the present invention, compared with the second standard cell Cell-B, the first standard cell Cell-A is a high-performance unit with larger dynamic current and faster speed.

請參考圖3左側。第二標準單元Cell-B與第一標準單元Cell-A相同,鄰接框(以粗虛線框表示)包括一上邊緣B1、一下邊緣B2、兩個側邊緣A3,以及單元高度H2和單元寬度W2。第二標準單元Cell-B的中心線214沿著第一方向X延伸穿過第二標準單元Cell-B的鄰接框的中心。具有p導電型的主動區220p和n導電型的主動區220n分別設置在中心線214的兩側,閘極線230沿著第二方向Y延伸跨過主動區220p和主動區220n,分別形成NMOS和PMOS。兩條虛設閘極線232位於主動區120p和主動區120n的兩側。閘極線230和虛設閘極線232沿著第二方向Y可具有相同的長度,端部可以沿著第一方向X彼此切齊。井區216重疊第二標準單元Cell-B的鄰接框的上半部,且井區邊界216a可與中心線214重疊。電源線240和接地線250分別設置在上邊緣B1 和下邊緣 B2上。將電源線240分成兩等份的電源線240的中心線240a可以與上邊緣B1完全重疊。將接地線250分成兩等份的接地線250的中心線250a可以與下邊緣B2完全重疊。第二標準單元Cell-B的電源線240可以與第一標準單元Cell-A的電源線140具有相同的寬度。第二標準單元Cell-B的接地線250可以與第一標準單元Cell-A的接地線150具有相同的寬度。第二標準單元Cell-B還包括多個導電連接件242、252、262a、262b和接觸插塞218,用於將電晶體電連接至電源線240和接地線250,以實現第二標準單元Cell-B的邏輯功能。第二標準單元Cell-B的其他詳細描述可參考前文對關於第一標準單元Cell-A的描述,為了簡化說明,在此不再贅述。根據本發明一實施例,相較於第一標準單元Cell-A,第二標準單元Cell-B是具有較佳空間效率和更低功率洩漏的低功率單元。在一些實施例中,如圖3所示,沿著第二方向Y,第二標準單元Cell-B的主動區220p的寬度、主動區220n的寬度以及閘極線230的長度分別小於第一標準單元Cell-A的主動區220p的寬度、主動區220n的寬度以及閘極線130的長度。第二標準單元Cell-B的單元高度H2小於第一標準單元Cell-A的單元高度H1。Please refer to the left side of Figure 3. The second standard cell Cell-B is the same as the first standard cell Cell-A. The adjacent frame (indicated by a thick dotted frame) includes an upper edge B1, a lower edge B2, two side edges A3, as well as a cell height H2 and a cell width W2. . The center line 214 of the second standard cell Cell-B extends along the first direction X through the center of the adjoining frame of the second standard cell Cell-B. The active region 220p with p conductivity type and the active region 220n with n conductivity type are respectively disposed on both sides of the center line 214. The gate line 230 extends along the second direction Y across the active region 220p and the active region 220n to form NMOS respectively. and PMOS. Two dummy gate lines 232 are located on both sides of the active area 120p and the active area 120n. The gate line 230 and the dummy gate line 232 may have the same length along the second direction Y, and the ends may be flush with each other along the first direction X. The well area 216 overlaps the upper half of the adjacent frame of the second standard cell Cell-B, and the well area boundary 216a may overlap the centerline 214. The power line 240 and the ground line 250 are provided on the upper edge B1 and the lower edge B2 respectively. The center line 240a of the power line 240 that divides the power line 240 into two equal parts may completely overlap the upper edge B1. The center line 250a of the ground wire 250 that divides the ground wire 250 into two equal parts may completely overlap the lower edge B2. The power line 240 of the second standard cell Cell-B may have the same width as the power line 140 of the first standard cell Cell-A. The ground line 250 of the second standard cell Cell-B may have the same width as the ground line 150 of the first standard cell Cell-A. The second standard cell Cell-B also includes a plurality of conductive connectors 242, 252, 262a, 262b and contact plugs 218 for electrically connecting the transistor to the power line 240 and the ground line 250 to implement the second standard cell Cell-B. -B logic function. For other detailed descriptions of the second standard unit Cell-B, please refer to the foregoing description of the first standard unit Cell-A. To simplify the description, they will not be described again. According to an embodiment of the present invention, compared with the first standard cell Cell-A, the second standard cell Cell-B is a low-power unit with better space efficiency and lower power leakage. In some embodiments, as shown in FIG. 3 , along the second direction Y, the width of the active area 220p, the width of the active area 220n and the length of the gate line 230 of the second standard cell Cell-B are respectively smaller than the first standard cell. The width of the active area 220p, the width of the active area 220n, and the length of the gate line 130 of the cell Cell-A. The cell height H2 of the second standard cell Cell-B is smaller than the cell height H1 of the first standard cell Cell-A.

接著,進行步驟24,鄰接該第一標準單元和該第二標準單元,獲得一暫時單元佈局。如圖4所示,第一標準單元Cell-A和第二標準單元Cell-B是以第一標準單元Cell-A的側邊緣A3和第二標準單元Cell-B的側邊緣B3互相重疊且第一標準單元Cell-A的井區邊界116a和第二標準單元Cell-B的井區邊界216a沿第一方向X互相對齊的方式相鄰接,從而獲得一暫時單元佈局10A。根據本發明一實施例,位於重疊的側邊緣A3、B3上的虛設閘極線132和虛設閘極線232互相重疊並結合而形成一共用的虛設閘極線332。根據本發明一實施例,虛設閘極線332與閘極線130和閘極線230間隔相同的間距。根據本發明一實施例,虛設閘極線332與閘極線130沿著第二方向Y可具有相同長度,且端部沿著第一方向X切齊。Next, step 24 is performed to adjoin the first standard cell and the second standard cell to obtain a temporary cell layout. As shown in Figure 4, the first standard cell Cell-A and the second standard cell Cell-B overlap each other with the side edge A3 of the first standard cell Cell-A and the side edge B3 of the second standard cell Cell-B. The well area boundary 116a of a standard cell Cell-A and the well area boundary 216a of the second standard cell Cell-B are adjacent in a manner aligned with each other along the first direction X, thereby obtaining a temporary cell layout 10A. According to an embodiment of the present invention, the dummy gate lines 132 and the dummy gate lines 232 located on the overlapping side edges A3 and B3 overlap and combine with each other to form a common dummy gate line 332. According to an embodiment of the present invention, the dummy gate line 332 is spaced at the same distance from the gate line 130 and the gate line 230 . According to an embodiment of the present invention, the dummy gate line 332 and the gate line 130 may have the same length along the second direction Y, and their ends may be aligned along the first direction X.

接著,進行步驟26,由該暫時單元佈局形成該積體電路佈局。如圖4和圖5所示,鄰接第一標準單元Cell-A和第二標準單元Cell-B之後,識別出第二標準單元Cell-B的電源線240和接地線250,然後沿著第二方向Y分別將兩者移動距離P1和距離P2 (如圖 4 所示),直到已移位的第二標準單元Cell-B的電源線 240' 的中心線 240a' 與第一標準單元Cell-A的電源線 140 的中心線 140a 沿著第一方向X對齊,已移位的第二標準單元Cell-B的接地線 250' 的中心線 250a' 與第一標準單元Cell-A的接地線150的中心線150a沿著第一方向X對齊。因此,第二標準單元Cell-B的電源線240'和接地線250'分別可平順地連接到第一標準單元Cell-A的電源線140和接地線150,獲得連續延伸的電源線340和接地線350。根據本發明一實施例,距離P1和距離P2相同。當第二標準單元Cell-B的電源線240和接地線250移動時,導電連接件242和導電連接件252須沿著第二方向Y延伸,以保持與已移位之電源線240'和接地線250'連接,而第二標準單元Cell-B的接觸插塞118則保持在原位未移動。根據本發明一實施例,已延長之導電連接件242的長度L1與已延長導電連接件252的長度L2可以相同。導電連接件142的長度L3可等於或不同於導電連接件242和導電連接件252的長度L1、L2。完成步驟26之後,即獲得本發明一實施例之積體電路佈局10B。Next, step 26 is performed to form the integrated circuit layout from the temporary cell layout. As shown in Figures 4 and 5, after being adjacent to the first standard cell Cell-A and the second standard cell Cell-B, the power line 240 and the ground line 250 of the second standard cell Cell-B are identified, and then along the second Direction Y moves the two distances P1 and P2 respectively (as shown in Figure 4) until the center line 240a' of the power line 240' of the shifted second standard unit Cell-B is in contact with the first standard unit Cell-A. The center line 140a of the power line 140 is aligned along the first direction Centerline 150a is aligned along the first direction X. Therefore, the power line 240' and the ground line 250' of the second standard cell Cell-B can be smoothly connected to the power line 140 and the ground line 150 of the first standard cell Cell-A, respectively, to obtain a continuously extending power line 340 and ground. Line 350. According to an embodiment of the present invention, the distance P1 and the distance P2 are the same. When the power line 240 and the ground line 250 of the second standard unit Cell-B move, the conductive connector 242 and the conductive connector 252 must extend along the second direction Y to maintain connection with the shifted power line 240' and ground. The wire 250' is connected, while the contact plug 118 of the second standard cell Cell-B remains in place without moving. According to an embodiment of the present invention, the length L1 of the extended conductive connecting member 242 and the length L2 of the extended conductive connecting member 252 may be the same. The length L3 of the conductive connector 142 may be equal to or different from the lengths L1 , L2 of the conductive connectors 242 and 252 . After completing step 26, the integrated circuit layout 10B according to an embodiment of the present invention is obtained.

接著,進行步驟28,驗證積體電路佈局10B的連接性和電路功能,通過驗證後再將積體電路佈局10B輸出為製造積體電路晶片的製程所使用的一組光罩。Next, step 28 is performed to verify the connectivity and circuit function of the integrated circuit layout 10B. After passing the verification, the integrated circuit layout 10B is output as a set of photomasks used in the process of manufacturing integrated circuit wafers.

請參考圖9,為圖5所示積體電路佈局10B的電路圖。第一標準單元Cell-A和第二標準單元Cell-B串接,且分別包括一p型金屬氧化物半導體電晶體(PMOS) T1和一n型金屬氧化物半導體電晶體(NMOS) T2。在各單元中,PMOS T1和NMOS T2的閘極相互連接並且是單元的輸入端,電連接至一輸入電壓Vin。PMOS T1和NMOS T2的汲極區D彼此耦合並且是單元的輸出端,電連接至一輸出電壓Vout。 PMOS T1的源極區S電連接電源線Vdd,NMOS T2的源極區S電連接接地線Vss。第二標準單元Cell-B的輸出端是第一標準單元Cell-A的輸入端。電源線Vdd電連接至一高電位或一操作電壓。接地線Vss電連接至一低電位、一參考電壓,或一接地電壓。Please refer to FIG. 9 , which is a circuit diagram of the integrated circuit layout 10B shown in FIG. 5 . The first standard cell Cell-A and the second standard cell Cell-B are connected in series, and respectively include a p-type metal oxide semiconductor transistor (PMOS) T1 and an n-type metal oxide semiconductor transistor (NMOS) T2. In each unit, the gates of PMOS T1 and NMOS T2 are connected to each other and are the input terminals of the units, electrically connected to an input voltage Vin. The drain regions D of the PMOS T1 and the NMOS T2 are coupled to each other and are the output terminals of the cells, electrically connected to an output voltage Vout. The source region S of the PMOS T1 is electrically connected to the power line Vdd, and the source region S of the NMOS T2 is electrically connected to the ground line Vss. The output terminal of the second standard cell Cell-B is the input terminal of the first standard cell Cell-A. The power line Vdd is electrically connected to a high potential or an operating voltage. The ground wire Vss is electrically connected to a low potential, a reference voltage, or a ground voltage.

值得注意的是,在圖3和圖5實施例中,由於第一標準單元Cell-A的中心線114和第二標準單元Cell-B的中心線214對齊,因此電源線240移動之距離P1會等於接地線250移動之距離P2。此時,電源線240'與第二標準單元Cell-B的上邊緣B1之間的距離D1等於接地線250'與第二標準單元Cell-B的下邊緣B2之間的距離D2,並且大於電源線140與第一標準單元Cell-A的上邊緣A1之間的距離D3。在一些實施例中,鄰近且平行於井區邊界116a和216a且為相同導電型(例如p型)之主動區120p的邊緣a1和主動區220p的邊緣b1可沿著第一方向X對齊,例如沿著切線I對齊。在一些實施例中,鄰近且平行於井區邊界116a和216a且為相同導電類(例如n型)的主動區120n的邊緣a2和主動區220n的邊緣b2可以沿著第一方向X對齊,例如沿著切線II對齊。It is worth noting that in the embodiments of FIGS. 3 and 5 , since the center line 114 of the first standard cell Cell-A is aligned with the center line 214 of the second standard cell Cell-B, the distance P1 moved by the power line 240 will It is equal to the distance P2 moved by the ground wire 250. At this time, the distance D1 between the power line 240' and the upper edge B1 of the second standard cell Cell-B is equal to the distance D2 between the ground line 250' and the lower edge B2 of the second standard cell Cell-B, and is greater than the distance D1 between the power line 240' and the upper edge B1 of the second standard cell Cell-B. The distance D3 between the line 140 and the upper edge A1 of the first standard cell Cell-A. In some embodiments, the edge a1 of the active region 120p and the edge b1 of the active region 220p that are adjacent to and parallel to the well region boundaries 116a and 216a and are of the same conductivity type (eg, p-type) may be aligned along the first direction X, such as Align along tangent line I. In some embodiments, edge a2 of active region 120n and edge b2 of active region 220n that are adjacent and parallel to well region boundaries 116a and 216a and are of the same conductivity type (eg, n-type) may be aligned along the first direction X, such as Align along tangent line II.

下文將針對本發明的不同實施例進行說明。為簡化說明,以下說明主要描述各實施例不同之處,而不再對相同之處作重覆贅述。各實施例中相同之元件係以相同之標號進行標示,以利於各實施例間互相對照。Different embodiments of the invention will be described below. To simplify the description, the following description mainly describes the differences between the embodiments, and does not repeat the similarities. The same components in each embodiment are labeled with the same reference numerals to facilitate comparison between the embodiments.

在一些實施例中,標準單元的n型主動區和p型主動區可以具有不同的井區包圍/間距(enclosure/space)規範,造成井區邊界與標準單元的中心線不重疊的情況。舉例來說,請參考圖6所示之根據本發明一實施例的積體電路佈局10C平面示意圖,其與圖5的積體電路佈局10B的主要差異在於,第一標準單元Cell-A的井區邊界116a和第二標準單元Cell-B的井區邊界216a均不重疊於中心線114和214,其他特徵則大致上相同。更具體地說,井區邊界116a位於中心線114靠近第一標準單元Cell-A的主動區120p的一側,並且沿著第一方向X延伸。井區邊界216a位於中心線214靠近第二標準單元Cell-B的主動區220p的一側,並且沿著第一方向X延伸。如圖6所示,在一些實施例中井區邊界116a與中心線114的間距和井區邊界216a與中心線214的間距可以相同,因此以對齊井區邊界116a和井區邊界216a的方式來鄰接第一標準單元Cell-A和第二標準單元Cell-B後,中心線114和中心線214也可沿著第一方向X對齊,延長後的導電連接件242的長度L1等於延長後的導電連接件252的長度L2,導電連接件142的長度L3可以等於或不等於L1和L2。In some embodiments, the n-type active region and the p-type active region of a standard cell may have different well enclosure/space specifications, resulting in a situation where the well boundary does not overlap with the centerline of the standard cell. For example, please refer to the schematic plan view of the integrated circuit layout 10C according to an embodiment of the present invention shown in Figure 6. The main difference between it and the integrated circuit layout 10B of Figure 5 is that the well of the first standard cell Cell-A Neither the zone boundary 116a nor the well zone boundary 216a of the second standard cell Cell-B overlaps the center lines 114 and 214, and other features are substantially the same. More specifically, the well area boundary 116a is located on a side of the center line 114 close to the active area 120p of the first standard cell Cell-A, and extends along the first direction X. The well area boundary 216a is located on a side of the center line 214 close to the active area 220p of the second standard cell Cell-B, and extends along the first direction X. As shown in FIG. 6 , in some embodiments, the spacing between the well boundary 116 a and the center line 114 and the spacing between the well boundary 216 a and the center line 214 may be the same, so that the well boundary 116 a and the well boundary 216 a are adjacent in a aligned manner. After the first standard cell Cell-A and the second standard cell Cell-B, the center line 114 and the center line 214 can also be aligned along the first direction X, and the length L1 of the extended conductive connector 242 is equal to the extended conductive connection. The length L2 of member 252 and the length L3 of conductive connection member 142 may or may not be equal to L1 and L2.

請參考圖7,為根據本發明一實施例的積體電路佈局10D平面示意圖,其與圖5的積體電路佈局10B的主要差異在於,第二標準單元Cell-B的井區邊界216a不重疊於中心線214,其他特徵則大致上相同。更具體地說,井區邊界116a與第一標準單元Cell-A的中心線114重疊,而井區邊界216a則是位於中心線214靠近第二標準單元Cell-B的主動區220p的一側,並且沿著第一方向X延伸。以對齊井區邊界116a和井區邊界216a的方式來鄰接第一標準單元Cell-A和第二標準單元Cell-B後,中心線114和中心線214在第一方向X上包括一錯位,且電源線240所移動的距離P1大於接地線250所移動的距離P2(P1和P2如圖4所示),延長後的導電連接件242的長度L1大於延長後的導電連接件252的長度L2。在一些實施例中,L1也大於導電連接件142的長度L3。Please refer to FIG. 7 , which is a schematic plan view of an integrated circuit layout 10D according to an embodiment of the present invention. The main difference between it and the integrated circuit layout 10B of FIG. 5 is that the well boundary 216a of the second standard cell Cell-B does not overlap. At center line 214, other features are generally the same. More specifically, the well area boundary 116a overlaps the centerline 114 of the first standard cell Cell-A, and the well area boundary 216a is located on the side of the centerline 214 close to the active area 220p of the second standard cell Cell-B. and extends along the first direction X. After the first standard cell Cell-A and the second standard cell Cell-B are adjacent to each other in a manner that aligns the well boundary 116a and the well boundary 216a, the centerline 114 and the centerline 214 include an offset in the first direction X, and The distance P1 moved by the power line 240 is greater than the distance P2 moved by the ground line 250 (P1 and P2 are shown in FIG. 4 ), and the length L1 of the extended conductive connector 242 is greater than the length L2 of the extended conductive connector 252 . In some embodiments, L1 is also greater than the length L3 of conductive connector 142 .

請參考圖8,為根據本發明一實施例的積體電路佈局10E平面示意圖,其與圖5的積體電路佈局10B的主要差異在於,第一標準單元Cell-A的井區邊界116a不重疊於中心線114,其他特徵則大致上相同。更具體地說,井區邊界116a位於中心線114靠近第一標準單元Cell-A的主動區120p的一側,並且沿著第一方向X延伸,井區邊界216a則是與第二標準單元Cell-B的中心線214重疊。以對齊井區邊界116a和井區邊界216a的方式來鄰接第一標準單元Cell-A和第二標準單元Cell-B後,中心線114和中心線214在第一方向X上包括一錯位,且電源線240所移動的距離P1小於接地線250所移動的距離P2(P1和P2如圖4所示),延長後的導電連接件242的長度L1小於延長後的導電連接件252的長度L2。在一些實施例中,L1也小於導電連接件142的長度L3。Please refer to FIG. 8 , which is a schematic plan view of an integrated circuit layout 10E according to an embodiment of the present invention. The main difference between it and the integrated circuit layout 10B of FIG. 5 is that the well boundary 116 a of the first standard cell Cell-A does not overlap. At center line 114, other features are generally the same. More specifically, the well area boundary 116a is located on one side of the center line 114 close to the active area 120p of the first standard cell Cell-A, and extends along the first direction -B's center lines 214 overlap. After the first standard cell Cell-A and the second standard cell Cell-B are adjacent to each other in a manner that aligns the well boundary 116a and the well boundary 216a, the centerline 114 and the centerline 214 include an offset in the first direction X, and The distance P1 moved by the power line 240 is less than the distance P2 moved by the ground line 250 (P1 and P2 are shown in FIG. 4 ), and the length L1 of the extended conductive connector 242 is shorter than the length L2 of the extended conductive connector 252 . In some embodiments, L1 is also less than the length L3 of conductive connector 142 .

請參考圖10,所繪示為用於執行圖2所示方法的一電子設計自動化(EDA)環境。環境100包括規格描述工具102、合成工具104、配置/佈線工具106、驗證工具108,以及標準單元庫組合110。積體電路的設計流程包括在規格描述工具102中使用標準硬體描述語言(例如Verilog)來制訂晶片的功能及設計規格,然後使用合成工具104(例如Synopsys公司的產品Design Compiler)將電路描述合成/映射成基於標準單元之基本邏輯閘的門級網表(gate netlist),其中標準單元可選自標準單元庫組合110的至少一個標準單元庫。接著,使用配置/繞線工具106(例如Magma公司的產品Blast Fusion)根據門級網表進行物理結構的佈局和繞線。後續,再使用驗證工具108檢查電路佈局的連接性和電路功能。Please refer to FIG. 10 , which illustrates an electronic design automation (EDA) environment for executing the method shown in FIG. 2 . Environment 100 includes specification tool 102 , synthesis tool 104 , configuration/routing tool 106 , verification tool 108 , and standard cell library assembly 110 . The design process of the integrated circuit includes using a standard hardware description language (such as Verilog) in the specification description tool 102 to formulate the function and design specifications of the chip, and then using the synthesis tool 104 (such as the Synopsys product Design Compiler) to synthesize the circuit description / is mapped to a gate-level netlist (gate netlist) based on basic logic gates based on standard cells, where the standard cells can be selected from at least one standard cell library of the standard cell library combination 110 . Next, a configuration/wiring tool 106 (such as Blast Fusion, a product of Magma Company) is used to lay out and wire the physical structure according to the gate-level netlist. Subsequently, the verification tool 108 is used to check the connectivity and circuit functionality of the circuit layout.

綜合以上,本發明提供了一種形成包括混合高度之標準單元的積體電路佈局的方法,其中相同元件列之標準單元是以側邊緣重疊且井區邊界沿著列方向對齊的方式鄰接,並且移動單元高度較小的標準單元的電壓線(例如電源線和接地線)直到與單元高度較大的標準單元的電壓線對齊且連接,從而獲得沿著列邊緣延伸以連接各標準單元的連續電壓線。本發明提供的方法可有效地提高佈局合成效率,且獲得的佈局可減少違反設計規範(例如井區包圍/間距規範)的機率。本發明的積體電路藉由混用不同單元高度(即不同效能)的標準單元,可改善空間效率以及晶片速度和功率效率。 以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。 In summary, the present invention provides a method for forming an integrated circuit layout including standard cells of mixed heights, in which standard cells of the same element column are adjacent and moved in such a manner that the side edges overlap and the well boundaries are aligned along the column direction. The voltage lines (such as power lines and ground lines) of the standard cells with a smaller unit height are aligned and connected with the voltage lines of the standard cells with a larger unit height, thereby obtaining continuous voltage lines extending along the column edges to connect each standard unit. . The method provided by the present invention can effectively improve layout synthesis efficiency, and the obtained layout can reduce the probability of violating design specifications (such as well area enclosure/spacing specifications). The integrated circuit of the present invention can improve space efficiency as well as chip speed and power efficiency by mixing standard cells with different cell heights (ie, different performance). The above are only preferred embodiments of the present invention, and all equivalent changes and modifications made in accordance with the patentable scope of the present invention shall fall within the scope of the present invention.

10:積體電路佈局 10A:暫時單元佈局 10B:積體電路佈局 10C:積體電路佈局 10D:積體電路佈局 10E:積體電路佈局 12:標準單元 14:標準單元 16:標準單元 22:步驟 24:步驟 26:步驟 28:步驟 100:環境 102:規格描述工具 104:合成工具 106:配置/佈線工具 108:驗證工具 110:標準單元庫組合 114:中心線 116:井區 118:接觸插塞 130:閘極線 132:虛設閘極線 140:電源線 142:導電連接件 150:接地線 152:導電連接件 214:中心線 216:井區 218:接觸插塞 230:閘極線 232:虛設閘極線 240:電源線 240a:中心線 242:導電連接件 250:接地線 250a:中心線 252:導電連接件 116a:井區邊界 120n:主動區 120p:主動區 12a:上邊緣 12b:下邊緣 12c:側邊緣 140a:中心線 14a:上邊緣 14b:下邊緣 14c:側邊緣 150a:中心線 162b:導電連接件 216a:井區邊界 220n:主動區 220p:主動區 240':電源線 240a:中心線 250':接地線 250a':中心線 262b:導電連接件 A1:上邊緣 A2:下邊緣 A3:側邊緣 B1:上邊緣 B2:下邊緣 B3:側邊緣 BN:列邊緣 Cell-A:第一標準單元 Cell-B:第二標準單元 D:汲極區 D1:距離 D2:距離 D3:距離 H1:單元高度 H12:單元高度 H14:單元高度 H2:單元高度 I:切線 II:切線 L1:長度 L2:長度 L3:長度 P1:距離 P2:距離 RH:列高 S:源極區 W1:單元寬度 W12:單元寬度 W14:單元寬度 W2:單元寬度 X:第一方向 Y:第二方向 T1:PMOS T2:NMOS Vdd:電源線 Vin:輸入電壓 Vout:輸出電壓 Vss:接地線 a1:邊緣 b1:邊緣 a2:邊緣 b2:邊緣 10:Integrated circuit layout 10A: Temporary unit layout 10B:Integrated circuit layout 10C: Integrated circuit layout 10D: Integrated circuit layout 10E:Integrated circuit layout 12:Standard unit 14:Standard unit 16:Standard unit 22: Steps 24: Steps 26: Steps 28: Steps 100:Environment 102: Specification description tool 104:Synthesis Tools 106:Configuration/wiring tools 108:Verification tool 110: Standard unit library combination 114: Center line 116:Well area 118:Contact plug 130: Gate line 132: Dummy gate line 140:Power cord 142: Conductive connectors 150: Ground wire 152: Conductive connectors 214: Center line 216:Well area 218:Contact plug 230: Gate line 232: Dummy gate line 240:Power cord 240a: Centerline 242: Conductive connectors 250: Ground wire 250a: Centerline 252: Conductive connectors 116a: Well area boundary 120n: Active area 120p:active area 12a:Top edge 12b: Lower edge 12c: side edge 140a: Centerline 14a:Top edge 14b: Lower edge 14c: side edge 150a: Centerline 162b: Conductive connector 216a: Well area boundary 220n: Active area 220p:active area 240':Power cord 240a: Centerline 250': Ground wire 250a': Center line 262b: Conductive connectors A1: Upper edge A2: Lower edge A3: side edge B1: Upper edge B2: lower edge B3: Side edge BN: column edge Cell-A: the first standard unit Cell-B: The second standard unit D: Drainage area D1: distance D2: distance D3: distance H1: unit height H12: unit height H14: unit height H2: unit height I: tangent II: tangent L1:Length L2: length L3: length P1: distance P2: distance RH: column height S: source area W1: unit width W12: unit width W14: unit width W2: unit width X: first direction Y: second direction T1:PMOS T2:NMOS Vdd: power line Vin: input voltage Vout: output voltage Vss: ground wire a1: edge b1: edge a2: edge b2: edge

附圖是示意圖並且被包括在內以提供對實施例的進一步理解,並且被併入並構成本說明書的一部分。 附圖說明了一些實施例,並且與描述一起用於解釋它們的原理。 為了附圖的清楚和方便起見,附圖的部分的相對尺寸和比例已經被放大或縮小並且不一定按比例繪製。 在修改的和不同的實施例中,相同的附圖標記通常用於表示對應或相似的特徵。 圖1為根據本發明一實施例之積體電路佈局的部分區域平面示意圖。 圖2為根據本發明一實施例之形成積體電路佈局的方法的流程圖。 圖3、圖4和圖5為圖2所示方法的步驟示意圖。 圖6為根據本發明一實施例之積體電路佈局平面示意圖。 圖7為根據本發明一實施例之積體電路佈局平面示意圖。 圖8為根據本發明一實施例之積體電路佈局平面示意圖。 圖9為本發明一實施例之積體電路佈局的電路圖。 圖10為一電子設計自動化(EDA)環境的示意圖。 The accompanying drawings are schematic diagrams and are included to provide a further understanding of embodiments, and are incorporated in and constitute a part of this specification. The drawings illustrate some embodiments and together with the description serve to explain their principles. The relative sizes and proportions of portions of the drawings have been exaggerated or reduced for clarity and convenience of the drawings and are not necessarily drawn to scale. The same reference numbers are generally used to refer to corresponding or similar features in modified and different embodiments. FIG. 1 is a partial plan view of an integrated circuit layout according to an embodiment of the present invention. FIG. 2 is a flowchart of a method of forming an integrated circuit layout according to an embodiment of the present invention. Figures 3, 4 and 5 are schematic diagrams of the steps of the method shown in Figure 2. FIG. 6 is a schematic plan view of an integrated circuit layout according to an embodiment of the present invention. FIG. 7 is a schematic plan view of an integrated circuit layout according to an embodiment of the present invention. FIG. 8 is a schematic plan view of an integrated circuit layout according to an embodiment of the present invention. FIG. 9 is a circuit diagram of an integrated circuit layout according to an embodiment of the present invention. Figure 10 is a schematic diagram of an electronic design automation (EDA) environment.

22:步驟 22: Steps

24:步驟 24: Steps

26:步驟 26: Steps

28:步驟 28: Steps

Claims (20)

一種形成積體電路佈局的方法,包括: 選取一第一標準單元以及一第二標準單元,該第一標準單元以及該第二標準單元具有不同單元高度,並且分別包括: 一電源線、一接地線,以及一井區邊界,沿著一第一方向平行延伸; 兩主動區,具有相反導電型,設置在該電源線及該接地線之間且位於該井區邊界的兩側; 一閘極線,沿著一第二方向延伸跨過該兩主動區,其中該第一方向與該第二方向垂直; 以該第一標準單元和該第二標準單元的該井區邊界沿著該第一方向對齊的方式鄰接該第一標準單元和該第二標準單元,獲得一暫時單元佈局;以及 沿著該第二方向移動該第二標準單元的該電源線和該接地線以與該第一標準單元的該電源線和該接地線沿著該第一方向對齊並且互相連接,從而由該暫時單元佈局形成該積體電路佈局。 A method of forming an integrated circuit layout, comprising: Select a first standard unit and a second standard unit. The first standard unit and the second standard unit have different unit heights and respectively include: A power line, a ground line, and a well area boundary extend in parallel along a first direction; Two active areas, with opposite conductivity types, are arranged between the power line and the ground line and are located on both sides of the boundary of the well area; a gate line extending across the two active regions along a second direction, wherein the first direction is perpendicular to the second direction; A temporary cell layout is obtained by adjoining the first standard cell and the second standard cell in such a manner that the well boundaries of the first standard cell and the second standard cell are aligned along the first direction; and The power line and the ground line of the second standard unit are moved along the second direction to be aligned with the power line and the ground line of the first standard unit along the first direction and connected to each other, so that the temporary The cell layout forms the integrated circuit layout. 如申請專利範圍第1項所述之形成積體電路佈局的方法,其中該第二標準單元的該電源線和該接地線移動相同的距離以形成該積體電路佈局。In the method of forming an integrated circuit layout as described in item 1 of the patent application, the power line and the ground line of the second standard unit are moved by the same distance to form the integrated circuit layout. 如申請專利範圍第1項所述之形成積體電路佈局的方法,其中該第一標準單元及該第二標準單元的該井區邊界分別與該第一標準單元及該第二標準單元的一中心線重疊。The method for forming an integrated circuit layout as described in item 1 of the patent application, wherein the well area boundary of the first standard unit and the second standard unit is respectively connected with a boundary of the first standard unit and the second standard unit. Center lines overlap. 如申請專利範圍第1項所述之形成積體電路佈局的方法,其中該暫時單元佈局中,該第一標準單元的一中心線與該第二標準單元的一中心線沿著該第一方向對齊,並且平行於該第一標準單元及該第二標準單元的該井區邊界。The method for forming an integrated circuit layout as described in item 1 of the patent application, wherein in the temporary unit layout, a center line of the first standard unit and a center line of the second standard unit are along the first direction. Aligned and parallel to the well area boundary of the first standard unit and the second standard unit. 如申請專利範圍第1項所述之形成積體電路佈局的方法,其中該暫時單元佈局中,該第一標準單元的一中心線與該第二標準單元的一中心線在該第一方向上包括一錯位,該第二標準單元的該電源線和該接地線移動不同的距離以形成該積體電路佈局。The method for forming an integrated circuit layout as described in item 1 of the patent application, wherein in the temporary unit layout, a center line of the first standard unit and a center line of the second standard unit are in the first direction. Including a dislocation, the power line and the ground line of the second standard unit are moved to different distances to form the integrated circuit layout. 如申請專利範圍第1項所述之形成積體電路佈局的方法,其中該第一標準單元和該第二標準單元還分別包括: 一導電連接件,連接在該電源線的一邊緣,並且與鄰近該電源線之該兩主動區的其中一者重疊;以及 另一導電連接件,連接在該接地線的一邊緣,並且與鄰近該接地線之該兩主動區的其中另一者重疊,其中 該第二標準單元的該導電連接件以及該另一導電連接件隨著該電源線和該接地線的移動而延長以形成該積體電路佈局。 The method for forming an integrated circuit layout as described in item 1 of the patent application, wherein the first standard unit and the second standard unit further include: a conductive connector connected to an edge of the power line and overlapping one of the two active areas adjacent to the power line; and Another conductive connector is connected to an edge of the ground line and overlaps the other of the two active areas adjacent to the ground line, wherein The conductive connector and the other conductive connector of the second standard unit are extended as the power line and the ground line move to form the integrated circuit layout. 如申請專利範圍第1項所述之形成積體電路佈局的方法,其中鄰接該第一標準單元和該第二標準單元以及移動該第二標準單元的該電源線和該接地線是在一電子設計自動化(EDA)環境中執行。The method of forming an integrated circuit layout as described in item 1 of the patent application, wherein the power line and the ground line adjacent to the first standard unit and the second standard unit and moving the second standard unit are in an electronic Executed in a design automation (EDA) environment. 如申請專利範圍第1項所述之形成積體電路佈局的方法,其中該第一標準單元和該第二標準單元還分別包括: 兩虛設閘極線,設置在該兩主動區兩側並且平行於該閘極線。 The method for forming an integrated circuit layout as described in item 1 of the patent application, wherein the first standard unit and the second standard unit further include: Two dummy gate lines are provided on both sides of the two active areas and parallel to the gate lines. 如申請專利範圍第8項所述之形成積體電路佈局的方法,其中鄰接該第一標準單元和該第二標準單元的步驟包括結合該第一標準單元的其中一該虛設閘極線以及該第二標準單元的其中一該虛設閘極線。The method of forming an integrated circuit layout as described in claim 8, wherein the step of adjoining the first standard cell and the second standard cell includes combining one of the dummy gate lines of the first standard cell and the One of the dummy gate lines of the second standard cell. 如申請專利範圍第1項所述之形成積體電路佈局的方法,還包括將該積體電路佈局輸出至製造一積體電路晶片的製程中所使用的一組光罩。The method of forming an integrated circuit layout as described in item 1 of the patent application further includes outputting the integrated circuit layout to a set of photomasks used in a process of manufacturing an integrated circuit chip. 一種積體電路佈局,包括: 一電源線以及一接地線,沿著一第一方向平行沿伸;以及 一第一標準單元以及一第二標準單元,位在該電源線及該接地線之間並且互相鄰接,且分別包括: 一上邊緣、一下邊緣,以及位於該上邊緣和下邊緣之間的一井區邊界,沿著該第一方向平行沿伸;以及 兩主動區,具有相反導電型,設置在該井區邊界的兩側; 一閘極線,沿著一第二方向延伸在該上邊緣與該下邊緣之間並且跨過該兩主動區,其中該第一方向與該第二方向垂直,其中 該第一標準單元的該上邊緣和該下邊緣之間的一第一單元高度不同於該第二標準單元的該上邊緣和該下邊緣之間的一第二單元高度,該第一標準單元的該井區邊界以及該第二標準單元的該井區邊界沿著該第一方向對齊。 An integrated circuit layout including: A power cord and a ground wire extend in parallel along a first direction; and A first standard unit and a second standard unit are located between the power line and the ground line and adjacent to each other, and respectively include: An upper edge, a lower edge, and a well zone boundary located between the upper edge and the lower edge extend in parallel along the first direction; and Two active zones, with opposite conductivity types, are provided on both sides of the boundary of the well zone; A gate line extends between the upper edge and the lower edge along a second direction and spans the two active regions, wherein the first direction is perpendicular to the second direction, wherein A first unit height between the upper edge and the lower edge of the first standard unit is different from a second unit height between the upper edge and the lower edge of the second standard unit. The first standard unit The well area boundary of and the well area boundary of the second standard unit are aligned along the first direction. 如申請專利範圍第11項之積體電路佈局,其中該第一標準單元的該上邊緣和該下邊緣之間的一中心線與該第二標準單元的該上邊緣和該下邊緣之間的一中心線沿著該第一方向對齊。For example, the integrated circuit layout of claim 11, wherein a center line between the upper edge and the lower edge of the first standard unit and a center line between the upper edge and the lower edge of the second standard unit A centerline is aligned along the first direction. 如申請專利範圍第12項之積體電路佈局,其中該第一標準單元以及該第二標準單元各自的該中心線以及該井區邊界重疊。For example, in the integrated circuit layout of claim 12, the center line of the first standard unit and the second standard unit overlap with the well area boundary. 如申請專利範圍第12項之積體電路佈局,其中該第一標準單元以及該第二標準單元各自的該中心線以及該井區邊界不重疊。For example, in the integrated circuit layout of claim 12, the center line of the first standard unit and the second standard unit and the well area boundary do not overlap. 如申請專利範圍第11項之積體電路佈局,其中該第二標準單元的該電源線與該上邊緣之間的距離大於該第一標準單元的該電源線與該上邊緣之間的距離。For example, in the integrated circuit layout of claim 11, the distance between the power line of the second standard unit and the upper edge is greater than the distance between the power line of the first standard unit and the upper edge. 如申請專利範圍第11項之積體電路佈局,其中: 該第一標準單元的該上邊緣和該下邊緣之間的一中心線與該第二標準單元的該上邊緣和該下邊緣之間的一中心線在該第一方向上包括一錯位;以及 該第二標準單元的該電源線與該上邊緣之間的距離不同於該接地線與該下邊緣之間的距離。 For example, the integrated circuit layout in Item 11 of the patent scope includes: A center line between the upper edge and the lower edge of the first standard unit and a center line between the upper edge and the lower edge of the second standard unit include an offset in the first direction; and The distance between the power line and the upper edge of the second standard unit is different from the distance between the ground line and the lower edge. 如申請專利範圍第11項之積體電路佈局,其中該第一標準單元和該第二標準單元之相鄰且平行於該井區邊界的同導電型的該主動區的邊緣沿著該第一方向對齊。For example, the integrated circuit layout of claim 11, wherein the edge of the active area of the same conductivity type adjacent to the first standard unit and the second standard unit and parallel to the boundary of the well area is along the first Orientation alignment. 如申請專利範圍第11項之積體電路佈局,其中該第一標準單元和該第二標準單元之相鄰且平行於該井區邊界的同導電型的該主動區的邊緣在該第一方向上包括一錯位。For example, the integrated circuit layout of item 11 of the patent application, wherein the edge of the active area of the same conductivity type adjacent to the first standard unit and the second standard unit and parallel to the boundary of the well area is on the first side. Upward includes a dislocation. 如申請專利範圍第18項之積體電路佈局,另包括: 一第一導電連接件,自該電源線的一邊緣沿著該第二方向延伸一第一長度並且部分重疊該第一標準單元的其中一該主動區;以及 一第二導電連接件,自該電源線的該邊緣沿著該第二方向延伸一第二長度並且部分重疊該第二標準單元的其中一該主動區,其中該第一長度與該第二長度不同。 For example, the integrated circuit layout in item 18 of the patent scope also includes: a first conductive connector extending a first length along the second direction from an edge of the power line and partially overlapping one of the active areas of the first standard unit; and A second conductive connector extends a second length from the edge of the power line along the second direction and partially overlaps one of the active areas of the second standard unit, wherein the first length and the second length different. 如申請專利範圍第11項之積體電路佈局,另包括: 一第一虛設閘極線,設置在該第一標準單元的一側並且相對於該第二標準單元; 一第二虛設閘極線,設置在該第二標準單元的一側並且相對於該第一標準單元;以及 一第三虛設閘極線,設置在該第一標準單元和該第二標準單元之間,其中該第一虛設閘極線及該第三虛設閘極線的端部分別與該第一標準單元的該閘極線的端部切齊,該第二虛設閘極線的端部與該第二標準單元的該閘極線的端部切齊。 For example, the integrated circuit layout in item 11 of the patent scope also includes: a first dummy gate line, disposed on one side of the first standard unit and relative to the second standard unit; a second dummy gate line, disposed on one side of the second standard cell and opposite to the first standard cell; and A third dummy gate line is provided between the first standard cell and the second standard cell, wherein the ends of the first dummy gate line and the third dummy gate line are respectively connected with the first standard cell. The end of the gate line is aligned, and the end of the second dummy gate line is aligned with the end of the gate line of the second standard cell.
TW111132741A 2022-07-19 2022-08-30 Integrated circuit layout including standard cells and method to form the same TW202406074A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/868,770 2022-07-19
US17/868,770 US20230097189A1 (en) 2021-09-28 2022-07-19 Integrated circuit layout including standard cells and method to form the same

Publications (1)

Publication Number Publication Date
TW202406074A true TW202406074A (en) 2024-02-01

Family

ID=90822966

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111132741A TW202406074A (en) 2022-07-19 2022-08-30 Integrated circuit layout including standard cells and method to form the same

Country Status (1)

Country Link
TW (1) TW202406074A (en)

Similar Documents

Publication Publication Date Title
USRE48831E1 (en) Semiconductor integrated circuit
US10990722B2 (en) FinFET cell architecture with insulator structure
TWI546939B (en) Integrated circuit including cross-coupled transistor configuration in restricted gate level layout architecture and method for creating layout of same and data storage device including instructions for creating layout of same
US6938226B2 (en) 7-tracks standard cell library
TWI621959B (en) Circuitry for xor logic
CN106876468B (en) N-channel and P-channel FINFET cell architectures
KR20190020682A (en) Standard cell architecture for spreading based on pin count
US20080105929A1 (en) Semiconductor integrated circuit
US6321371B1 (en) Insertion of spare logic gates into the unused spaces between individual gates in standard cell artwork
TW202219808A (en) Cell architecture with backside power rails
US5768146A (en) Method of cell contouring to increase device density
US20240037309A1 (en) Multiplexer
US8541880B2 (en) Method and system to reduce area of standard cells
US5986292A (en) Semiconductor integrated logic circuit device
JPS59163837A (en) Semiconductor integrated circuit
US5635737A (en) Symmetrical multi-layer metal logic array with extension portions for increased gate density and a testability area
US20230097189A1 (en) Integrated circuit layout including standard cells and method to form the same
US11392743B2 (en) Multiplexer
JP2894814B2 (en) Standard cell type semiconductor integrated circuit
TW202406074A (en) Integrated circuit layout including standard cells and method to form the same
TW202406093A (en) Integrated circuit layout including standard cells and method to form the same
US20230099326A1 (en) Integrated circuit, method for forming a layout of integrated circuit using standard cells
TW569108B (en) Method of combining power lines to form standard logic unit database
JP5630856B2 (en) Semiconductor device
KR20020042507A (en) A semiconductor device, a method of manufacturing the same and storage media