TW202401902A - Radio-frequency circuit for phased array antenna - Google Patents

Radio-frequency circuit for phased array antenna Download PDF

Info

Publication number
TW202401902A
TW202401902A TW111148088A TW111148088A TW202401902A TW 202401902 A TW202401902 A TW 202401902A TW 111148088 A TW111148088 A TW 111148088A TW 111148088 A TW111148088 A TW 111148088A TW 202401902 A TW202401902 A TW 202401902A
Authority
TW
Taiwan
Prior art keywords
radio frequency
signal
transmission line
wireless communication
communication system
Prior art date
Application number
TW111148088A
Other languages
Chinese (zh)
Inventor
王建程
張立翰
王毓駒
朱大舜
Original Assignee
創未來科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 創未來科技股份有限公司 filed Critical 創未來科技股份有限公司
Publication of TW202401902A publication Critical patent/TW202401902A/en

Links

Images

Landscapes

  • Variable-Direction Aerials And Aerial Arrays (AREA)

Abstract

A wireless communication system includes a plurality of antennas, and a plurality of RF chips, arranged in a row and coupled to the antennas, for providing a plurality radio-frequency (RF) output signals to the antennas according to an RF signal. The wireless communication system also includes a transmission line arranged to be a straight line in parallel to the row, and to connect to the RF chips, and a resistive load, coupled to a first end of the transmission line. A second end of the transmission line is arranged to receive the RF signal.

Description

用於相位陣列天線的射頻電路RF circuits for phased array antennas

本申請案是有關於一種射頻電路,特別是關於一種用於相位陣列天線的射頻電路。The present application relates to a radio frequency circuit, and in particular to a radio frequency circuit for a phased array antenna.

在現代無線通信技術中,相位陣列天線技術與傳統天線技術相比,由於具有更高的增益、更高的可靠性和波束控制能力等優點而備受關注。相位陣列天線技術採用天線間距控制得宜的天線陣列排列,因此部署陣列天線的基板面積將遠大於傳統的非陣列天線。基板平坦度是開發成本可接受的大規模天線陣列的重要問題之一。另一方面,需要具有更高電路密度和更低功耗的射頻(RF)電路來實現天線陣列中更佳的信號處理性能。因此,需要開發一種新的相位陣列天線架構來解決基板的問題,使得在基板上可以形成低成本、高性能的射頻電路和天線。In modern wireless communication technology, phased array antenna technology has attracted much attention due to its advantages such as higher gain, higher reliability and beam control capabilities compared with traditional antenna technology. Phased array antenna technology uses an antenna array arrangement with appropriately controlled antenna spacing, so the substrate area for deploying array antennas will be much larger than traditional non-array antennas. Substrate flatness is one of the important issues in developing cost-effective large-scale antenna arrays. On the other hand, radio frequency (RF) circuits with higher circuit density and lower power consumption are needed to achieve better signal processing performance in antenna arrays. Therefore, it is necessary to develop a new phased array antenna architecture to solve the problem of the substrate, so that low-cost, high-performance radio frequency circuits and antennas can be formed on the substrate.

本發明實施例提出一種無線通信系統,其包括多個天線;多個射頻晶片,排列成一列,並耦接至天線,用以根據射頻信號提供多個射頻輸出信號至該等天線;傳輸線,設置為與列平行的直線,並連接該等射頻晶片;及電阻負載,耦合到該傳輸線的第一端。該傳輸線的第二端經配置為接收該射頻信號。An embodiment of the present invention proposes a wireless communication system, which includes multiple antennas; multiple radio frequency chips arranged in a row and coupled to the antennas for providing multiple radio frequency output signals to the antennas according to radio frequency signals; and transmission lines. is a straight line parallel to the column and connects the radio frequency chips; and a resistive load is coupled to the first end of the transmission line. The second end of the transmission line is configured to receive the radio frequency signal.

本發明實施例提出一種無線通信系統,其包括多個天線;多個射頻晶片,排列成一列並耦接至天線,用以接收來自該等天線的多個射頻信號以輸出多個射頻輸出信號;傳輸線,設置成與列平行的直線,並連接該等射頻晶片及接收該等射頻輸出信號;及電阻負載,耦合到該傳輸線的第一端。該傳輸線的第二端經配置以輸出該等射頻輸出信號的累加射頻輸出信號。An embodiment of the present invention proposes a wireless communication system that includes multiple antennas; multiple radio frequency chips arranged in a row and coupled to the antennas for receiving multiple radio frequency signals from the antennas to output multiple radio frequency output signals; A transmission line is arranged as a straight line parallel to the column and connects the radio frequency chips and receives the radio frequency output signals; and a resistive load is coupled to the first end of the transmission line. The second end of the transmission line is configured to output an accumulated radio frequency output signal of the radio frequency output signals.

通過所提出的相位陣列天線和射頻晶片的佈置,發射機和接收機可以以更少的成本製造,並且以更低的功率運作,還可以提高元件的可靠性。With the proposed arrangement of phased array antennas and radio frequency wafers, transmitters and receivers can be manufactured at less cost and operate at lower power, and the reliability of the components can also be improved.

以上說明已相當廣泛地概述本發明的實施例具有之技術特徵及優點,俾使以下所述之本發明詳細實施方式得以更容易明瞭。本發明申請專利範圍標的所具有的其它技術特徵及優點將描述於下文。本發明所屬技術領域中具有通常知識者應瞭解,利用下文揭示之概念與特定實施例,可相當容易修改成或設計出其它結構或方法而達到與本發明相同之目的。本發明所屬技術領域中具有通常知識者亦應瞭解,此種達到類似效果的構思仍未脫離本文所述之申請專利範圍所界定本發明的精神和範圍。The above description has quite broadly summarized the technical features and advantages of the embodiments of the present invention, so that the detailed implementations of the present invention described below can be more easily understood. Other technical features and advantages possessed by the subject matter of the patent application scope of the present invention will be described below. It should be understood by those skilled in the art that other structures or methods can be easily modified or designed using the concepts and specific embodiments disclosed below to achieve the same purposes as the present invention. Those with ordinary knowledge in the technical field to which the present invention belongs should also understand that such a concept to achieve similar effects does not depart from the spirit and scope of the present invention as defined in the patent application scope described herein.

以下公開提供了許多不同的實施例或示例,用於實現所提供主題的不同特徵。下面描述組件和佈置的具體示例以簡化本案。當然,這些僅僅是示例並且不意在進行限制。例如,在下面的描述中,在第二特徵上或上方形成第一特徵可以包括第一和第二特徵直接接觸地形成的實施例,並且還可以包括可以形成在兩個特徵之間的附加特徵的實施例,使得第一和第二特徵可以不直接接觸。此外,本案可以在各種示例中重複參照數字和/或字母。這種重複是為了簡單和清楚的目的,並且其本身並非用於描述所討論的各種實施例和/或配置之間的關係。The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present case. Of course, these are merely examples and are not intended to be limiting. For example, in the description below, forming a first feature on or over a second feature may include embodiments in which the first and second features are formed in direct contact, and may also include additional features that may be formed between the two features. embodiment such that the first and second features may not be in direct contact. Additionally, the case may repeatedly refer to numbers and/or letters in various examples. This repetition is for simplicity and clarity and is not intended per se to describe the relationship between the various embodiments and/or configurations discussed.

此外,為了便於描述,此處可以使用諸如“之下方”、“以下”、“下方”、“之上”、“上方”等空間相對術語來描述一個元素或特徵與如圖所示的另一個元素或特徵。除了圖中描繪的方向之外,空間相對術語旨在涵蓋元件在使用或操作中的不同方向。該元件可以以其他方式定向(旋轉90度或在其他方向),並且本文使用的空間相對描述符同樣可以相應地解釋。In addition, for ease of description, spatially relative terms such as "below," "beneath," "beneath," "above," "above," and other spatially relative terms may be used herein to describe one element or feature in relation to another as illustrated in the figures. element or characteristic. Spatially relative terms are intended to encompass different orientations of elements in use or operation in addition to the orientation depicted in the figures. The element may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.

儘管闡述本案的廣泛範圍的數值範圍和參數是近似值,但在具體實施例中闡述的數值會盡可能精確地提報。然而,任何數值都固有地包含某些誤差,這些誤差必然是由於在相應的測試測量中通常發現的偏差而導致的。此外,如本文所用,術語“大約”、“基本上”或“基本上地”通常是指在給定值或範圍的10%、5%、1%或0.5%內。或者,當本領域普通技術人員考慮時,術語“大約”、“基本上”或“基本上地”表示在平均值的可接受標準誤差內。除了在操作/運作的示例中,或除非另有明確規定,所有數值範圍、量、值和百分比,例如材料量、持續時間、溫度、操作條件、量比等的那些本文所公開的其應理解為在所有情況下均由術語“大約”、“基本上”或“基本上地”修改。因此,除非有相反指示,本案和所附專利請求範圍中闡述的數值參數是可以根據需要變化的近似值。至少,每個數值參數至少應該根據報告的有效數字的數量並通過應用普通的捨入技術來解釋。範圍在本文中可以表示為從一個端點到另一個端點或兩個端點之間。除非另有說明,本文公開的所有範圍都包括端點。Notwithstanding that the broad numerical ranges and parameters setting forth the present invention are approximations, the numerical values set forth in the specific examples are reported as precisely as possible. Any numerical value, however, inherently contains certain errors necessarily resulting from the biases typically found in corresponding testing measurements. Furthermore, as used herein, the terms "about," "substantially," or "substantially" generally mean within 10%, 5%, 1%, or 0.5% of a given value or range. Alternatively, the terms "about," "substantially," or "substantially" mean within an acceptable standard error of the mean when considered by one of ordinary skill in the art. Except in examples of operation/operation, or unless otherwise expressly stated, all numerical ranges, quantities, values and percentages, such as those disclosed herein, such as amounts of material, durations, temperatures, operating conditions, ratios, etc. are to be understood as such. is modified in each case by the term "approximately", "substantially" or "substantially". Accordingly, unless indicated to the contrary, the numerical parameters set forth in this application and in the accompanying patent claims are approximations that may be varied as necessary. At a minimum, each numerical parameter should at least be construed in light of the number of reported significant digits and by applying ordinary rounding techniques. A range may be expressed in this article as from one endpoint to another endpoint or between two endpoints. Unless otherwise stated, all ranges disclosed herein include the endpoints.

如本文所用,術語“連接的”可以解釋為“電連接的”,並且術語“耦合的”也可以解釋為“電耦合的”。“連接的”和“耦合的”也可以用來表示兩個或多個元素相互協作或互動。As used herein, the term "connected" may be interpreted as "electrically connected" and the term "coupled" may also be interpreted as "electrically coupled." "Connected" and "coupled" can also be used to indicate that two or more elements collaborate or interact with each other.

圖1顯示根據本案的一些實施例的下一代通信場景中的無線通信系統10的示意圖。無線通信系統10包括一個或多個用戶設備12、14、16和18、地面基站22和非地面基站24。在一些實施例中,用戶設備12、14由人攜帶和移動,並且被稱為手持設備。在一些實施例中,用戶設備16是裝配在陸地移動的車輛中的移動設備,例如汽車、火車等。在一些實施例中,用戶設備18是裝配在在海中、河流等中移動的船舶中的用戶設備。Figure 1 shows a schematic diagram of a wireless communication system 10 in a next-generation communication scenario according to some embodiments of the present case. Wireless communication system 10 includes one or more user equipments 12, 14, 16 and 18, terrestrial base stations 22 and non-terrestrial base stations 24. In some embodiments, user devices 12, 14 are carried and moved by humans and are referred to as handheld devices. In some embodiments, user equipment 16 is a mobile device mounted in a land-mobile vehicle, such as a car, a train, etc. In some embodiments, the user equipment 18 is a user equipment mounted in a vessel moving in the sea, river, etc.

在一些實施例中,陸地基站22是部署在通信網路,諸如蜂巢式通信網路,中的基站的示例。地面基站22用於向用戶設備12、14和16提供通信網路,其中用戶設備12、14和16可以通過由多個地面基站22建立的網路在彼此之間發送或接收信息。地面基站22也可以稱為低海拔平台。在一些實施例中,非地面基站24是部署在通信衛星網路中的通信衛星的示例。非地面基站24經配置以向用戶設備12、14、16和18提供通信網路,其中用戶設備12、14、16和18可以通過衛星網路在彼此之間發送或接收信息。多個地面基站22和多個非地面基站24可以相互連接,形成統一的通信網路,實現全球通信網路,覆蓋全球各地的用戶設備,其用戶可位於低海拔位置、高海拔位置或地面基站22未覆蓋的任何地方。In some embodiments, terrestrial base station 22 is an example of a base station deployed in a communications network, such as a cellular communications network. The ground base station 22 is used to provide a communication network to the user equipments 12, 14 and 16, where the user equipments 12, 14 and 16 can send or receive information between each other through the network established by the plurality of ground base stations 22. The ground base station 22 may also be called a low-altitude platform. In some embodiments, non-terrestrial base stations 24 are examples of communications satellites deployed in a communications satellite network. Non-terrestrial base station 24 is configured to provide a communications network to user equipment 12, 14, 16, and 18, where user equipment 12, 14, 16, and 18 can send and receive information to and from each other over the satellite network. Multiple terrestrial base stations 22 and multiple non-terrestrial base stations 24 can be connected to each other to form a unified communication network, realizing a global communication network and covering user equipment all over the world. The users can be located at low altitude locations, high altitude locations or ground base stations. 22 anywhere not covered.

為了實現以無線通信系統10為示例的全球通信網路的目標,用戶設備12、14、16或18可能需要重新設計以包括具有更大通信能力的發射機或接收機以與位於高空的非地面基站24進行通信。在各種發射機或接收機設計中,相位陣列天線技術是一種實現波束成形技術的很有前途的解決方案,它可以顯著提高發射機或接收機的增益,具有更高的可靠性,並適用於衛星通信。To achieve the goals of a global communications network, exemplified by wireless communications system 10, user equipment 12, 14, 16, or 18 may need to be redesigned to include a transmitter or receiver with greater communications capabilities to communicate with non-terrestrial devices located at high altitudes. Base station 24 communicates. In various transmitter or receiver designs, phased array antenna technology is a promising solution to implement beamforming technology, which can significantly increase the gain of the transmitter or receiver, has higher reliability, and is suitable for Satellite communications.

圖2A是根據一些實施例顯示圖1所示的用戶設備12、14、16或18的發射機100的立體示意圖。在一些實施例中,發射機100是射頻發射機。在一些實施例中,發射機100包括控制電路板110、射頻電路板120和將射頻電路板120電連接到控制電路板110的連接電路板130。此外,射頻電路板120包括天線陣列,由形成在射頻電路板120的基板上的天線元件140的陣列(例如天線貼片)形成。在一些實施例中,發射機100適用於地面基站22或非地面基站24。Figure 2A is a perspective schematic diagram showing the transmitter 100 of the user equipment 12, 14, 16 or 18 shown in Figure 1 according to some embodiments. In some embodiments, transmitter 100 is a radio frequency transmitter. In some embodiments, the transmitter 100 includes a control circuit board 110 , a radio frequency circuit board 120 , and a connection circuit board 130 that electrically connects the radio frequency circuit board 120 to the control circuit board 110 . Furthermore, the radio frequency circuit board 120 includes an antenna array formed by an array of antenna elements 140 (eg, antenna patches) formed on a substrate of the radio frequency circuit board 120 . In some embodiments, transmitter 100 is suitable for use with terrestrial base stations 22 or non-terrestrial base stations 24.

在一些實施例中,控制電路板110是印刷電路板(PCB)並且包括其上形成有多個電路晶片和佈線的基板。在一些實施例中,控制電路板110包括一個或多個半導體裸片,例如,安裝在控制電路板110的表面上的半導體裸片150。控制電路板110的基板可以由帶有金屬的環氧樹脂形成(例如銅)箔。控制電路板110用於產生控制信號和數據信號,並通過連接電路板130提供給射頻電路板120。數據信號可以是基頻信號或中頻(IF)信號。預定頻率的調變載波,例如455 kHz。如果。在一些實施例中,控制電路板110經配置以將第一電壓電位轉換為第二電壓電位並將合適的電源電壓傳輸到射頻電路板120。在一些實施例中,連接電路板130包括撓性或非撓性基板和包括多條傳輸線,用於將電源電壓、控制信號和中頻數據信號傳輸到射頻電路板120。In some embodiments, the control circuit board 110 is a printed circuit board (PCB) and includes a substrate with a plurality of circuit dies and wiring formed thereon. In some embodiments, control circuit board 110 includes one or more semiconductor dies, such as semiconductor die 150 mounted on a surface of control circuit board 110 . The substrate of the control circuit board 110 may be formed of epoxy resin with metal (for example, copper) foil. The control circuit board 110 is used to generate control signals and data signals, and provide them to the radio frequency circuit board 120 through the connection circuit board 130 . The data signal may be a baseband signal or an intermediate frequency (IF) signal. A modulated carrier wave of a predetermined frequency, such as 455 kHz. if. In some embodiments, the control circuit board 110 is configured to convert the first voltage level to a second voltage level and transmit the appropriate supply voltage to the radio frequency circuit board 120 . In some embodiments, the connection circuit board 130 includes a flexible or non-flexible substrate and includes a plurality of transmission lines for transmitting power voltage, control signals and intermediate frequency data signals to the radio frequency circuit board 120 .

在一些實施例中,用戶設備12、14、16和18還具有接收機(未單獨顯示)以與發射機100一起運作以完成雙向通信。圖2A中所示的發射機100的配置,還可以應用於接收機101(見圖4A),其也具有以類似於發射機100的方式互連的控制電路板111、射頻電路板121和連接電路板130。用戶設備12圖、14、16和18可以包括發射機100和接收機101兩者以形成無線通信系統。稍後將說明發射機100和接收機101之間的差異。In some embodiments, user equipment 12, 14, 16, and 18 also have receivers (not shown separately) to operate with transmitter 100 to accomplish two-way communications. The configuration of the transmitter 100 shown in Figure 2A can also be applied to the receiver 101 (see Figure 4A), which also has a control circuit board 111, a radio frequency circuit board 121 and connections interconnected in a similar manner to the transmitter 100 Circuit board 130. User equipments 12, 14, 16 and 18 may include both a transmitter 100 and a receiver 101 to form a wireless communication system. The differences between transmitter 100 and receiver 101 will be explained later.

圖2B是根據一些實施例顯示在圖2A所示的射頻電路板120的A1部分的放大圖。。請注意,圖2B所示的射頻電路板120的垂直方向(Z軸正方向)與圖2A相反。在一些實施例中,射頻電路板120包括基板202和位於基板202上方的互連結構204。互連結構204具有上表面,並且基板202具有下表面。在一些實施例中,天線元件206的陣列形成在基板202的下表面上,而多個射頻晶片208位於互連結構204的上表面上。射頻晶片208可以通過多個導線210互連。在一些實施例中,導線210可以由電絕緣材料封裝或通過互連結構204的表面暴露。在一些實施例中,發射機100的天線陣列包括貼片天線結構,天線元件206是個別天線結構的天線貼片。Figure 2B is an enlarged view of portion A1 of the radio frequency circuit board 120 shown in Figure 2A, according to some embodiments. . Please note that the vertical direction (positive Z-axis direction) of the radio frequency circuit board 120 shown in FIG. 2B is opposite to that of FIG. 2A. In some embodiments, the radio frequency circuit board 120 includes a substrate 202 and an interconnect structure 204 located above the substrate 202 . The interconnect structure 204 has an upper surface and the substrate 202 has a lower surface. In some embodiments, an array of antenna elements 206 is formed on the lower surface of the substrate 202 and a plurality of radio frequency dies 208 are located on the upper surface of the interconnect structure 204 . RF dies 208 may be interconnected by a plurality of wires 210 . In some embodiments, conductors 210 may be encapsulated by an electrically insulating material or exposed through the surface of interconnect structure 204 . In some embodiments, the antenna array of transmitter 100 includes patch antenna structures, and antenna elements 206 are antenna patches of individual antenna structures.

圖2C是根據一些實施例顯示圖2B所示的射頻電路板120的剖面示意圖。圖2C所示的剖面示意圖是從圖2B的AA線截取的。如圖2C所示,基板202由透明材料形成,例如玻璃、熔矽石、氧化矽、石英等。在一些實施例中,基板202將天線元件206與互連結構204或射頻晶片208的電子電路分離。在一些實施例中,射頻信號從形成在基板202上側的射頻晶片208傳送,通過形成在互連結構204中的射頻電路,輻射穿過透明基板202,並耦合到形成在基板202下側的天線元件206。在一些實施例中,基板202的厚度基於天線元件206的工作頻率而決定。由於基板202的材料對射頻信號是透明的,所以基板202可以不包含任何導電構件以將互連結構204連接到天線元件206。FIG. 2C is a schematic cross-sectional view of the radio frequency circuit board 120 shown in FIG. 2B according to some embodiments. The schematic cross-sectional view shown in FIG. 2C is taken along line AA of FIG. 2B. As shown in FIG. 2C , the substrate 202 is formed of a transparent material, such as glass, silica, silica, quartz, etc. In some embodiments, substrate 202 separates antenna element 206 from interconnect structure 204 or electronic circuitry of radio frequency die 208 . In some embodiments, RF signals are transmitted from RF die 208 formed on the upper side of substrate 202 , through RF circuitry formed in interconnect structure 204 , radiated through transparent substrate 202 , and coupled to an antenna formed on the underside of substrate 202 Element 206. In some embodiments, the thickness of substrate 202 is determined based on the operating frequency of antenna element 206 . Because the material of substrate 202 is transparent to radio frequency signals, substrate 202 may not contain any conductive members to connect interconnect structure 204 to antenna element 206 .

在一些實施例中,互連結構204由堆疊的多個金屬化層形成。金屬化層包括圖案化的導線或導電通孔,這些圖案化的導線和導孔被圖案化或電互連以形成互連路徑和天線的其他部分。例如,形成在基板202上的第一金屬化層包括第一導線或焊盤222A。第一導線或焊盤222A可以用作接地板,並且剩餘空間可以形成為用於將射頻信號耦合到天線元件206的孔。第二金屬化層形成在第一金屬化層上方並且包括第一導電通孔,包括示例性第一導電通孔224A。同樣,第三金屬化層形成在第二金屬化層上方並包括第二導線或焊盤222B,第四金屬化層形成在第三金屬化層上方並包括多個第二導電通孔,包括示例性第二導電通孔224B.第二導線可以被圖案化以形成電源線或信號傳輸線。第五金屬化層形成在第四金屬化層上方並且包括第三導線222C。第三導線222C可以被圖案化以形成用於在射頻晶片208之間傳送射頻信號或控制信號的傳輸線。在一些實施例中,導線222A、222B、222C通過導電通孔224A和224B互連。在一些實施例中,多條導線210位於第六金屬化層上方並且將導線222C電連接到射頻晶片208。In some embodiments, interconnect structure 204 is formed from stacked multiple metallization layers. The metallization layer includes patterned wires or conductive vias that are patterned or electrically interconnected to form interconnect paths and other portions of the antenna. For example, a first metallization layer formed on substrate 202 includes first wire or pad 222A. The first wire or pad 222A may serve as a ground plate, and the remaining space may be formed as a hole for coupling radio frequency signals to the antenna element 206 . A second metallization layer is formed over the first metallization layer and includes first conductive vias, including example first conductive via 224A. Likewise, a third metallization layer is formed over the second metallization layer and includes a second conductive line or pad 222B, and a fourth metallization layer is formed over the third metallization layer and includes a plurality of second conductive vias, including examples Second conductive vias 224B. The second conductive lines may be patterned to form power lines or signal transmission lines. A fifth metallization layer is formed over the fourth metallization layer and includes third conductive line 222C. Third conductors 222C may be patterned to form transmission lines for transmitting radio frequency signals or control signals between radio frequency wafers 208 . In some embodiments, conductors 222A, 222B, 222C are interconnected by conductive vias 224A and 224B. In some embodiments, a plurality of conductors 210 are located over the sixth metallization layer and electrically connect conductors 222C to the radio frequency die 208 .

在一些實施例中,導線222A、222B、222C和210以及導電通路224A和224B由導電材料形成,諸如銅、鎢、鋁、鈦、鉭、其合金、或相似者。導線222A、222B、222C和導電通孔224A和224B通過絕緣材料226A、226B或226C,例如基於聚合物的材料,例如聚酰亞胺或環氧樹脂,進一步電性絕緣。In some embodiments, conductors 222A, 222B, 222C, and 210 and conductive pathways 224A and 224B are formed from a conductive material, such as copper, tungsten, aluminum, titanium, tantalum, alloys thereof, or the like. Wires 222A, 222B, 222C and conductive vias 224A and 224B are further electrically insulated by an insulating material 226A, 226B, or 226C, such as a polymer-based material such as polyimide or epoxy.

圖3A是根據一些實施例顯示在圖2A的發射機100的方塊示意圖。參照圖2A和3A,在一些實施例中,控制電路板110包括電源轉換模組312、記憶體模組314、控制器316、本地振盪器模組318和數據處理模組322。控制電路板110經配置以經由連接電路板130兩側的輸入/輸出埠以及連接電路板130中的信號線提供電源電壓VD、IF數據信號IF_in、參考頻率信號LO和控制信號(包括校準數據Din、數據時脈信號CLK和同步時脈信號SYNC)至射頻電路板120。Figure 3A is a block schematic diagram of the transmitter 100 shown in Figure 2A according to some embodiments. Referring to FIGS. 2A and 3A , in some embodiments, the control circuit board 110 includes a power conversion module 312 , a memory module 314 , a controller 316 , a local oscillator module 318 and a data processing module 322 . The control circuit board 110 is configured to provide the power supply voltage VD, the IF data signal IF_in, the reference frequency signal LO and the control signal (including the calibration data Din) via the input/output ports on both sides of the connection circuit board 130 and the signal lines in the connection circuit board 130 , data clock signal CLK and synchronization clock signal SYNC) to the radio frequency circuit board 120 .

在一些實施例中,電源轉換模組312經配置以從控制電路板110外部的供應電壓源302接收輸入電源。電源轉換模組312可以包括電壓轉換器,其經配置以將供應電壓源302的初始電源電壓,例如110伏特,轉換成用於射頻電路板120的組件的電源電壓VD,例如5伏特或1.2伏特。電源轉換模組312可以進一步向控制電路板110的其他組件供電,例如記憶體模組314、控制器316、本地振盪器模組318和數據處理模組322。在一些實施例中,電源轉換模組312包括電壓變壓器以提供電源電壓VD。在一些實施例中,電源轉換模組312還包括用於過濾干擾的電磁干擾濾波器。In some embodiments, the power conversion module 312 is configured to receive input power from a supply voltage source 302 external to the control circuit board 110 . The power conversion module 312 may include a voltage converter configured to convert the initial power voltage of the supply voltage source 302 , such as 110 volts, to a power supply voltage VD for the components of the radio frequency circuit board 120 , such as 5 volts or 1.2 volts. . The power conversion module 312 can further provide power to other components of the control circuit board 110 , such as the memory module 314 , the controller 316 , the local oscillator module 318 and the data processing module 322 . In some embodiments, the power conversion module 312 includes a voltage transformer to provide the power voltage VD. In some embodiments, the power conversion module 312 also includes an electromagnetic interference filter for filtering interference.

在一些實施例中,記憶體模組314經配置以存儲控制器316和數據處理模組322可存取的數據和指令,例如傳輸數據。記憶體模組314可以包括不同類型的記憶體,例如,隨機存取記憶體(RAM)、唯讀記憶體(ROM)、快閃記憶體、快取記憶體等。In some embodiments, memory module 314 is configured to store data and instructions accessible to controller 316 and data processing module 322, such as transfer data. The memory module 314 may include different types of memory, such as random access memory (RAM), read only memory (ROM), flash memory, cache memory, etc.

在一些實施例中,控制器316經配置以通過中頻調變載波調變傳輸數據來產生中頻數據信號IF_in。傳輸數據可由數據處理模組322提供。中頻數據信號IF_in將被射頻電路板120向上變頻為射頻信號RF_in。In some embodiments, the controller 316 is configured to generate the intermediate frequency data signal IF_in by modulating the transmission data by an intermediate frequency modulated carrier. The transmission data may be provided by the data processing module 322. The intermediate frequency data signal IF_in will be up-converted by the radio frequency circuit board 120 into a radio frequency signal RF_in.

在一些實施例中,控制器316還經配置以產生用於校準射頻信號的控制信號,例如校準數據Din、數據時脈信號CLK和同步時脈信號SYNC。在一些實施例中,校準數據Din用於根據傳輸數據或指令校準射頻信號RF_in的振幅或相位。校準數據可以包括振幅校準數據或相位校準數據,或兩者。在一些實施例中,數據時脈信號CLK用於為射頻電路板120的組件中的暫存器提供通用時脈。數據時脈信號CLK的頻率可以代表射頻電路板120中數位數據處理的工作頻率。在一些實施例中,同步時脈信號SYNC用於為一些不同階段的暫存器提供時脈,以在相同的時脈時間輸出校準數據。同步時脈信號SYNC可以代表校準數據的更新率。在一些實施例中,由於控制信號包括數位形式,因此它們也被稱為數位控制信號。In some embodiments, the controller 316 is further configured to generate control signals for calibrating the radio frequency signals, such as calibration data Din, data clock signal CLK, and synchronization clock signal SYNC. In some embodiments, the calibration data Din is used to calibrate the amplitude or phase of the radio frequency signal RF_in according to the transmission data or instructions. Calibration data may include amplitude calibration data or phase calibration data, or both. In some embodiments, the data clock signal CLK is used to provide a common clock for registers in components of the radio frequency circuit board 120 . The frequency of the data clock signal CLK may represent the operating frequency of digital data processing in the radio frequency circuit board 120 . In some embodiments, the synchronization clock signal SYNC is used to provide clocks for some registers in different stages to output calibration data at the same clock time. The synchronization clock signal SYNC can represent the update rate of calibration data. In some embodiments, because the control signals include digital forms, they are also referred to as digital control signals.

本地振盪器模組318經配置以產生參考頻率信號FR,用於在中頻數據信號IF_in和射頻信號RF_in之間進行向上變頻或向下變頻。在一些實施例中,本地振盪器模組318包括晶體振盪器,其經配置以產生預定頻率的參考頻率信號FR。The local oscillator module 318 is configured to generate a reference frequency signal FR for up-conversion or down-conversion between the intermediate frequency data signal IF_in and the radio frequency signal RF_in. In some embodiments, the local oscillator module 318 includes a crystal oscillator configured to generate a reference frequency signal FR of a predetermined frequency.

在一些實施例中,數據處理模組322經配置以從控制電路板110外部的控制單元304接收輸入數據或指令。數據處理模組322還可以經配置以發送由控制器提供的輸出數據316。在一些實施例中,數據處理模組322包括網路介面電路,該網路介面電路經配置以在傳輸協議下接收或傳輸數據或指令。數據處理模組322可用於從輸入數據中提取傳輸數據或控制信號。In some embodiments, the data processing module 322 is configured to receive input data or instructions from the control unit 304 external to the control circuit board 110 . The data processing module 322 may also be configured to send output data 316 provided by the controller. In some embodiments, data processing module 322 includes network interface circuitry configured to receive or transmit data or instructions under a transport protocol. The data processing module 322 may be used to extract transmission data or control signals from input data.

在一些實施例中,射頻電路板120包括一對射頻信號產生路徑、一對功率分配器網路342和兩行發射機陣列300。每個射頻信號產生路徑包括IF信號接收機332、鎖相迴路模組334、放大器335和338以及混頻器336。In some embodiments, RF circuit board 120 includes a pair of RF signal generation paths, a pair of power divider networks 342, and two rows of transmitter arrays 300. Each radio frequency signal generation path includes an IF signal receiver 332, a phase locked loop module 334, amplifiers 335 and 338, and a mixer 336.

在一些實施例中,每個功率分配器網路342連接到對應的混頻器336的輸出並且經配置以將射頻信號RF_in傳送到每個射頻晶片208(參見圖3C)。在一些實施例中,功率分配器網路342是由多個功率分配器344以樹狀結構或二元結構連接形成的多級功率分配器網路。在一些實施例中,功率分配器網路342包括K1和K2兩級,並且K1級或K2級中的每個功率分配器344經配置以將射頻信號RF_in的功率基本上相等地分配到功率分配器344的兩個輸出端。每個K2級的功率分配器344中的輸出端連接到相應的發射機陣列300。在一些實施例中,左側功率分配器網路342和右側功率分配器網路342沿著左側功率分配器網路342和右側功率分配器網路342之間的中心線對稱設置。在一些實施例中,發射機陣列300的左側行和發射機陣列300的右側行沿著左側行和右側行之間的中心線對稱設置。在一些實施例中,功率分配器344也可以用作接收機架構中的功率組合器,其中功率組合器的輸入端和輸出端與功率分配器344的輸出端和輸入端反向。In some embodiments, each power divider network 342 is connected to the output of a corresponding mixer 336 and is configured to deliver the radio frequency signal RF_in to each radio frequency die 208 (see Figure 3C). In some embodiments, the power divider network 342 is a multi-stage power divider network formed by connecting multiple power dividers 344 in a tree structure or a binary structure. In some embodiments, the power divider network 342 includes two stages, K1 and K2, and each power divider 344 in the K1 stage or the K2 stage is configured to distribute the power of the radio frequency signal RF_in substantially equally to the power split The two output terminals of the converter 344. The output in the power divider 344 of each K2 stage is connected to the corresponding transmitter array 300 . In some embodiments, the left power splitter network 342 and the right power splitter network 342 are symmetrically disposed along a centerline between the left power splitter network 342 and the right power splitter network 342 . In some embodiments, the left row of transmitter array 300 and the right row of transmitter array 300 are symmetrically disposed along a centerline between the left and right rows. In some embodiments, power divider 344 may also be used as a power combiner in a receiver architecture, where the input and output terminals of the power combiner are opposite to the output and input terminals of power divider 344.

在一些實施例中,控制信號,其包括校準數據Din、數據時脈信號CLK和同步時脈信號SYNC,通過匯流排或多條信號線提供給每個發射機陣列300。在一些實施例中,所描繪的實施例僅顯示了兩級功率分配器網路342。然而,在其他實施例中,具有大於或小於兩級的功率分配器網路342也可以應用於發射機100。在一些實施例中,所描繪的實施例僅顯示在一行發射機陣列300中的四個發射機陣列300。然而,在一個陣列中大於或小於四個的發射機陣列300的數量也可適用於其他實施例中的發射機100,其中發射機陣列300的數量隨功率分配器網路342的級數而縮放。In some embodiments, control signals, including calibration data Din, data clock signal CLK, and synchronization clock signal SYNC, are provided to each transmitter array 300 through a bus or multiple signal lines. In some embodiments, the depicted embodiment shows only a two-stage power divider network 342. However, in other embodiments, a power divider network 342 with more than or less than two stages may also be applied to the transmitter 100. In some embodiments, the depicted embodiment shows only four transmitter arrays 300 in a row of transmitter arrays 300 . However, a number of transmitter arrays 300 greater or less than four in an array may also be applicable to transmitters 100 in other embodiments, where the number of transmitter arrays 300 scales with the number of stages of power divider network 342 .

在一些實施例中,中頻信號接收機332經配置以從連接電路板130接收中頻數據信號IF_in。鎖相迴路模組334可以經配置以基於參考頻率FR經由鎖相迴路產生本地振盪器信號LO。在一些實施例中,本地振盪器信號LO通過放大器335被放大。在一些實施例中,放大器335是運算放大器。混頻器336經配置以以預定操作頻率(例如,18GHz、28GHz或其他合適的頻率)將IF信號IF_in向上變頻為射頻信號RF_in。在一些實施例中,射頻信號RF_in經由放大器338被放大。在一些實施例中,放大器338是運算放大器。在一些實施例中,控制電路板110向鎖相迴路模組334提供更多的控制信號,例如鎖相迴路控制信號。In some embodiments, the intermediate frequency signal receiver 332 is configured to receive the intermediate frequency data signal IF_in from the connection circuit board 130 . The phase locked loop module 334 may be configured to generate the local oscillator signal LO via a phase locked loop based on the reference frequency FR. In some embodiments, local oscillator signal LO is amplified by amplifier 335 . In some embodiments, amplifier 335 is an operational amplifier. Mixer 336 is configured to up-convert IF signal IF_in to a radio frequency signal RF_in at a predetermined operating frequency (eg, 18 GHz, 28 GHz, or other suitable frequency). In some embodiments, radio frequency signal RF_in is amplified via amplifier 338 . In some embodiments, amplifier 338 is an operational amplifier. In some embodiments, the control circuit board 110 provides more control signals to the phase locked loop module 334, such as phase locked loop control signals.

圖3B是圖1所示的發射機100的發射機陣列300的方塊示意圖。參照圖3A,根據一些實施例。在一些實施例中,發射機陣列300包括另一個功率分配器網路346和多個發射機區塊310。在一些實施例中,功率分配器網路346與功率分配器網路342形成組合式功率分配器網路,其中功率分配器344在功率分配器網路346的最後一級K N連接到相應的發射機區塊310。在一些實施例中,功率分配器網路346包括N-2級,並且每級的每個功率分配器344經配置以將輸入端的射頻信號RF_in的功率以基本上相等的功率分配至功率分配器344的兩個輸出端。在一些實施例中,電源電壓VD和控制信號Din、CLK和SYNC也通過匯流排或多條信號線提供至每個發射機區塊310。 FIG. 3B is a block diagram of the transmitter array 300 of the transmitter 100 shown in FIG. 1 . Referring to Figure 3A, in accordance with some embodiments. In some embodiments, transmitter array 300 includes another power divider network 346 and a plurality of transmitter blocks 310. In some embodiments, the power divider network 346 and the power divider network 342 form a combined power divider network, wherein the power divider 344 is connected to the corresponding transmitter at the last stage K N of the power divider network 346 Machine block 310. In some embodiments, the power divider network 346 includes N-2 stages, and each power divider 344 of each stage is configured to divide the power of the radio frequency signal RF_in at the input to the power divider with substantially equal power. Two output terminals of 344. In some embodiments, the supply voltage VD and the control signals Din, CLK, and SYNC are also provided to each transmitter block 310 through a bus or multiple signal lines.

圖3C是根據一些實施例在3B所示的發射機陣列300的發射機區塊310的方塊示意圖。在一些實施例中,發射機區塊310由一列射頻晶片208和對應於該列射頻晶片208的一列天線饋線212形成。如圖2B所示,每個射頻晶片208包括單獨的射頻電路,並且也稱為射頻電路208。在一些實施例中,射頻晶片208經配置為發射機(TX)晶片。在一些實施例中,可提供電源電壓VD並將其傳送到每個射頻晶片208。此外,控制信號,包括校準數據Din、數據時脈信號CLK和同步時脈信號SYNC通過一條或多條信號線饋送到每個射頻晶片208。在一些實施例中,射頻信號RF_in也通過傳輸線220饋入每個射頻晶片208。參照圖2B及圖3C,在一些實施例中,射頻信號RF_in通過傳輸線220、射頻晶片208(輸出為射頻信號RF_out)、饋線212及基板202傳送,並向外輻射到天線貼片206。Figure 3C is a block schematic diagram of transmitter block 310 of transmitter array 300 shown at 3B, in accordance with some embodiments. In some embodiments, transmitter block 310 is formed from an array of radio frequency dies 208 and an array of antenna feeds 212 corresponding to the array of radio frequency dies 208 . As shown in FIG. 2B , each radio frequency die 208 includes an individual radio frequency circuit and is also referred to as radio frequency circuit 208 . In some embodiments, radio frequency die 208 is configured as a transmitter (TX) die. In some embodiments, a supply voltage VD may be provided and delivered to each radio frequency die 208 . In addition, control signals, including calibration data Din, data clock signal CLK and synchronization clock signal SYNC, are fed to each radio frequency chip 208 through one or more signal lines. In some embodiments, radio frequency signal RF_in is also fed into each radio frequency die 208 through transmission line 220. Referring to FIG. 2B and FIG. 3C, in some embodiments, the radio frequency signal RF_in is transmitted through the transmission line 220, the radio frequency chip 208 (output is the radio frequency signal RF_out), the feeder 212 and the substrate 202, and is radiated outward to the antenna patch 206.

射頻晶片208經配置以在根據校準數據Din對射頻信號RF_in進行校準之後產生經校準射頻信號以作為射頻輸出信號RF_out。在一些實施例中,校準的更新速率由數據時脈信號CLK和同步時脈信號SYNC控制。在一些實施例中,射頻晶片208包括用於對應射頻信號RF_in、電源電壓VD、校準數據Din、數據時脈信號CLK和同步時脈信號SYNC的輸入埠。在一些實施例中,射頻晶片208包括用於對應校準數據Dout以及射頻輸出信號RF_out的兩個分支信號RF_out_I和RF_out_Q的輸出埠。The radio frequency chip 208 is configured to generate the calibrated radio frequency signal as the radio frequency output signal RF_out after calibrating the radio frequency signal RF_in according to the calibration data Din. In some embodiments, the calibrated update rate is controlled by the data clock signal CLK and the synchronization clock signal SYNC. In some embodiments, the radio frequency chip 208 includes input ports corresponding to the radio frequency signal RF_in, the power supply voltage VD, the calibration data Din, the data clock signal CLK, and the synchronization clock signal SYNC. In some embodiments, the radio frequency chip 208 includes output ports for two branch signals RF_out_I and RF_out_Q corresponding to the calibration data Dout and the radio frequency output signal RF_out.

在一些實施例中,射頻輸出信號RF_out由為分別對應於水平(H)-極化和垂直(V)-極化的分量,即同相分量RF_out_I和正交分量RF_out_Q所組成。分開的分量RF_out_I和RF_out_Q代表同相分量RF_out_I和正交分量RF_out_Q,而它們彼此正交。將射頻輸出信號RF_out分開為單獨的彼此正交分量可以輔助射頻信號RF_in或射頻輸出信號RF_out的校準。In some embodiments, the radio frequency output signal RF_out consists of components corresponding to horizontal (H)-polarization and vertical (V)-polarization, namely, the in-phase component RF_out_I and the quadrature component RF_out_Q, respectively. The separate components RF_out_I and RF_out_Q represent the in-phase component RF_out_I and the quadrature component RF_out_Q, which are orthogonal to each other. Separating the radio frequency output signal RF_out into separate mutually orthogonal components may assist in the calibration of the radio frequency signal RF_in or the radio frequency output signal RF_out.

在一些實施例中,數據時脈信號CLK和同步時脈信號SYNC分別被饋送到每個射頻晶片208的數據時脈信號CLK和同步時脈信號SYNC的輸入埠。在一些實施例中,校準數據Din通過信號線從校準數據Din的輸入埠傳輸到第一(最左邊)射頻晶片208,並且第一射頻晶片208將校準數據Din中繼到相鄰的第二射頻晶片208。第一射頻晶片208從第一射頻晶片208的輸出埠Dout並通過另一條信號線。隨後,校準數據Din被傳輸到第三射頻晶片208的校準數據Din的輸入埠Din。結果,校準數據Din通過串聯的射頻晶片208彼此的輸入埠和輸出埠傳輸,直達到最後(最右邊)射頻晶片208。數據讀取的時序可以由數據時脈信號CLK和同步信號SYNC控制。In some embodiments, the data clock signal CLK and the synchronization clock signal SYNC are fed to the input ports of the data clock signal CLK and the synchronization clock signal SYNC, respectively, of each radio frequency chip 208 . In some embodiments, the calibration data Din is transmitted over a signal line from the input port of the calibration data Din to the first (leftmost) radio frequency chip 208, and the first radio frequency chip 208 relays the calibration data Din to the adjacent second radio frequency Wafer 208. The first radio frequency chip 208 passes through another signal line from the output port Dout of the first radio frequency chip 208 . Subsequently, the calibration data Din is transmitted to the input port Din of the calibration data Din of the third radio frequency chip 208 . As a result, the calibration data Din is transmitted through the serially connected RF chips 208 through each other's input and output ports until reaching the last (rightmost) RF chip 208 . The timing of data reading can be controlled by the data clock signal CLK and the synchronization signal SYNC.

在一些實施例中,發射機區塊310包括傳輸線220,其位於在第一端(即,發射機區塊310的輸入埠)和傳輸線220的第二端之間。在一些實施例中,傳輸線220的第二端通過電阻負載372連接到地。在一些實施例中,傳輸線220為直線或包含多個往不同方向延伸之線段的線。在一些實施例中,傳輸線220平行於射頻晶片208所組成的一列。電阻負載372可以包括電阻器。在一些實施例中,電阻負載372的電阻被決定為匹配傳輸線220的阻抗,以便消除信號反射。在一些實施例中,電阻負載372包括大約50歐姆的電阻值。In some embodiments, the transmitter block 310 includes a transmission line 220 located between a first end (ie, the input port of the transmitter block 310 ) and a second end of the transmission line 220 . In some embodiments, the second end of transmission line 220 is connected to ground through resistive load 372 . In some embodiments, the transmission line 220 is a straight line or a line including multiple line segments extending in different directions. In some embodiments, transmission lines 220 are parallel to a row of RF dies 208 . Resistive load 372 may include a resistor. In some embodiments, the resistance of resistive load 372 is determined to match the impedance of transmission line 220 in order to eliminate signal reflections. In some embodiments, resistive load 372 includes a resistance value of approximately 50 ohms.

在一些實施例中,射頻信號RF_in從傳輸線220的第一端傳播到第二端。在一些實施例中,在相位陣列天線配置中,相鄰的天線饋線212以預定天線間距間隔開。天線的間距可能與射頻信號RF_in的波長有關。此外,各個天線饋線212所傳送的射頻輸出信號RF_out_I和RF_out_Q應根據校準數據Din中的相位調整數據以適當的相位延遲進行調變,以共同構建定向射頻信號波束。因此,射頻輸出信號分量RF_out_I和RF_out_Q中的每一者都根據一個或多個設計標準進行相位調變,例如它們在天線陣列中的位置。In some embodiments, radio frequency signal RF_in propagates from a first end to a second end of transmission line 220 . In some embodiments, in a phased array antenna configuration, adjacent antenna feeds 212 are spaced apart by a predetermined antenna spacing. The spacing of the antennas may be related to the wavelength of the radio frequency signal RF_in. In addition, the radio frequency output signals RF_out_I and RF_out_Q transmitted by each antenna feeder 212 should be modulated with an appropriate phase delay according to the phase adjustment data in the calibration data Din to jointly construct a directional radio frequency signal beam. Therefore, each of the radio frequency output signal components RF_out_I and RF_out_Q are phase modulated according to one or more design criteria, such as their location in the antenna array.

在一些實施例中,射頻晶片208是不任意擺置於互連結構204上的。在一些實施例中,同一發射機區塊310中的一列射頻晶片208連接到傳輸線220上不同位置Di的連接端,其中引數i代表發射機區塊310中的第i個射頻晶片208,並且1<i<=L,其中L可以是大於1的任何整數。在一些實施例中,數量L在2到8之間的範圍內。位置Di相隔預定距離。在一些實施例中,射頻晶片208均勻分佈於傳輸線220的第一端與第二端之間。使用單個傳輸線220的發射機區塊310中的射頻晶片208的信號饋送類型被稱為“串聯饋送”信號饋送方法。射頻信號RF_in可能在傳輸線220的不同位置D1、D2、...D7、...DL之間具有相位差。由不同位置D1至DL引起的不想要的相位延遲可以通過校準數據Din相位調整數據同時加以解決和補償。這樣一來,相位陣列天線的相位不準確問題就可以在不付出額外成本的情況下得到解決。In some embodiments, the RF die 208 is not randomly placed on the interconnect structure 204 . In some embodiments, a column of radio frequency dies 208 in the same transmitter block 310 is connected to the connection end at a different position Di on the transmission line 220, where the argument i represents the ith radio frequency die 208 in the transmitter block 310, and 1<i<=L, where L can be any integer greater than 1. In some embodiments, the number L ranges between 2 and 8. The positions Di are separated by a predetermined distance. In some embodiments, the RF chips 208 are evenly distributed between the first end and the second end of the transmission line 220 . This type of signal feeding of the radio frequency die 208 in the transmitter block 310 using a single transmission line 220 is referred to as a "series feed" signal feeding method. The radio frequency signal RF_in may have phase differences between different positions D1, D2,...D7,...DL of the transmission line 220. Unwanted phase delays caused by different positions D1 to DL can be simultaneously addressed and compensated for by the calibration data Din phase adjustment data. In this way, phase inaccuracies in phased array antennas can be resolved at no additional cost.

同相射頻信號RF_out_I和正交射頻信號RF_out_Q耦合到天線貼片206,經組合並通過天線貼片206向外輻射。經組合的射頻信號RF_out根據同相射頻信號RF_out_I和正交射頻信號 RF_out_Q產生圓極化射頻信號 RF_out。在一些實施例中,經組合的RF信號RF_out是右旋圓極化RF信號或左旋圓極化信號,這取決於同相RF信號RF_out_I相對於正交RF信號RF_out_Q的相位順序。在一些實施例中,由於RF信號輸出RF_out的理想圓極化是通過同相RF信號RF_out_I和正交RF信號RF_out_Q之間等振幅和精準的90度相位差實現的,校準數據Din的有效性扮演重要的作用。在一些實施例中,同相射頻信號RF_out_I和正交射頻信號RF_out_Q在傳輸到天線貼片206之前,被分開並獨立地進行振幅校準和相位校準。此外,在一些實施例中,從天線貼片206接收同相RF信號RF_out_I和正交RF信號RF_out_Q,並在它們經組合並發送離開射頻晶片208之前,在射頻晶片208中獨立進行振幅校準和相位校準。因此,無需複雜的校準電路即可輕鬆完成校準任務。The in-phase radio frequency signal RF_out_I and the quadrature radio frequency signal RF_out_Q are coupled to the antenna patch 206, combined and radiated outwardly through the antenna patch 206. The combined radio frequency signal RF_out generates a circularly polarized radio frequency signal RF_out based on the in-phase radio frequency signal RF_out_I and the quadrature radio frequency signal RF_out_Q. In some embodiments, the combined RF signal RF_out is a right-hand circularly polarized RF signal or a left-hand circularly polarized signal, depending on the phase order of the in-phase RF signal RF_out_I relative to the quadrature RF signal RF_out_Q. In some embodiments, since the ideal circular polarization of the RF signal output RF_out is achieved by equal amplitude and precise 90-degree phase difference between the in-phase RF signal RF_out_I and the quadrature RF signal RF_out_Q, the validity of the calibration data Din plays an important role. role. In some embodiments, the in-phase RF signal RF_out_I and the quadrature RF signal RF_out_Q are separated and independently amplitude calibrated and phase calibrated before being transmitted to the antenna patch 206 . Additionally, in some embodiments, the in-phase RF signal RF_out_I and the quadrature RF signal RF_out_Q are received from the antenna patch 206 and independently subjected to amplitude calibration and phase calibration in the radio frequency die 208 before they are combined and transmitted off the radio frequency die 208 . Therefore, the calibration task can be easily completed without complex calibration circuits.

在一些實施例中,射頻晶片208被設計為包括具有高阻抗的輸入端或輸入埠。例如,從傳輸線220通過射頻晶片208的輸入端208A或輸入埠看向射頻晶片208方向的射頻晶片208的輸入阻抗Rin相對較高,例如大於約100K歐姆、大於500K歐姆、或大於1000K歐姆。In some embodiments, the radio frequency chip 208 is designed to include input terminals or input ports with high impedance. For example, the input impedance Rin of the radio frequency chip 208 viewed from the transmission line 220 through the input end 208A or input port of the radio frequency chip 208 toward the radio frequency chip 208 is relatively high, such as greater than about 100K ohms, greater than 500K ohms, or greater than 1000K ohms.

圖3D在左側子圖是根據一些實施例在圖3C所示的射頻晶片208的方塊示意圖。在一些實施例中,射頻晶片208的輸入埠208A連接場效應電晶體(FET)M1,例如金屬氧化物半導體FET(MOSFET),其中柵極端M1G或柵極電極通過分支傳輸線221連接到傳輸線220。在一些實施例中,MOSFET M1通過電容Cp1連接到射頻晶片208的輸入端208A。在一些實施例中,電容器Cp1在柵極端子M1G處連接射頻晶片208的二極體D11和D12。參見圖3D的右側子圖,左側子圖所示連接到輸入埠208A的射頻晶片208的電路可以表示為由電容器Cp與輸入電阻Rp並聯形成的等效電路。在一些實施例中,射頻晶片208的輸入電阻Rp(或Rin)或從射頻晶片208的柵極端M1G看到的輸入阻抗基本上等於或大於從連接到傳輸線220的輸入埠208A看向220傳輸線的阻抗的十倍,例如等於或大於約500歐姆,在一些實施例中,輸入電阻Rp等於圖3C中所示的輸入電阻Rin,至少等於或大於500 歐姆、1000歐姆或5000 歐姆。在一些實施例中,為了保持射頻晶片208的輸入埠208A的高阻抗特性,設置在射頻晶片208的輸入埠208A的MOSFET並不與射頻晶片208的其他電路並聯。在一些實施例中,在分支傳輸線221和射頻晶片208的柵極端M1G之間沒有任何中間電路、匹配網路或緩衝電路。在一些實施例中,上述射頻信號RF_in的串聯饋電的信號饋電型態是通過電壓驅動的信號饋送類型來實現的。射頻輸出信號RF_out是根據在輸入MOSFET M1的柵極端M1G處傳輸的電壓信號所產生的,而不是根據電流驅動信號所產生。The left panel of Figure 3D is a block diagram of the radio frequency chip 208 shown in Figure 3C according to some embodiments. In some embodiments, the input port 208A of the radio frequency chip 208 is connected to a field effect transistor (FET) M1, such as a metal oxide semiconductor FET (MOSFET), in which the gate terminal M1G or gate electrode is connected to the transmission line 220 through the branch transmission line 221. In some embodiments, MOSFET M1 is connected to the input terminal 208A of the radio frequency chip 208 through the capacitor Cp1. In some embodiments, capacitor Cp1 connects diodes D11 and D12 of radio frequency die 208 at gate terminal M1G. Referring to the right subfigure of FIG. 3D , the circuit of the radio frequency chip 208 connected to the input port 208A shown in the left subfigure can be expressed as an equivalent circuit formed by a capacitor Cp and an input resistor Rp connected in parallel. In some embodiments, the input resistance Rp (or Rin) of the radio frequency die 208 or the input impedance seen from the gate terminal M1G of the radio frequency die 208 is substantially equal to or greater than that seen from the input port 208A connected to the transmission line 220 towards the transmission line 220 Ten times the impedance, such as equal to or greater than about 500 ohms, in some embodiments, the input resistance Rp is equal to the input resistance Rin shown in FIG. 3C, at least equal to or greater than 500 ohms, 1000 ohms, or 5000 ohms. In some embodiments, in order to maintain the high impedance characteristics of the input port 208A of the radio frequency chip 208 , the MOSFET disposed at the input port 208A of the radio frequency chip 208 is not connected in parallel with other circuits of the radio frequency chip 208 . In some embodiments, there are no intermediate circuits, matching networks, or buffer circuits between the branch transmission line 221 and the gate terminal M1G of the radio frequency chip 208 . In some embodiments, the above-mentioned series-fed signal feeding type of the radio frequency signal RF_in is implemented through a voltage-driven signal feeding type. The radio frequency output signal RF_out is generated based on the voltage signal transmitted at the gate terminal M1G of the input MOSFET M1, rather than based on the current drive signal.

基於上文的敘述,本案所提出的串聯型信號饋送方法提供了優點。如果漏電流能夠得到很好的控管,由於MOSFET的高阻抗特性,流入射頻晶片208的輸入埠208A的電流值非常低。因此,射頻晶片208的功耗會相對較低,而不會影響元件的性能。此外,由於控制信號中的校準數據已經包括相位陣列天線架構中的相位校準數據,以輔助校準射頻信號RF_in,因此發射機區塊310中不需要額外的相位校準模組,而其中延遲相位的調整還包括相位調整或校準。Based on the above description, the series signal feeding method proposed in this case provides advantages. If the leakage current can be well controlled, the current flowing into the input port 208A of the RF chip 208 will be very low due to the high impedance nature of the MOSFET. Therefore, the power consumption of the radio frequency chip 208 will be relatively low without affecting the performance of the component. In addition, since the calibration data in the control signal already includes the phase calibration data in the phased array antenna architecture to assist in calibrating the radio frequency signal RF_in, there is no need for an additional phase calibration module in the transmitter block 310, where the adjustment of the delayed phase Also includes phase adjustment or calibration.

現有的射頻晶片採用電流驅動信號饋送方式傳輸射頻信號,搭配樹狀功率分配器網路。樹狀功率分配器網路最後一級的功率分配器中的每一者都連接到相應的射頻晶片。輸入埠的設計符合阻抗匹配規則,例如,包括大約50歐姆的阻抗。驅動電流從射頻信號源通過樹狀功率分配器網路流入每個射頻晶片。當射頻信號被分配到功率分配器網路終端處的射頻晶片時,此種射頻信號饋送架構會消耗功率。儘管電流驅動信號饋送類型的射頻晶片之間的相位誤差可能小於電壓驅動信號饋送類型,這是因為所有射頻晶片相對於射頻信號源的傳輸長度基本相等之故,但該製程引起的差異仍然經常導致不可忽視的相位差。因此,通常需要相位校準模組來保證相位陣列天線的性能。相比之下,所提出的電壓驅動信號類型消耗更少的功率並且所需的功率分配器數量更少,而且不會影響元件性能。因此,經由所提出的陣列天線架構,可以提高發射機的功率、成本和可靠性。Existing RF chips use a current drive signal feed method to transmit RF signals, coupled with a tree-shaped power divider network. Each of the power dividers in the last stage of the tree power divider network is connected to a corresponding radio frequency chip. The input ports are designed to comply with impedance matching rules, including, for example, an impedance of approximately 50 ohms. Drive current flows from the RF signal source into each RF chip through a tree-like network of power dividers. This RF signal feed architecture consumes power when the RF signal is distributed to the RF chips at the terminals of the power divider network. Although the phase error between RF wafers of the current drive signal feed type may be smaller than that of the voltage drive signal feed type because all RF wafers have essentially the same transmission length relative to the RF signal source, the differences caused by the process still often result in The phase difference cannot be ignored. Therefore, a phase calibration module is usually required to ensure the performance of the phased array antenna. In comparison, the proposed voltage-driven signal type consumes less power and requires a smaller number of power dividers without compromising component performance. Therefore, via the proposed array antenna architecture, the power, cost and reliability of the transmitter can be improved.

在一些實施例中,本案的串聯饋電型信號饋電類型進一步有助於佈線效率。參考圖3A、3B和3C,發射機陣列300(包括射頻晶片208)在射頻電路板120的中心部分以行方向佈置,而外圍電路,例如功率分配器網路342和346,放大器335、338和混頻器336位於射頻電路板120的兩側。發射機區塊310的一維佈置沿列方向延伸,其中天線間距和射頻晶片的數量決定了長度/寬度比率。以發射機區塊310中的級數N=5和射頻晶片數L=8為例,由發射機陣列300形成的發射機100的所得發射機陣列將是32x32 射頻晶片208的大小,呈正方形。此外,在進行電源和信號傳輸線的佈線時,例如電源電壓VD、控制信號Din、CLK、SYNC和發射機區塊310的射頻信號RF_in,由於其以列呈現的外形,佈線交叉的百分比相對較低。結果,可以實現具有高佈線效率的方形相位天線陣列。此外,本發明的相位陣列天線可以通過簡單地調整N和L的數量以直接的方式放大或縮小,而不需要重新設計佈局和佈線。In some embodiments, the present series-fed signal feed type further contributes to wiring efficiency. 3A, 3B and 3C, the transmitter array 300 (including the radio frequency chip 208) is arranged in a row direction in the central portion of the radio frequency circuit board 120, and peripheral circuits, such as power divider networks 342 and 346, amplifiers 335, 338 and Mixers 336 are located on both sides of the radio frequency circuit board 120 . The one-dimensional arrangement of transmitter blocks 310 extends along the column direction, where the antenna spacing and the number of radio frequency dies determine the length/width ratio. Taking the number of stages N=5 and the number of RF dies L=8 in the transmitter block 310 as an example, the resulting transmitter array of the transmitter 100 formed by the transmitter array 300 will be the size of 32x32 RF dies 208 in a square shape. Furthermore, when routing power and signal transmission lines, such as the power supply voltage VD, the control signals Din, CLK, SYNC, and the radio frequency signal RF_in of the transmitter block 310, the percentage of wiring crossovers is relatively low due to its appearance in columns. . As a result, a square phase antenna array with high wiring efficiency can be realized. Furthermore, the phased array antenna of the present invention can be enlarged or reduced in a direct manner by simply adjusting the numbers of N and L without redesigning the layout and wiring.

圖4A是根據一些實施例的接收機101的方塊示意圖。在一些實施例中,接收機101是射頻接收機。在一些實施例中,接收機101被視為與發射機100彼此相反的設備,其中接收機101還包括控制電路板111、射頻電路板121和將控制電路板111連接到射頻電路板130的連接電路板130。接收機101可以與發射機100的工作頻率不同以促進雙工傳輸,例如,發射機100和接收機101之一者經配置以工作在18GHz的頻率,而另一個經配置以以28GHz的頻率工作。由於不同的工作頻率,發射機100和接收機101的設備設計參數可能不同。在一些實施例中,接收機101適用於用戶裝置12、14、16、18、地面基站22或非地面基站24。Figure 4A is a block schematic diagram of receiver 101 in accordance with some embodiments. In some embodiments, receiver 101 is a radio frequency receiver. In some embodiments, the receiver 101 is considered a device opposite to the transmitter 100 , wherein the receiver 101 also includes a control circuit board 111 , a radio frequency circuit board 121 , and connections connecting the control circuit board 111 to the radio frequency circuit board 130 Circuit board 130. Receiver 101 may operate at a different frequency than transmitter 100 to facilitate duplex transmission, for example, one of transmitter 100 and receiver 101 is configured to operate at a frequency of 18 GHz while the other is configured to operate at a frequency of 28 GHz. . Due to different operating frequencies, the device design parameters of the transmitter 100 and the receiver 101 may be different. In some embodiments, the receiver 101 is suitable for use with user devices 12, 14, 16, 18, terrestrial base stations 22, or non-terrestrial base stations 24.

在一些實施例中,控制電路板111包括電源轉換模組312、記憶體模組314、控制器317、本地振盪器模組318和數據處理模組323。在一些實施例中,可以添加附加模組至控制電路板111,或上述模組中的某些模組可以省略或替換為其他模組。連接電路板130、電源轉換模組312、記憶體模組314和本地振盪器模組318的功能和配置與圖3A所描述的相似,為簡潔起見,此處不再贅述。In some embodiments, the control circuit board 111 includes a power conversion module 312, a memory module 314, a controller 317, a local oscillator module 318, and a data processing module 323. In some embodiments, additional modules may be added to the control circuit board 111, or some of the above modules may be omitted or replaced with other modules. The functions and configurations of the connection circuit board 130, the power conversion module 312, the memory module 314 and the local oscillator module 318 are similar to those described in FIG. 3A and will not be described again here for the sake of brevity.

在一些實施例中,控制器317經配置以控制接收機信號的解調。在一些實施例中,控制電路板111產生的接收信號採用中頻(IF)輸入信號形式成為IF_out,由控制器317或數據處理模組323向下變頻為基頻信號。在一些實施例中,控制器317由外部電源或電源轉換模組312供電,並根據射頻電路板121提供的接收機數據IF_out接收IF信號IF_out。在一些實施例中,控制器317經配置以針對陣列天線產生相位控制信號,例如校準數據Din、數據時脈信號CLK和同步時脈信號SYNC。In some embodiments, controller 317 is configured to control demodulation of the receiver signal. In some embodiments, the received signal generated by the control circuit board 111 takes the form of an intermediate frequency (IF) input signal and becomes IF_out, which is down-converted into a base frequency signal by the controller 317 or the data processing module 323. In some embodiments, the controller 317 is powered by an external power supply or the power conversion module 312 and receives the IF signal IF_out according to the receiver data IF_out provided by the radio frequency circuit board 121 . In some embodiments, the controller 317 is configured to generate phase control signals for the array antenna, such as calibration data Din, data clock signal CLK, and synchronization clock signal SYNC.

在一些實施例中,圖4A中所示的數據處理模組323經配置以從位於控制電路板110外部的控制單元304接收指令。數據處理模組323或控制器317可以經配置以從射頻電路板121提供的IF信號IF_out中接收提取的傳輸數據,並將傳輸數據傳送給控制單元304。In some embodiments, the data processing module 323 shown in FIG. 4A is configured to receive instructions from the control unit 304 located external to the control circuit board 110 . The data processing module 323 or the controller 317 may be configured to receive the extracted transmission data from the IF signal IF_out provided by the radio frequency circuit board 121 and transmit the transmission data to the control unit 304 .

射頻電路板121包括一對射頻信號接收路徑、一對功率組合器網路352和兩行接收機陣列301。圖4A的射頻信號產生路徑以與圖3A所示相反的方式進行。在一些實施例中,接收機陣列301的左側行和接收機陣列301的右側行沿著接收機陣列301的左側行和右側行之間的中心線對稱佈置。在實施例中,左側功率組合器網路352和右側功率組合器網路352沿著左側和右側功率組合器網路352之間的中心線對稱佈置。在一些實施例中,每個射頻信號接收路徑包括IF信號接收機362、鎖相迴路模組334、放大器335和338以及混頻器336。在一些實施例中,IF信號接收機332經配置以從混頻器336的輸出接收IF信號IF_out。鎖相迴路模組334可以經配置以通過鎖相過程基於參考頻率FR產生本地振盪器信號LO。在一些實施例中,本地振盪器信號LO通過放大器335被放大。在一些實施例中,放大器335是運算放大器。混頻器336經配置以將射頻信號RF_out向下變頻為預定頻段(例如445kHz)的IF信號IF_out。在一些實施例中,射頻信號RF_out通過放大器,例如運算放大器,被放大。The RF circuit board 121 includes a pair of RF signal receiving paths, a pair of power combiner networks 352 and two rows of receiver arrays 301 . The radio frequency signal generation path of Figure 4A proceeds in the opposite manner to that shown in Figure 3A. In some embodiments, the left row of receiver array 301 and the right row of receiver array 301 are arranged symmetrically along a centerline between the left and right rows of receiver array 301 . In an embodiment, the left power combiner network 352 and the right power combiner network 352 are arranged symmetrically along a centerline between the left and right power combiner networks 352 . In some embodiments, each radio frequency signal receive path includes an IF signal receiver 362, a phase locked loop module 334, amplifiers 335 and 338, and a mixer 336. In some embodiments, IF signal receiver 332 is configured to receive IF signal IF_out from the output of mixer 336 . The phase locked loop module 334 may be configured to generate the local oscillator signal LO based on the reference frequency FR through a phase locking process. In some embodiments, local oscillator signal LO is amplified by amplifier 335 . In some embodiments, amplifier 335 is an operational amplifier. The mixer 336 is configured to down-convert the radio frequency signal RF_out to an IF signal IF_out of a predetermined frequency band (eg, 445 kHz). In some embodiments, the radio frequency signal RF_out is amplified by an amplifier, such as an operational amplifier.

在一些實施例中,功率組合器網路352連接到混頻器336的輸入端並且經配置以將來自每個射頻晶片208(參見圖4C)的射頻信號RF_out收集成組合的射頻數據信號RF_out。圖4A中所示的功率組合器網路352類似於圖3A所示的功率分配器342的多級功率組合器網路,除了輸入端和輸出端彼此顛倒以外。在一些實施例中,包括校準數據Din、數據時脈信號CLK和同步時脈信號SYNC的控制信號通過匯流排或多條信號線提供給每個接收機陣列301。In some embodiments, power combiner network 352 is connected to the input of mixer 336 and is configured to collect the radio frequency signals RF_out from each radio frequency die 208 (see Figure 4C) into a combined radio frequency data signal RF_out. The power combiner network 352 shown in Figure 4A is similar to the multi-stage power combiner network of the power divider 342 shown in Figure 3A, except that the input and output ends are reversed from each other. In some embodiments, control signals including calibration data Din, data clock signal CLK, and synchronization clock signal SYNC are provided to each receiver array 301 through a bus or multiple signal lines.

圖4B是根據一些實施例在圖4A所示的接收機101的接收機陣列301的方塊示意圖。在一些實施例中,接收機陣列301包括另一個功率組合器網路356和多個接收機區塊311。在一些實施例中,圖4B所示的功率組合器網路356類似於圖3B所示的功率分配器網路346,除了輸入端和輸出端彼此顛倒除外。在一些實施例中,電源電壓VD和控制信號,包括校準數據Din、數據時脈信號CLK和同步時脈信號SYNC,也通過匯流排或多條信號線提供給每個接收機區塊311。.Figure 4B is a block schematic diagram of receiver array 301 of receiver 101 shown in Figure 4A, according to some embodiments. In some embodiments, receiver array 301 includes another power combiner network 356 and a plurality of receiver blocks 311. In some embodiments, the power combiner network 356 shown in Figure 4B is similar to the power divider network 346 shown in Figure 3B except that the input and output ends are reversed from each other. In some embodiments, the supply voltage VD and control signals, including calibration data Din, data clock signal CLK and synchronization clock signal SYNC, are also provided to each receiver block 311 through a bus or multiple signal lines. .

圖4C是根據一些實施例在圖4B所示的接收機陣列301的接收機區塊311的方塊示意圖。在一些實施例中,接收機區塊311由一列射頻晶片209和對應於該列射頻晶片209的一列天線饋線213形成。在一些實施例中,參照之前圖2B的討論,每個射頻晶片209包括單獨的射頻電路,也稱為射頻電路209。在一些實施例中,射頻晶片209經配置為接收機(RX)射頻晶片。在一些實施例中,提供電源電壓VD並將其傳送到每個射頻晶片209。此外,控制信號,包括校準數據Din、數據時脈信號CLK和同步時脈信號SYNC被饋送到每個射頻晶片209。參照圖2B和4C,在一些實施例中,射頻信號RF_in被天線貼片206接收,並通過基板202、饋線213、射頻晶片209(輸出為射頻信號RF_out)和傳輸線220而傳送至信號埠RF_in。Figure 4C is a block schematic diagram of receiver block 311 of receiver array 301 shown in Figure 4B, according to some embodiments. In some embodiments, the receiver block 311 is formed from an array of radio frequency dies 209 and an array of antenna feeds 213 corresponding to the array of radio frequency dies 209 . In some embodiments, as discussed previously with reference to FIG. 2B , each radio frequency die 209 includes a separate radio frequency circuit, also referred to as radio frequency circuit 209 . In some embodiments, radio frequency die 209 is configured as a receiver (RX) radio frequency die. In some embodiments, supply voltage VD is provided and delivered to each radio frequency die 209 . In addition, control signals including calibration data Din, data clock signal CLK and synchronization clock signal SYNC are fed to each radio frequency chip 209 . 2B and 4C, in some embodiments, the radio frequency signal RF_in is received by the antenna patch 206 and transmitted to the signal port RF_in through the substrate 202, the feeder 213, the radio frequency chip 209 (output as the radio frequency signal RF_out) and the transmission line 220.

射頻晶片209經配置以根據校準數據Din從天線饋線213上的射頻輸入信號RF_in提供經校準射頻信號RF_out。在一些實施例中,校準的更新速率由數據時脈信號CLK和同步時脈信號SYNC控制。在一些實施例中,射頻晶片209包括分別用於同相射頻信號分量RF_in_I和正交射頻信號分量RF_in_Q、電源電壓VD、校準數據Din、數據時脈信號CLK和同步時脈信號的輸入埠。在一些實施例中,射頻晶片209包括用於對應的校準數據和射頻信號RF_out的輸出埠Dout。校準數據Din、數據時脈信號CLK和同步時脈信號SYNC的功能和配置與圖3A至圖3C的描述類似,在此不再贅述。The radio frequency chip 209 is configured to provide a calibrated radio frequency signal RF_out from the radio frequency input signal RF_in on the antenna feeder 213 according to the calibration data Din. In some embodiments, the calibrated update rate is controlled by the data clock signal CLK and the synchronization clock signal SYNC. In some embodiments, the radio frequency chip 209 includes input ports for the in-phase radio frequency signal component RF_in_I and the quadrature radio frequency signal component RF_in_Q, the supply voltage VD, the calibration data Din, the data clock signal CLK and the synchronization clock signal respectively. In some embodiments, the radio frequency chip 209 includes an output port Dout for corresponding calibration data and radio frequency signal RF_out. The functions and configurations of the calibration data Din, the data clock signal CLK and the synchronization clock signal SYNC are similar to those described in FIGS. 3A to 3C and will not be described again here.

在一些實施例中,接收機區塊311包括傳輸線220,其位於第一端(即,接收機區塊311的輸出埠)和傳輸線220的第二端之間。在一些實施例中,接收機的第二端傳輸線220通過電阻負載372連接到地。在一些實施例中,傳輸線220為直線或包含多個往不同方向延伸之線段的線。在一實施例中,傳輸線220平行於射頻晶片209所組成的一列。電阻負載372可以包括電阻器。在一些實施例中,電阻負載372的電阻值被決定為匹配傳輸線220的阻抗,以便消除信號反射。在一些實施例中,電阻負載372包括大約50歐姆的電阻值。In some embodiments, receiver block 311 includes transmission line 220 between a first end (ie, the output port of receiver block 311 ) and a second end of transmission line 220 . In some embodiments, the second end of the receiver transmission line 220 is connected to ground through a resistive load 372 . In some embodiments, the transmission line 220 is a straight line or a line including multiple line segments extending in different directions. In one embodiment, the transmission lines 220 are parallel to a row of RF chips 209 . Resistive load 372 may include a resistor. In some embodiments, the resistance value of resistive load 372 is determined to match the impedance of transmission line 220 in order to eliminate signal reflections. In some embodiments, resistive load 372 includes a resistance value of approximately 50 ohms.

在一些實施例中,所提供的射頻信號RF_out在傳輸線220的第一端和第二端之間傳播。由各個天線饋線213提供的射頻信號應該根據校準數據Din中的相位調整數據相位以適當的相位延遲來解調,以共同形成經累加的建設性射頻信號RF_out。因此,在組合射頻信號分量RF_in_I和RF_in_Q之前或在將單獨的射頻信號RF_in饋送到傳輸線220之前,根據一個或多個設計標準,例如它們在天線陣列中的位置,對它們進行相位解調。在一些實施例中,每個射頻信號RF_out是電流信號Iout,從對應的射頻晶片209饋送到傳輸線220上在不同位置Di的對應連接端。In some embodiments, the provided radio frequency signal RF_out propagates between the first end and the second end of the transmission line 220 . The radio frequency signals provided by the respective antenna feeders 213 should be demodulated with appropriate phase delays according to the phase adjustment data phase in the calibration data Din to jointly form the accumulated constructive radio frequency signal RF_out. Therefore, before combining the radio frequency signal components RF_in_I and RF_in_Q or before feeding the individual radio frequency signals RF_in to the transmission line 220, they are phase demodulated according to one or more design criteria, such as their position in the antenna array. In some embodiments, each radio frequency signal RF_out is a current signal Iout fed from a corresponding radio frequency chip 209 to a corresponding connection end on the transmission line 220 at a different position Di.

在一些實施例中,射頻晶片209被設計為包括具有與傳輸線220匹配的阻抗的輸出端,用於最大化射頻信號RF_out的電流Iout。例如,射頻晶片209的輸出阻抗約為50歐姆。In some embodiments, the radio frequency chip 209 is designed to include an output terminal with an impedance matched to the transmission line 220 for maximizing the current Iout of the radio frequency signal RF_out. For example, the output impedance of the radio frequency chip 209 is approximately 50 ohms.

參照圖4A和4B,經收集和組合的射頻信號RF_out通過功率組合器網路356和352傳輸到混頻器336以執行向下變頻到IF信號IF_out。鎖相迴路模組334可經配置以根據參考頻率FR經由鎖相程序產生本地振盪器信號LO。在一些實施例中,IF信號接收機362經配置以從混頻器336的輸出接收中頻(IF)數據信號IF_in。在一些實施例中,本地振盪器信號LO通過放大器335被放大。在一些實施例中,放大器335是運算放大器。中頻信號IF_out通過中頻信號接收機362和連接電路板130傳送至控制電路板110。在一些實施例中,中頻信號IF_out由控制器317或數據處理模組323向下變頻為基頻信號,在射頻信號RF_out中經調變的傳送數據可從基頻信號中解調和檢測得到。Referring to Figures 4A and 4B, the collected and combined radio frequency signal RF_out is transmitted to mixer 336 through power combiner networks 356 and 352 to perform down conversion to IF signal IF_out. The phase locked loop module 334 may be configured to generate the local oscillator signal LO via a phase lock procedure according to the reference frequency FR. In some embodiments, IF signal receiver 362 is configured to receive an intermediate frequency (IF) data signal IF_in from the output of mixer 336 . In some embodiments, local oscillator signal LO is amplified by amplifier 335 . In some embodiments, amplifier 335 is an operational amplifier. The intermediate frequency signal IF_out is transmitted to the control circuit board 110 through the intermediate frequency signal receiver 362 and the connection circuit board 130 . In some embodiments, the intermediate frequency signal IF_out is down-converted to a base frequency signal by the controller 317 or the data processing module 323, and the modulated transmission data in the radio frequency signal RF_out can be demodulated and detected from the base frequency signal.

圖4D根據一些實施例顯示圖4C所示的射頻晶片209的示意方塊圖和射頻晶片209的輸出的等效電路。圖4D在左側子圖根據一些實施例顯示出圖4C所示的射頻晶片209的示意方塊圖。在一些實施例中,射頻晶片209的輸出端209A或輸出埠由FET M2形成,其中汲極端子M2D通過分支傳輸線221連接到傳輸線220。在一些實施例中,射頻晶片209的輸出端209A被設計成包括相對高的輸入阻抗以確保從射頻晶片209接收以提供給傳輸線220的RF信號RF_out的大部分輸出電流Iout不會流回相同的接收器區塊311中的其他射頻晶片209。FIG. 4D shows a schematic block diagram of the radio frequency chip 209 shown in FIG. 4C and an equivalent circuit of the output of the radio frequency chip 209 according to some embodiments. The left sub-figure of Figure 4D shows a schematic block diagram of the radio frequency chip 209 shown in Figure 4C according to some embodiments. In some embodiments, the output terminal 209A or output port of the radio frequency chip 209 is formed by FET M2, with the drain terminal M2D connected to the transmission line 220 through the branch transmission line 221. In some embodiments, the output terminal 209A of the radio frequency chip 209 is designed to include a relatively high input impedance to ensure that most of the output current Iout received from the radio frequency chip 209 to provide the RF signal RF_out to the transmission line 220 does not flow back to the same Other radio frequency chips 209 in the receiver block 311.

在一些實施例中,MOSFET M2通過電容器Cp1連接到射頻晶片209的輸出埠209A。在一些實施例中,電容器Cp1在汲極端M2D處連接到射頻晶片209的二極體D21和D22。參見圖4D的右側子圖,左側子圖所示連接到射頻晶片209的輸入端209A的電路可以表示為由電容Cp與輸入電阻Rp並聯所構成的等效電路。在一些實施例中,從射頻晶片209的汲極端M2D看向射頻晶片209的輸入阻抗或電阻Rp基本上等於或大於從連接至傳輸線220的輸出端209A看向傳輸線220的輸入阻抗的十倍。在一些實施例中,射頻晶片209的輸入電阻Rp至少等於或大於500歐姆、1000歐姆或5000歐姆。In some embodiments, MOSFET M2 is connected to output port 209A of radio frequency chip 209 through capacitor Cp1. In some embodiments, capacitor Cp1 is connected to diodes D21 and D22 of radio frequency die 209 at drain terminal M2D. Referring to the right subfigure of FIG. 4D , the circuit connected to the input terminal 209A of the radio frequency chip 209 shown in the left subfigure can be expressed as an equivalent circuit composed of a capacitor Cp and an input resistor Rp connected in parallel. In some embodiments, the input impedance or resistance Rp looking toward the radio frequency chip 209 from the drain terminal M2D of the radio frequency chip 209 is substantially equal to or greater than ten times the input impedance looking toward the transmission line 220 from the output terminal 209A connected to the transmission line 220 . In some embodiments, the input resistance Rp of the radio frequency chip 209 is at least equal to or greater than 500 ohms, 1000 ohms, or 5000 ohms.

基於上述,所提出的串聯型信號累加方法提供了優點。如果可以很好地管理漏電流,則由於MOSFET的高阻抗特性,在同一接收器區塊311中從一個射頻晶片209流到其他射頻晶片209的電流值會非常低。因此,射頻晶片209的功率收集效率會相對較高,而不會影響元件性能。此外,接收器區塊311的額外的相位校準模組不是必需的,因為控制信號中的校準數據已經包括相位陣列天線架構中的相位校準數據以輔助校準RF信號RF_in(包括同相RF信號分量RF_in_I和正交射頻信號分量RF_in_Q),其中延遲相位的調整也包括相位調整或校準。Based on the above, the proposed series-type signal accumulation method provides advantages. If the leakage current can be managed well, the current flowing from one RF die 209 to the other RF die 209 in the same receiver block 311 will be very low due to the high impedance nature of the MOSFETs. Therefore, the power collection efficiency of the radio frequency chip 209 will be relatively high without affecting device performance. Furthermore, an additional phase calibration module of the receiver block 311 is not necessary because the calibration data in the control signal already includes the phase calibration data in the phased array antenna architecture to assist in calibrating the RF signal RF_in (including the in-phase RF signal components RF_in_I and Quadrature radio frequency signal component RF_in_Q), in which the adjustment of the delay phase also includes phase adjustment or calibration.

以上概述了幾個實施例的特徵,以便本領域技術人員可以更好地理解本案的各個方面。本領域的技術人員應該理解,他們可以容易地使用本案作為設計或修改用於執行相同目的和/或實現本文介紹的實施例的相同優點的其他過程和結構的基礎。本領域技術人員也應該意識到,這樣的等效結構並不脫離本發明的精神和範圍,並且可以在不脫離本發明的精神和範圍的情況下對本文進行各種改動、替換和變更。The features of several embodiments are summarized above so that those skilled in the art can better understand various aspects of the present case. Those skilled in the art should appreciate that they may readily use the present application as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent structures do not depart from the spirit and scope of the present invention, and various modifications, substitutions and alterations can be made herein without departing from the spirit and scope of the present invention.

10:無線通信系統 12,14,16,18:用戶設備 22:地面基站 24:非地面基站 100:發射機 101:接收機 110,111:控制電路板 120,121:射頻電路板 130:連接電路板 140:天線元件 150:半導體裸片 202:基板 204:互連結構 206:天線元件 208,209:射頻(RF)晶片 208A:輸入端 209A:輸出端 210:導線 212,213:天線饋線 220:傳輸線 221:分支傳輸線 222A,222B,222C:第一導線/焊盤 224A,224B:導電通孔 226A,226B,226C:絕緣材料 300,301:發射機陣列 302:供應電壓源 304:控制單元 310:發射機區塊 311:接收機區塊 312:電源轉換模組 314:記憶體模組 316,317:控制器 318:本地振盪器模組 322,323:數據處理模組 332,362:中頻(IF)信號接收機 334:鎖相迴路模組 335,338:放大器 336:混頻器 342,346:功率分配器網路 344:功率分配器 352:功率組合器網路 356:功率組合器網路 360:場效應電晶體 360G:柵極端 372:電阻負載 A1:部分 CLK:數據時脈信號 Cp,Cp1:電容器 D11,D12,D21,D22:二極體 D1~D7:位置 Din,Dout:校準數據 FR:參考頻率信號 IF_in:中頻(IF)數據信號 IF_out:射頻信號 LO:參考頻率信號 M1,M2:電晶體 M1G,M2G:閘極電極 M1D,M2D:汲極端 M1S,M2S:源極端 R in,RP:輸入電阻 RF_in,RF_out:射頻信號 RF_in_I:射頻信號同相分量 RF_in_Q:射頻信號正交分量 RF_out_I:射頻信號同相分量 RF_out_Q:射頻信號正交分量 SYNC:同步時脈信號 TX:發射機 RX:接收機 VD:電源電壓 10: Wireless communication system 12, 14, 16, 18: User equipment 22: Ground base station 24: Non-ground base station 100: Transmitter 101: Receiver 110, 111: Control circuit board 120, 121: Radio frequency circuit board 130: Connection circuit board 140: Antenna Component 150: Semiconductor die 202: Substrate 204: Interconnect structure 206: Antenna component 208, 209: Radio frequency (RF) chip 208A: Input terminal 209A: Output terminal 210: Wire 212, 213: Antenna feeder 220: Transmission line 221: Branch transmission line 222A, 222B , 222C: first wire/pad 224A, 224B: conductive via 226A, 226B, 226C: insulating material 300, 301: transmitter array 302: supply voltage source 304: control unit 310: transmitter block 311: receiver block 312: Power conversion module 314: Memory module 316, 317: Controller 318: Local oscillator module 322, 323: Data processing module 332, 362: Intermediate frequency (IF) signal receiver 334: Phase locked loop module 335, 338: Amplifier 336 :Mixer 342, 346: Power divider network 344: Power divider 352: Power combiner network 356: Power combiner network 360: Field effect transistor 360G: Gate terminal 372: Resistive load A1: Partial CLK: Data Clock signal Cp, Cp1: Capacitor D11, D12, D21, D22: Diode D1~D7: Position Din, Dout: Calibration data FR: Reference frequency signal IF_in: Intermediate frequency (IF) data signal IF_out: Radio frequency signal LO: Reference frequency signal M1, M2: transistor M1G, M2G: gate electrode M1D, M2D: drain terminal M1S, M2S: source terminal R in , RP: input resistance RF_in, RF_out: radio frequency signal RF_in_I: in-phase component of radio frequency signal RF_in_Q: radio frequency Signal quadrature component RF_out_I: Radio frequency signal in-phase component RF_out_Q: Radio frequency signal quadrature component SYNC: Synchronous clock signal TX: Transmitter RX: Receiver VD: Power supply voltage

當與附圖一起閱讀時,從以下詳細描述中可以最佳地理解本案的各方面。應該注意的是,根據本領域業界的標準做法,各種特徵並非按比例繪製。事實上,為了討論的清晰,可以任意增加或減少各種特徵的尺寸。Aspects of the present case are best understood from the following detailed description when read in conjunction with the accompanying drawings. It should be noted that, in accordance with standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or decreased for clarity of discussion.

圖1是根據本案的一些實施例的下一代通信場景中的無線通信系統的示意圖。Figure 1 is a schematic diagram of a wireless communication system in a next-generation communication scenario according to some embodiments of the present case.

圖2A是根據一些實施例的用戶設備的發射機或接收機的立體示意圖。Figure 2A is a three-dimensional schematic diagram of a transmitter or receiver of user equipment according to some embodiments.

圖2B是根據一些實施例在圖2A所示的發射機或接收機的部分放大圖。Figure 2B is an enlarged view of a portion of the transmitter or receiver shown in Figure 2A, according to some embodiments.

圖2C是根據一些實施例在圖2B的發射機或接收機的剖面示意圖。Figure 2C is a schematic cross-sectional view of the transmitter or receiver of Figure 2B according to some embodiments.

圖3A是根據一些實施例的發射機的方塊示意圖。Figure 3A is a block schematic diagram of a transmitter in accordance with some embodiments.

圖3B是根據一些實施例在圖3A所示的發射機的發射機陣列的方塊示意圖。Figure 3B is a block schematic diagram of a transmitter array of the transmitter shown in Figure 3A, according to some embodiments.

圖3C是根據一些實施例在圖3B所示的發射機陣列的發射機區塊的方塊示意圖。Figure 3C is a block schematic diagram of a transmitter block of the transmitter array shown in Figure 3B, according to some embodiments.

圖3D是根據一些實施例在圖3C所示的射頻晶片的方塊示意圖以及該射頻晶片的輸入的等效電路。Figure 3D is a block schematic diagram of the radio frequency chip shown in Figure 3C and an equivalent circuit of the input of the radio frequency chip according to some embodiments.

圖4A是根據一些實施例的接收機的方塊示意圖。Figure 4A is a block schematic diagram of a receiver in accordance with some embodiments.

圖4B是根據一些實施例在圖4A所示接收機的接收機陣列的方塊示意圖。Figure 4B is a block schematic diagram of a receiver array of the receiver shown in Figure 4A, according to some embodiments.

圖4C是根據一些實施例在圖4B所示的接收機陣列的接收機區塊的方塊示意圖。Figure 4C is a block schematic diagram of a receiver block of the receiver array shown in Figure 4B, according to some embodiments.

圖4D是根據一些實施例在圖4C所示的射頻晶片的方塊示意圖以及該射頻晶片的輸入的等效電路。Figure 4D is a block schematic diagram of the radio frequency chip shown in Figure 4C and an equivalent circuit of the input of the radio frequency chip according to some embodiments.

208:射頻(RF)晶片 208: Radio frequency (RF) chip

212:天線饋線 212:Antenna feeder

220:傳輸線 220:Transmission line

221:分支傳輸線 221: Branch transmission line

310:發射機區塊 310:Transmitter block

372:電阻負載 372: Resistive load

CLK:數據時脈信號 CLK: data clock signal

D1~D7:位置 D1~D7: position

Din、Dout:校準數據 Din, Dout: calibration data

Rin:輸入電阻 R in : input resistance

RF_in:射頻信號 RF_in: radio frequency signal

RF_out_I:射頻信號同相分量 RF_out_I: In-phase component of radio frequency signal

RF_out_Q:射頻信號正交分量 RF_out_Q: Quadrature component of radio frequency signal

SYNC:同步時脈信號 SYNC: synchronization clock signal

TX:發射機 TX: transmitter

VD:電源電壓 VD: power supply voltage

Claims (20)

一種無線通信系統,包括: 多個天線; 多個射頻晶片,排列成一列,並耦接至天線,用以根據射頻信號提供多個射頻輸出信號至該等天線; 傳輸線,設置為與列平行的直線,並連接該等射頻晶片;及 電阻負載,耦合到該傳輸線的第一端, 其中該傳輸線的第二端經配置為接收該射頻信號。 A wireless communication system including: multiple antennas; A plurality of radio frequency chips arranged in a row and coupled to the antenna for providing a plurality of radio frequency output signals to the antennas according to the radio frequency signal; Transmission lines arranged as straight lines parallel to the columns and connecting the radio frequency chips; and resistive load, coupled to the first end of the transmission line, The second end of the transmission line is configured to receive the radio frequency signal. 如請求項1的無線通信系統,其中該等射頻晶片均勻分佈於該傳輸線該第一端與該第二端之間以連接至該傳輸線。The wireless communication system of claim 1, wherein the radio frequency chips are evenly distributed between the first end and the second end of the transmission line to be connected to the transmission line. 如請求項1的無線通信系統,其中每個該等射頻晶片經配置以通過該傳輸線上的相應連接端耦合電壓信號來接收該射頻信號。The wireless communication system of claim 1, wherein each of the radio frequency chips is configured to receive the radio frequency signal by coupling a voltage signal through a corresponding connection end on the transmission line. 如請求項1的無線通信系統,其中每個該等射頻晶片包括電晶體,該電晶體具有連接到該傳輸線的輸入端的柵極端。The wireless communication system of claim 1, wherein each of the radio frequency chips includes a transistor having a gate terminal connected to an input terminal of the transmission line. 如請求項4的無線通信系統,還包括多個分支傳輸線,每個該等分支傳輸線耦接於對應的該等射頻晶片的該柵極端與該傳輸線之間。The wireless communication system of claim 4 further includes a plurality of branch transmission lines, each of the branch transmission lines being coupled between the gate end of the corresponding radio frequency chips and the transmission line. 如請求項4的無線通信系統,其中從對應的該等射頻晶片的該柵極端看向的第一阻抗比從連接到該傳輸線的對應輸入端看向該傳輸線的第二阻抗大十倍。The wireless communication system of claim 4, wherein the first impedance viewed from the gate end of the corresponding radio frequency chips is ten times greater than the second impedance viewed from the corresponding input end connected to the transmission line toward the transmission line. 如請求項1的無線通信系統,還包括: 基板,具有一第一表面及相對於第一表面的一第二表面, 其中,該等天線設置於該第一表面上,而該等射頻晶片與該傳輸線設置於該第二表面上。 The wireless communication system of claim 1 also includes: A substrate having a first surface and a second surface opposite to the first surface, Wherein, the antennas are disposed on the first surface, and the radio frequency chips and the transmission lines are disposed on the second surface. 如請求項7的無線通信系統,其中該基板是透明的。The wireless communication system of claim 7, wherein the substrate is transparent. 如請求項7的無線通信系統,其中該基板由玻璃、矽熔石英或石英形成。The wireless communication system of claim 7, wherein the substrate is formed of glass, silica fused quartz or quartz. 如請求項7的無線通信系統,其中該等射頻晶片經配置以通過該基板將該射頻信號耦合到該等天線,其中該基板在該等晶片和該等天線之間沒有任何導電元件。The wireless communication system of claim 7, wherein the radio frequency chips are configured to couple the radio frequency signals to the antennas through the substrate, wherein the substrate does not have any conductive elements between the chips and the antennas. 如請求項1的無線通信系統,還包括多條信號線,連接到該等射頻晶片並經配置以提供該射頻信號的校準數據。The wireless communication system of claim 1 further includes a plurality of signal lines connected to the radio frequency chips and configured to provide calibration data of the radio frequency signals. 如請求項11的無線通信系統,其中該校準數據包括振幅校準數據和相位校準數據中的至少一者。The wireless communication system of claim 11, wherein the calibration data includes at least one of amplitude calibration data and phase calibration data. 如請求項12的無線通信系統,其中該相位校準數據為該等天線的相位陣列天線方案提供每個該等晶片的相位延遲。The wireless communication system of claim 12, wherein the phase calibration data provides a phase delay of each of the wafers for a phased array antenna scheme of the antennas. 一種無線通信系統,包括: 多個天線; 多個射頻晶片,排列成一列並耦接至天線,用以接收來自該等天線的多個射頻信號以輸出多個射頻輸出信號; 傳輸線,設置成與列平行的直線,並連接該等射頻晶片及接收該等射頻輸出信號;及 電阻負載,耦合到該傳輸線的第一端, 其中,該傳輸線的第二端經配置以輸出該等射頻輸出信號的累加射頻輸出信號。 A wireless communication system including: multiple antennas; A plurality of radio frequency chips arranged in a row and coupled to an antenna for receiving a plurality of radio frequency signals from the antennas to output a plurality of radio frequency output signals; Transmission lines, arranged as straight lines parallel to the columns, connect the radio frequency chips and receive the radio frequency output signals; and resistive load, coupled to the first end of the transmission line, Wherein, the second end of the transmission line is configured to output an accumulated radio frequency output signal of the radio frequency output signals. 如請求項14的無線通信系統,其中該等射頻晶片被設置為在該第一端和第二端之間均勻分佈以連接到該傳輸線。The wireless communication system of claim 14, wherein the radio frequency chips are arranged to be evenly distributed between the first end and the second end for connection to the transmission line. 如請求項14的無線通信系統,其中每個該等射頻輸出信號是電流信號,從相應的該等射頻晶片饋送到該傳輸線上相應的連接端子。The wireless communication system of claim 14, wherein each of the radio frequency output signals is a current signal fed from the corresponding radio frequency chips to the corresponding connection terminal on the transmission line. 如請求項14的無線通信系統,其中每個該等射頻晶片包括電晶體,該電晶體具有汲極端子,其通過每個該等晶片的輸出端連接到該傳輸線。The wireless communication system of claim 14, wherein each of the radio frequency chips includes a transistor having a drain terminal connected to the transmission line through an output end of each of the chips. 如請求項17的無線通信系統,還包括: 多個分支傳輸線,每個該等分支傳輸線耦接於對應的該等射頻晶片的該汲極與該傳輸線之間。 The wireless communication system of claim 17 also includes: A plurality of branch transmission lines, each of the branch transmission lines is coupled between the drain and the transmission line of the corresponding radio frequency chips. 如請求項17的無線通信系統,其中從連接到該傳輸線的相應輸出端看向相應的該等射頻晶片的該汲極端的第一阻抗比看向該傳輸線的第二阻抗大十倍。The wireless communication system of claim 17, wherein the first impedance viewed from the corresponding output end connected to the transmission line toward the drain terminal of the corresponding radio frequency chips is ten times greater than the second impedance viewed toward the transmission line. 如請求項14的無線通信系統,還包括: 基板,具有一第一表面及相對於該第一表面的一第二表面, 其中該天線設置於該第一表面上,而該等射頻晶片與該傳輸線設置於該第二表面上。 The wireless communication system of claim 14 also includes: The substrate has a first surface and a second surface opposite to the first surface, The antenna is disposed on the first surface, and the radio frequency chips and the transmission lines are disposed on the second surface.
TW111148088A 2022-06-24 2022-12-14 Radio-frequency circuit for phased array antenna TW202401902A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202263367030P 2022-06-24 2022-06-24
US63/367,030 2022-06-24

Publications (1)

Publication Number Publication Date
TW202401902A true TW202401902A (en) 2024-01-01

Family

ID=90457709

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111148088A TW202401902A (en) 2022-06-24 2022-12-14 Radio-frequency circuit for phased array antenna

Country Status (1)

Country Link
TW (1) TW202401902A (en)

Similar Documents

Publication Publication Date Title
US10431892B2 (en) Antenna-in-package structures with broadside and end-fire radiations
Shin et al. A 108–114 GHz 4$\,\times\, $4 Wafer-Scale Phased Array Transmitter With High-Efficiency On-Chip Antennas
US10756445B2 (en) Switchable transmit and receive phased array antenna with high power and compact size
TWI417001B (en) Circuit device
US7916083B2 (en) Vertically integrated electronically steered phased array and method for packaging
Dunworth et al. 28GHz phased array transceiver in 28nm bulk CMOS for 5G prototype user equipment and base stations
US11936124B2 (en) Antenna element module
Sadhu et al. A 24–30-GHz 256-element dual-polarized 5G phased array using fast on-chip beam calculators and magnetoelectric dipole antennas
Zihir et al. A 60 GHz single-chip 256-element wafer-scale phased array with EIRP of 45 dBm using sub-reticle stitching
Rebeiz et al. Millimeter-wave large-scale phased-arrays for 5G systems
US20210351518A1 (en) Low-cost, ipd and laminate based antenna array module
US7298344B2 (en) Series fed amplified antenna reflect array
US6759920B1 (en) Multi-layer balun transformer
PourMousavi et al. Antenna array in eWLB for 61 GHz FMCW radar
TW202401902A (en) Radio-frequency circuit for phased array antenna
US20230422394A1 (en) Radio-frequency circuit for phased array antenna
Oshima et al. A X-band reconfigurable phased array antenna system using 0.13-µm SiGe BiCMOS IC with 5-bit IF phase shifters
CN117293565A (en) Radio frequency circuit for phased array antenna
TWI846207B (en) Probe assembly, system and method for testing rf device of phased array antenna
Rebeiz Millimeter-wave SiGe RFICs for large-scale phased-arrays
US20240201245A1 (en) Probe assembly, system and method for testing rf device of phased array antenna
Chen et al. Miniature 2.4-GHz switched beamformer module in IPD and its application to very-low-profile 1D and 2D scanning antenna arrays
TW202424502A (en) Probe assembly, system and method for testing rf device of phased array antenna
US20230420842A1 (en) Phased array antenna with independent signal calibration
TWI841119B (en) Method for testing antenna array and probing system for testing at least one antenna device during testing steps