TW202345328A - Microelectronic die including swappable phy circuitry and semiconductor package including same - Google Patents

Microelectronic die including swappable phy circuitry and semiconductor package including same Download PDF

Info

Publication number
TW202345328A
TW202345328A TW111144758A TW111144758A TW202345328A TW 202345328 A TW202345328 A TW 202345328A TW 111144758 A TW111144758 A TW 111144758A TW 111144758 A TW111144758 A TW 111144758A TW 202345328 A TW202345328 A TW 202345328A
Authority
TW
Taiwan
Prior art keywords
circuits
die
electrical
phy
circuit
Prior art date
Application number
TW111144758A
Other languages
Chinese (zh)
Inventor
傑拉德 帕斯特
治國 錢
薩帝亞 帝亞加拉傑
拉米普亞 斯山
王培培
迪班德拉 達斯沙瑪
斯里坎特 尼瑪加達
佐國 吳
斯瓦德斯 喬杜里
那拉辛哈 蘭卡
Original Assignee
美商英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/824,974 external-priority patent/US20230230923A1/en
Application filed by 美商英特爾股份有限公司 filed Critical 美商英特爾股份有限公司
Publication of TW202345328A publication Critical patent/TW202345328A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other

Landscapes

  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

A microelectronic device, a semiconductor package including the device, an IC device assembly including the package, and a method of making the device. The device includes a substrate; physical layer (PHY) circuitry on the substrate including a plurality of receive (RX) circuits and a plurality of transmit (TX) circuits; electrical contact structures at a bottom surface of the device; signal routing paths extending between the electrical contact structures on one hand, and, on another hand, at least some of the RX circuits or at least some of the TX circuits; and electrical pathways leading to the PHY circuitry and configured such that at least one of: an enable signal input to the device is to travel through at least some of the electrical pathways to enable a portion of the PHY circuitry; or a disable signal input to the device is to travel through at least some of the electrical pathways to disable a corresponding portion of the PHY circuitry.

Description

包含可抽換PHY電路的微電子晶片和包含該晶片的半導體封裝Microelectronic chips containing removable PHY circuits and semiconductor packages containing the same

本發明大致有關封裝內晶粒到晶粒(D2D)互連技術,例如有關快速互連(CXi)互連。 [ 相關申請案之對照 ] The present invention generally relates to in-package die-to-die (D2D) interconnect technologies, such as interconnect fast (CXi) interconnects. [ Comparison of related applications ]

此申請案主張2021年12月30日所申請之印度專利申請案第202141061702號的權益和優先權,透過引用將該申請案全案揭示內容結合於本文。 This application claims the rights and priority of Indian Patent Application No. 202141061702 filed on December 30, 2021, and the entire disclosure of the application is incorporated into this article by reference.

封裝內晶粒到晶粒(D2D)互連技術在高層次上包括標準互連機制和先進互連機制,用以提供封裝基板頂面上所配置的兩個晶粒之間的信號連接。標準互連機制涉及通常在封裝基板的有機積層內之信號路由跡線的提供,用以將兩個晶粒彼此耦接。先進互連機制提供嵌入於封裝基板內的矽橋結構,其中該矽橋結構包括其中的信號路由跡線,用以將兩個晶粒彼此耦接。用於先進封裝互連機制的矽橋結構的例子包括嵌入式多晶粒互連橋接器(EMIB),或基板上晶圓上晶片(CoWoS)。可以根據許多因子來選擇給定的D2D互連技術或機制,諸如例如,帶寬密度需求(例如,每毫米帶寬(BW/mm)和/或BW/ )、晶粒/封裝所需布局規畫、以及可用形狀因子。 In-package die-to-die (D2D) interconnect technology includes standard interconnect mechanisms and advanced interconnect mechanisms at a high level to provide signal connections between two dies configured on the top surface of the package substrate. Standard interconnect mechanisms involve the provision of signal routing traces, usually within organic build-up layers of the packaging substrate, to couple two dies to each other. Advanced interconnect mechanisms provide a silicon bridge structure embedded within a package substrate, where the silicon bridge structure includes signal routing traces therein to couple two dies to each other. Examples of silicon bridge structures used in advanced packaging interconnect mechanisms include embedded multi-die interconnect bridges (EMIB), or chip-on-wafer-on-substrate (CoWoS). A given D2D interconnect technology or mechanism may be selected based on a number of factors, such as, for example, bandwidth density requirements (e.g., bandwidth per millimeter (BW/mm) and/or BW/ ), die/package required layout planning, and available form factors.

and

以下描述和附圖充分說明了具體實施例,用以使熟習於本項技藝的該等人士能夠實踐它們。其他實施例可以包含結構、邏輯、電性、處理、和其他的變化。一些實施例的部分和特徵可以包含在或替代其他實施例的部分和特徵。在申請專利範圍中所闡述的實施例涵蓋該等申請專利範圍的可用等效物。在以下描述中,將使用熟習於本項技藝的該等人士所通常使用的術語來描述示例性實施方式的各種觀點,用以傳達他們工作的實質給熟習於本項技藝的其他人士。然而,對於熟習於本項技藝之該等人士而言將呈顯而易見的是,可以僅以所描述之觀點中的一些觀點實踐本發明的實施例。為了解說之目的,陳述了具體的數字、材料、和組態,以便提供對示例性實施方式的透徹瞭解。惟,對於熟習於本項技藝之人士而言將呈明顯的是,本發明的實施例可以在沒有具體細節的情況下實踐。在其他情況中,省略或簡化了熟知的特徵,以免混淆示例性實施方式。The following description and drawings illustrate specific embodiments sufficiently to enable those skilled in the art to practice them. Other embodiments may incorporate structural, logical, electrical, processing, and other changes. Portions and features of some embodiments may be included in or substituted for those of other embodiments. The embodiments set forth in the claimed claims encompass available equivalents within the claimed claims. In the following description, various aspects of the exemplary embodiments will be described using terminology commonly used by those skilled in the art in order to convey the substance of their work to others skilled in the art. However, it will be apparent to those skilled in the art that embodiments of the invention may be practiced in only some of the described viewpoints. For purposes of illustration, specific numbers, materials, and configurations are set forth in order to provide a thorough understanding of the exemplary embodiments. However, it will be apparent to those skilled in the art that embodiments of the invention may be practiced without the specific details. In other instances, well-known features are omitted or simplified in order not to obscure the exemplary embodiments.

在下文的詳細描述中,參考了構成其一部分的附圖,其中相同的字符始終指示相同的部件,並且其中以示例性實施例的方式示出,在該等實施例中可以實踐本發明的標的物。應該理解的是,在不背離本發明範疇的情況下,可以利用其他實施例並且可以做成結構或邏輯上的改變。因此,以下描述不應被視為限制意義,並且實施例的範疇係由所附申請專利範圍及其等效範圍所界定。In the following detailed description, reference is made to the accompanying drawings, which form a part hereof, wherein like characters refer to like parts throughout, and therein are shown by way of example embodiments in which the subject matter of the invention may be practiced. things. It is to be understood that other embodiments may be utilized and structural or logical changes may be made without departing from the scope of the invention. Therefore, the following description should not be taken in a limiting sense, and the scope of the embodiments is defined by the appended claims and their equivalents.

本文所描述的技術可以在一或多個電子裝置中實施。可以利用本文所描述的技術之電子裝置的非限制性實例包括任何種類的行動裝置和/或固定裝置,諸如基於微機電系統(MEMS)的電性系統、陀螺儀、先進駕駛輔助系統(ADAS)、5G通信系統、相機、行動電話、電腦終端機、桌上型電腦、電子閱讀器、傳真機、資訊站、小筆電、筆記型電腦、互聯網裝置、付款終端機、個人數位助理、媒體播放器和/或記錄器、伺服器(例如,刀鋒伺服器、機架安裝伺服器、它們的組合、等等)、機上盒、智慧型手機、平板電腦、超便攜個人電腦、有線電話、它們的組合、等等。該等裝置可以是攜帶式的或固定的。在一些實施例中,本文所描述的技術可以用於桌上型電腦、膝上型電腦、智慧型手機、平板電腦、小筆電、筆記型電腦、個人數位助理、伺服器、它們的組合、等等。更一般地說,本文所描述的技術可以用於各種電子裝置中的任何一種,包括具有被動散熱器、介面層、TIM、頂部晶粒、側面晶粒、基板、和封裝基板的半導體封裝。The techniques described herein may be implemented in one or more electronic devices. Non-limiting examples of electronic devices that may utilize the technology described herein include any kind of mobile device and/or stationary device, such as microelectromechanical systems (MEMS) based electrical systems, gyroscopes, advanced driver assistance systems (ADAS) , 5G communication system, camera, mobile phone, computer terminal, desktop computer, e-reader, fax machine, information station, small laptop, notebook computer, Internet device, payment terminal, personal digital assistant, media player and/or recorders, servers (e.g., blade servers, rack-mounted servers, combinations thereof, etc.), set-top boxes, smartphones, tablets, ultra-portable PCs, wired phones, etc. combination, etc. Such devices may be portable or fixed. In some embodiments, the techniques described herein may be used in desktop computers, laptops, smartphones, tablets, laptops, notebooks, personal digital assistants, servers, combinations thereof, etc. More generally, the techniques described herein may be used in any of a variety of electronic devices, including semiconductor packages having passive heat sinks, interface layers, TIMs, top dies, side dies, substrates, and packaging substrates.

如本文所使用地,術語〝頂部〞、〝底部〞、〝上方〞、〝下方〞、〝最下方〞、和〝最上方〞當與一或多個元件相關使用時係打算要傳達一種關係而非絕對的實體組態。因此,當裝置倒置時,被描述為裝置中的〝最上方元件〞或〝頂部元件〞的元件可以替代地形成該裝置中的〝最下方元件〞或〝底部元件〞。相似地,當裝置倒置時,被描述為該裝置中的〝最下方元件〞或〝底部元件〞的元件可以替代地形成該裝置中的〝最上方元件〞或〝頂部元件〞。As used herein, the terms "top," "bottom," "upper," "lower," "lower" and "uppermost" when used in connection with one or more elements are intended to convey a relationship. Non-absolute entity configuration. Thus, elements described as the "uppermost" or "top" elements of the device could alternatively form the "lowermost" or "bottom" elements of the device when the device is inverted. Similarly, elements described as the "lowermost element" or "bottom element" of the device could alternatively form the "uppermost element" or "top element" of the device when the device is inverted.

與先進封裝互連技術相比,包括標準互連技術的微電子總成往往會遭受到較低互連導線密度的影響。在先進互連機制下使用矽橋允許提供更緊密間距的互連,從而允許更大的信號帶寬藉由在每個晶粒下面所提供的更高密度之更緊密間距的導電性結構(例如,C4凸塊、較小的焊料凸塊、或Cu-Cu連接)而成為可能。Microelectronic assemblies that include standard interconnect technologies tend to suffer from lower interconnect wire densities compared to advanced packaging interconnect technologies. The use of silicon bridges in advanced interconnect mechanisms allows for the provision of closer pitch interconnects, thereby allowing for greater signal bandwidth by providing a higher density of closely spaced conductive structures under each die (e.g., C4 bumps, smaller solder bumps, or Cu-Cu connections) are possible.

現有技術設想D2D實體層組態(PHY),由於多種因子,包括凸塊間距差異以及用於給定PHY區域的連接,該等組態適用於標準和先進封裝基板的封裝內互連機制。Existing technologies envision D2D physical layer configurations (PHYs) that are suitable for in-package interconnection mechanisms on standard and advanced packaging substrates due to a variety of factors, including bump pitch differences and connections for a given PHY area.

如本文所使用地,術語〝PHY〞可意指晶粒內的實體層架構,包括其中的電路,諸如接收(RX)和傳輸(TX)電路。也就是說,〝PHY〞可意指給定晶粒內的邏輯和電路架構。As used herein, the term "PHY" may refer to the physical layer architecture within a die, including the circuitry therein, such as receive (RX) and transmit (TX) circuitry. That is, "PHY" can mean the logic and circuit architecture within a given die.

如本文所使用地,對〝晶粒〞的引用意在廣泛地意指晶粒、小晶片、或其中包括電路並且被支撐在基板上的任何其他積體電路結構。As used herein, references to "die" are intended to refer broadly to a die, wafer, or any other integrated circuit structure that includes circuitry therein and is supported on a substrate.

依據現有技術在給定晶粒內的PHY係根據晶粒是否要使用標準互連機制或是先進互連機制來耦接到封裝上的另一個晶粒,而被不同地組態。依據現有技術,在晶粒中用於標準互連機制的PHY不同於用於先進互連機制的PHY。因此,依據現有技術,以封裝內互連方式耦接到另一個晶粒的晶粒具有PHY組態,使得每個不同的PHY電路(例如,晶粒的每個RX或TX電路)被連接到晶粒的底部區域處(面向封裝)的一或多個電性接觸結構。According to current technology, the PHY within a given die is configured differently depending on whether the die is to be coupled to another die on the package using standard interconnect mechanisms or advanced interconnect mechanisms. According to the current technology, the PHY used for standard interconnection mechanisms in the die is different from the PHY used for advanced interconnection mechanisms. Therefore, according to the prior art, a die coupled to another die with in-package interconnects has a PHY configuration such that each different PHY circuit (eg, each RX or TX circuit of the die) is connected to One or more electrical contact structures at the bottom region of the die (facing the package).

本文的一些實施例有利地提供彼此之間具有相同的PHY電路設計的複數個晶粒(包括小晶片),其中複數個晶粒中的一個晶粒可以在其上具有對應於接收封裝上之標準封裝互連的電性接觸結構(例如,用於晶片連接(C4)凸塊的受控崩陷),而該複數個晶粒中的另一個晶粒可以在其上具有對應於接收封裝上之先進封裝互連的電性接觸結構。實施例有利地使得可以提供透過封裝以供D2D信號互連之用的相同晶粒,其中任何給定的晶粒可以裝配有對應於標準封裝互連的導電性結構或對應於先進封裝互連的導電性結構。依據一些實施例,依據實施例的晶粒被組態用以允許其中信號路徑(亦即,跡線或通孔)的映射,以適合標準或先進凸塊組態,包括凸塊間距。Some embodiments herein advantageously provide for a plurality of dies (including dielets) having the same PHY circuit design as one another, wherein one of the plurality of dies may have a standard thereon corresponding to the receiving package. electrical contact structures for package interconnects (e.g., for controlled collapse of die attach (C4) bumps), and another of the plurality of dies may have a structure thereon that corresponds to that on the receiving package Electrical contact structures for advanced packaging interconnects. Embodiments advantageously make it possible to provide the same die for D2D signal interconnect through packaging, where any given die may be equipped with conductive structures corresponding to standard package interconnects or to advanced package interconnects. Conductive structure. According to some embodiments, a die according to embodiments is configured to allow mapping of signal paths (ie, traces or vias) therein to fit standard or advanced bump configurations, including bump pitches.

現將在下文中就圖1及2的情況進行有關現有技術的解說。The prior art will now be explained below with respect to the situations of FIGS. 1 and 2 .

圖1係包括封裝基板104和被支撐在該封裝基板104的頂面112上之兩個晶粒108及116的示例微電子總成或半導體封裝100的橫剖面視圖。在圖1中,封裝基板104係顯示為在其中包括耦接晶粒108到晶粒116的信號路由跡線136。基板104可以包含包括諸如玻璃、矽、或有機材料的非導電性材料之子層的核心層,以及延伸穿過該等子層用以在其中傳導電性信號的跡線136。晶粒108及116各自經由連接到相應晶粒的晶粒導電性接點和基板導電性接點(未顯示)之諸如C4凸塊的電性接觸結構或接頭156,來電性耦接到封裝基板104的頂面112。C4凸塊藉由跡線136來耦接晶粒108及116在一起,該等跡線136延伸穿過封裝基板104並提供晶粒108及116之間的導電性通路。額外的導電性結構159係設置在封裝基板的底面處。FIG. 1 is a cross-sectional view of an example microelectronic assembly or semiconductor package 100 including a packaging substrate 104 and two dies 108 and 116 supported on a top surface 112 of the packaging substrate 104 . In FIG. 1 , package substrate 104 is shown including signal routing traces 136 therein coupling die 108 to die 116 . Substrate 104 may include a core layer including sub-layers of non-conductive material such as glass, silicon, or organic materials, and traces 136 extending through the sub-layers to conduct electrical signals therethrough. Dies 108 and 116 are each electrically coupled to the package substrate via electrical contact structures or tabs 156, such as C4 bumps, connected to die conductive contacts of the respective die and substrate conductive contacts (not shown). 104 on top 112. The C4 bump couples the dies 108 and 116 together via traces 136 that extend through the package substrate 104 and provide conductive paths between the dies 108 and 116 . Additional conductive structures 159 are provided at the bottom surface of the packaging substrate.

圖2係包括嵌入於封裝基板204內的互連橋接器222和被支撐在該封裝基板204的頂面212上之兩個晶粒208及216的示例微電子總成或半導體封裝200的橫剖面視圖。在圖2中,封裝基板204和互連橋接器222的組合將一起被稱作微電子結構201。基板204可以包含包括諸如玻璃、矽、或有機材料的非導電性材料之子層的核心層,以及延伸穿過該等子層用以在其中傳導電性信號的導電性跡線244。第一積體電路晶粒208係經由連接到晶粒導電性接點264和基板導電性接點210的電性接觸結構或接頭256,來附接到封裝基板204的頂面212。第二積體電路晶粒216係經由連接到晶粒導電性接點266和基板導電性接點220的耦接組件260,來附接到該面212上。2 is a cross-section of an example microelectronic assembly or semiconductor package 200 including an interconnect bridge 222 embedded within a package substrate 204 and two dies 208 and 216 supported on a top surface 212 of the package substrate 204 view. In FIG. 2 , the combination of packaging substrate 204 and interconnect bridge 222 will be referred to together as microelectronic structure 201 . Substrate 204 may include a core layer including sub-layers of non-conductive material such as glass, silicon, or organic materials, and conductive traces 244 extending through the sub-layers to conduct electrical signals therethrough. The first integrated circuit die 208 is attached to the top surface 212 of the package substrate 204 via electrical contact structures or contacts 256 connected to the die conductive contacts 264 and the substrate conductive contacts 210 . The second integrated circuit die 216 is attached to the face 212 via a coupling component 260 connected to the die conductive contacts 266 and the substrate conductive contacts 220 .

橋接導電性接點224及226係位於橋接器222的面228上。橋接通孔232和橋接導電性跡線236提供導電性接點224及226之間的導電性通路。基板通孔240和基板導電性跡線244提供從基板導電性接點210到橋接導電性接點224的導電性通路,以及基板通孔248和基板導電性跡線244提供從基板導電性接點220到橋接導電性接點226的導電性通路。一同地,導電性接點210、220、224、226,通孔232、240、248,和導電性跡線236、244提供積體電路晶粒208及216之間的導電性通路,從而允許它們通信地耦接。Bridge conductive contacts 224 and 226 are located on face 228 of bridge 222 . Bridging vias 232 and bridging conductive traces 236 provide a conductive path between conductive contacts 224 and 226 . Substrate vias 240 and substrate conductive traces 244 provide conductive paths from substrate conductive contacts 210 to bridge conductive contacts 224 , and substrate vias 248 and substrate conductive traces 244 provide conductive paths from the substrate conductive contacts 210 to bridge conductive contacts 224 . 220 conductive path to bridge conductive contact 226. Together, conductive contacts 210, 220, 224, 226, vias 232, 240, 248, and conductive traces 236, 244 provide conductive paths between integrated circuit dies 208 and 216, allowing them to Communicatively coupled.

雖然該嵌入式互連橋接器222係顯示為完全地嵌入於基板組件204內,但是在一些實施例中,它可以部分地嵌入,橋接器面228係第一基板組件204的面212的一部分。在該等實施例中,橋接導電性接點224及226可以位於基板組件204的面212處,並且積體電路晶粒208及216可以分別地經由耦接組件256及260來連接到橋接導電性接點224及226。Although the embedded interconnect bridge 222 is shown fully embedded within the substrate assembly 204 , in some embodiments it may be partially embedded, with the bridge face 228 being a portion of the face 212 of the first substrate assembly 204 . In such embodiments, bridging conductive contacts 224 and 226 may be located at face 212 of substrate assembly 204, and integrated circuit dies 208 and 216 may be connected to the bridging conductive contacts via coupling assemblies 256 and 260, respectively. Contacts 224 and 226.

圖1或圖2的半導體封裝100或200的許多元件係包含在與某些實施例相關的其他附圖中,例如,圖3、4A及4B。因此,當討論下文要描述的圖式時,可能不重複對一些元件的描述,並且該等元件中的任何一個可以採用本文所揭示的任何形式。Many elements of the semiconductor package 100 or 200 of Figure 1 or Figure 2 are included in other figures associated with certain embodiments, such as Figures 3, 4A, and 4B. Accordingly, when discussing the drawings to be described below, descriptions of some elements may not be repeated, and any of these elements may take any form disclosed herein.

此外,在圖3、4A及4B的下文描述中,雖然引用C4凸塊意指耦接晶粒到封裝基板的電性接觸結構,但是實施例並未受限於此,並且在其範疇內包括提供不包含C4凸塊或凸塊的電性接觸結構,諸如根據應用需要,採用接觸墊、接腳、或打線之形式的電性接觸結構。Additionally, in the following description of FIGS. 3, 4A, and 4B, although references to C4 bumps are intended to refer to electrical contact structures that couple the die to the package substrate, embodiments are not so limited and are included within their scope. Provide an electrical contact structure that does not include C4 bumps or bumps, such as in the form of contact pads, pins, or wire bonds, depending on application needs.

圖3係依據一些實施例之示例微電子總成或半導體封裝300的橫剖面視圖。在圖3的實施例中,封裝上的晶粒都包括一組相同的PHY電路,但仍允許其中信號路徑(亦即,跡線或通孔)的映射,以適合對應於標準或先進電性接觸結構組態的電性接觸結構。Figure 3 is a cross-sectional view of an example microelectronic assembly or semiconductor package 300 in accordance with some embodiments. In the embodiment of Figure 3, the dies on the package all include the same set of PHY circuits, but still allow mapping of the signal paths (i.e., traces or vias) therein to suit standard or advanced electrical The electrical contact structure of the contact structure configuration.

在圖3中,微電子總成300包含封裝基板304,該封裝基板304包括電性接觸結構359於其底面處,用以接觸主機板或較大的系統(在所示的實例中,電性接觸結構359被實施為C4凸塊,儘管其他實例係在實施例的範疇內),以及四個晶粒308a、316a、308b、316b,被支撐在封裝基板304的頂面上,其中成對的該四個晶粒係透過以包括C4凸塊356a及356b之相應凸塊的方式配置在封裝基板304中的封裝內D2D互連來彼此耦接。封裝基板304可以包含包括諸如玻璃、矽、或有機材料的非導電性材料之子層的核心層。就其中PHY電路組而言,該四個晶粒308a、316a、308b、316b係彼此相同的,因為它們各自包含相同的RX和TX電路組,如下文將進一步解說的。惟,雖然晶粒308a及316a包括電性接觸結構356a(諸如C4凸塊)於其底面處而被組態為透過包括延伸穿過封裝基板304的材料之跡線336a的信號路由路徑來彼此耦接(標準互連機制),但是在另一方面,晶粒308b及316b卻被組態為透過包括延伸穿過嵌入於封裝基板304內的矽橋之跡線33bb的信號路由路徑來彼此耦接(先進互連機制)。In Figure 3, microelectronic assembly 300 includes a packaging substrate 304 that includes electrical contact structures 359 on its underside for contacting a motherboard or larger system (in the example shown, electrical contact structures 359). Contact structure 359 is implemented as a C4 bump, although other examples are within the scope of the embodiments), and four dies 308a, 316a, 308b, 316b are supported on the top surface of package substrate 304, with pairs of The four dies are coupled to each other through in-package D2D interconnects arranged in package substrate 304 in a manner that includes corresponding bumps of C4 bumps 356a and 356b. Package substrate 304 may include a core layer including a sub-layer of non-conductive material such as glass, silicon, or organic materials. As far as the PHY circuit set is concerned, the four dies 308a, 316a, 308b, 316b are identical to each other because they each contain the same RX and TX circuit set, as will be further explained below. However, although dies 308a and 316a include electrical contact structures 356a (such as C4 bumps) at their bottom surfaces and are configured to be coupled to each other through signal routing paths including traces 336a that extend through the material of package substrate 304 (standard interconnect mechanism), but on the other hand, dies 308b and 316b are configured to be coupled to each other through a signal routing path including trace 33bb extending through a silicon bridge embedded in package substrate 304 (Advanced interconnection mechanism).

因此,微電子總成300包括標準互連部分301a和先進互連部分301b。標準互連部分301a除了封裝基板304的下層對應部分之外還包括被支撐在封裝基板304的頂面312a上的第一晶粒308a和第二晶粒316a。晶粒308a及316a係以與圖1之晶粒108及116相同的方式彼此耦接,亦即,使用標準互連機制。先進互連部分301b除了封裝基板304的下層對應部分之外還包括被支撐在封裝基板304的頂面312b上的第一晶粒308b和第二316b。晶粒308b及316b係以與圖2之晶粒208及216相同的方式彼此耦接,亦即,使用先進互連機制。Thus, microelectronic assembly 300 includes standard interconnect portion 301a and advanced interconnect portion 301b. Standard interconnect portion 301a includes first die 308a and second die 316a supported on top surface 312a of package substrate 304 in addition to underlying corresponding portions of package substrate 304. Dies 308a and 316a are coupled to each other in the same manner as die 108 and 116 of Figure 1, that is, using standard interconnect mechanisms. Advanced interconnect portion 301b includes first and second dies 308b 316b supported on top surface 312b of package substrate 304 in addition to underlying corresponding portions of package substrate 304. Dies 308b and 316b are coupled to each other in the same manner as die 208 and 216 of Figure 2, that is, using advanced interconnect mechanisms.

對於標準互連部分301a,封裝基板304係顯示為在其中包含包括耦接晶粒308a到晶粒316a,並延伸穿過基板的子層以在其中傳導電性信號的跡線336a的信號路由路徑。晶粒308a及316a各自經由連接到相應晶粒的晶粒導電性接點和基板導電性接點(未顯示)之諸如C4凸塊的電性接觸結構或接頭356a,來電性耦接到封裝基板304的頂面312a。晶粒308a及316a中的每一個都包括一組PHY電路。For standard interconnect portion 301a, package substrate 304 is shown with a signal routing path therein including traces 336a that couple die 308a to die 316a and extend through a sub-layer of the substrate to conduct electrical signals therein. . Dies 308a and 316a are each electrically coupled to the package substrate via electrical contact structures or tabs 356a, such as C4 bumps, connected to the respective die's die conductive contacts and substrate conductive contacts (not shown). Top surface 312a of 304. Dies 308a and 316a each include a set of PHY circuits.

標準互連部分的第一晶粒,晶粒308a包含包括RX電路309a和TX電路311a的PHY電路。RX電路309a包括單獨的RX電路309a’,以及TX電路311a包括單獨的TX電路311a’。標準互連部分的第二晶粒,晶粒316a包含包括RX電路317a和TX電路319a的PHY電路。RX電路317a包括單獨的RX電路317a’,以及TX電路319a包括單獨的TX電路319a’。就它們各自的PHY電路而言,晶粒308a及316a係彼此相同的(如圖3所示),儘管所示的描述顯示晶粒308a的TX電路朝向圖的左側,並且晶粒316a的TX電路轉向並朝向圖的右側。The first die of the standard interconnect portion, die 308a, contains the PHY circuitry including RX circuitry 309a and TX circuitry 311a. RX circuit 309a includes a separate RX circuit 309a', and TX circuit 311a includes a separate TX circuit 311a'. The second die of the standard interconnect portion, die 316a, contains the PHY circuitry including RX circuitry 317a and TX circuitry 319a. RX circuit 317a includes a separate RX circuit 317a', and TX circuit 319a includes a separate TX circuit 319a'. Dies 308a and 316a are identical to each other in terms of their respective PHY circuits (as shown in Figure 3), although the depiction shown shows the TX circuitry of die 308a towards the left side of the figure, and the TX circuitry of die 316a Turn and face the right side of the diagram.

在標準互連部分301a中,每個晶粒308a及316a至少包括不耦接到任何C4凸塊的PHY電路(RX電路和/或TX電路)。從而,每個晶粒308a及316a至少有一個PHY電路,從中沒有電性耦接到C4凸塊。因此,在標準互連部分301a中,存在有冗餘或非功能性PHY電路。In the standard interconnect portion 301a, each die 308a and 316a includes at least PHY circuitry (RX circuitry and/or TX circuitry) that is not coupled to any C4 bump. Thus, each die 308a and 316a has at least one PHY circuit from which it is not electrically coupled to the C4 bump. Therefore, in the standard interconnect portion 301a, there are redundant or non-functional PHY circuits.

對於先進互連部分301b,封裝基板304係顯示為包含包括信號路由路徑的互連橋接器322,該信號路由路徑包括耦接晶粒308b到晶粒316b,並延伸穿過橋接器以在其中傳導電性信號的跡線336b。晶粒308b及316b各自經由連接到相應晶粒的晶粒導電性接點和基板導電性接點(未顯示)之諸如C4凸塊的電性接觸結構或接頭356b,來電性耦接到封裝基板304的頂面312b。晶粒308b及316b中的每一個都包括一組PHY電路。For advanced interconnect portion 301b, package substrate 304 is shown including interconnect bridges 322 that include signal routing paths that include coupling die 308b to die 316b and extend through the bridges for transmitting signals therein. Conductivity signal trace 336b. Dies 308b and 316b are each electrically coupled to the package substrate via electrical contact structures or tabs 356b, such as C4 bumps, connected to the die conductive contacts of the respective die and substrate conductive contacts (not shown). Top surface 312b of 304. Dies 308b and 316b each include a set of PHY circuits.

雖然該嵌入式互連橋接器322係顯示為完全地嵌入於基板封裝304內,但是在一些實施例中,它可以部分地嵌入,橋接器322的上方表面係與基板封裝304的上方表面312實質地共同延伸。Although the embedded interconnect bridge 322 is shown as being fully embedded within the base package 304, in some embodiments it may be partially embedded, with the upper surface of the bridge 322 being substantially identical to the upper surface 312 of the base package 304. extend together.

先進互連部分的第一晶粒,晶粒308b包含包括RX電路309b和TX電路311b的PHY電路。RX電路309b包括單獨的RX電路309b’,以及TX電路311b包括單獨的TX電路311b’。先進互連部分的第二晶粒,晶粒316b包含包括RX電路317b和TX電路319b的PHY電路。RX電路317b包括單獨的RX電路317b’,以及TX電路319b包括單獨的TX電路319b’。就它們各自的PHY電路而言,晶粒308b及316b係彼此相同的(如圖3所示),儘管所示的描述顯示晶粒308b的TX電路朝向圖的左側,並且晶粒316b的TX電路轉向並朝向圖的右側。The first die of the advanced interconnect portion, die 308b, contains PHY circuitry including RX circuitry 309b and TX circuitry 311b. RX circuit 309b includes a separate RX circuit 309b', and TX circuit 311b includes a separate TX circuit 311b'. The second die of the advanced interconnect portion, die 316b, contains PHY circuitry including RX circuitry 317b and TX circuitry 319b. RX circuit 317b includes a separate RX circuit 317b', and TX circuit 319b includes a separate TX circuit 319b'. Dies 308b and 316b are identical to each other in terms of their respective PHY circuits (as shown in Figure 3), although the depiction shown shows the TX circuitry of die 308b toward the left side of the figure, and the TX circuitry of die 316b Turn and face the right side of the diagram.

在先進互連部分301b中,每個晶粒308b及316b中的所有PHY電路係連接到對應的C4凸塊。從而,每個晶粒308b及316b包括所有其PHY電路與對應C4凸塊之間的電性耦接。因此,在先進互連部分301b中,沒有冗餘或非功能性PHY電路。In advanced interconnect section 301b, all PHY circuits in each die 308b and 316b are connected to corresponding C4 bumps. Thus, each die 308b and 316b includes all electrical couplings between its PHY circuitry and the corresponding C4 bump. Therefore, there are no redundant or non-functional PHY circuits in the advanced interconnect section 301b.

在其中第一晶粒和第二晶粒係透過封裝內互連來彼此耦接的圖3的微電子總成中,第一晶粒的每個主動RX電路係透過封裝內D2D互連來耦接到第二晶粒之對應的主動TX電路,並且第一晶粒的每個主動TX電路係透過封裝內D2D互連來耦接到第二晶粒之對應的主動RX電路。In the microelectronic assembly of FIG. 3 in which the first die and the second die are coupled to each other through an in-package interconnect, each active RX circuit of the first die is coupled through an in-package D2D interconnect. is connected to the corresponding active TX circuit of the second die, and each active TX circuit of the first die is coupled to the corresponding active RX circuit of the second die through an in-package D2D interconnect.

圖4A係晶粒的底部平面視圖,包括凸起,相當於晶粒308a/316a(下文中將稱作308a,因為晶粒308a及316a係相同的),而圖4B係晶粒的底部平面視圖,包括凸起,相當於晶粒308b/316b(下文中將稱作308b,因為晶粒308b及316b係相同的)。因此,圖4A係在其底面包括C4凸塊之晶粒308a的底部平面視圖,其中C4凸塊對應於與圖3的標準互連部分301a所示的標準電性接觸結構組態相當的標準電性接觸結構組態,而圖4B係具有預定PHY電路並在其底面包括C4凸塊之晶粒308b的底部平面視圖,其中C4凸塊對應於與圖3的標準互連部分301a所示的標準或先進電性接觸結構組態相當的標準或先進電性接觸結構組態。Figure 4A is a bottom plan view of die, including bumps, corresponding to die 308a/316a (hereinafter referred to as 308a since die 308a and 316a are the same), while Figure 4B is a bottom plan view of die , including bumps, corresponds to grain 308b/316b (hereinafter referred to as 308b, because grains 308b and 316b are the same). Thus, FIG. 4A is a bottom plan view of die 308a including C4 bumps on its bottom surface, where the C4 bumps correspond to standard electrical contact structure configurations comparable to the standard interconnect portion 301a of FIG. contact structure configuration, and Figure 4B is a bottom plan view of die 308b having predetermined PHY circuitry and including C4 bumps on its bottom surface, where the C4 bumps correspond to the standard interconnect portion 301a shown in Figure 3 or an advanced electrical contact structure configuration equivalent to a standard or advanced electrical contact structure configuration.

在圖4A及4B中,對應於RX電路309a/309b之晶粒內的位置之所示晶粒308a及308b的部分係以實心陰影區域的方式顯示,而對應於TX電路311a/311b之所示晶粒308a及308b的部分則以條紋陰影區域的方式顯示。因為晶粒308a及308b係相同的,所以如前所述,它們包括相同的RX電路和TX電路架構。In Figures 4A and 4B, portions of die 308a and 308b corresponding to locations within the die for RX circuitry 309a/309b are shown as solid shaded areas, while those corresponding to TX circuitry 311a/311b are shown Portions of die 308a and 308b are shown as striped shaded areas. Because dies 308a and 308b are identical, they include the same RX circuitry and TX circuitry architecture as mentioned above.

在圖4A及4B中,對於所示的實施例,在晶粒308a及308b的底面處之用於C4凸塊的凸起被適當地描繪為具有一些標記的和一些未標記的圓形區域的圓形區域,其中未標記的圓形區域對應於用於該晶粒之VCC(電源)或VSS(接地)信號的C4凸塊,並且標記的圓形區域對應於C4凸塊,該等C4凸塊將提供用以進出該晶粒內之PHY電路的信號通路。在所示實例中,TX電路311a/311b與其對應的C4凸塊重合(亦即,實體地疊加),如TX電路311a/311b與C4凸塊356b之間的完全重疊所示,而RX電路309a/309b與其對應的C4凸塊則顯示為部分地重疊。RX電路相對於它們的C4凸塊並沒有顯示出對距離的顯著靈敏度,因此存在有諸如圖4A及4B中所示之組態的可能性,儘管實施例不限於此,並且根據應用需要以任何方式將RX或TX電路相對於它們對應的導電性結構(例如,C4凸塊)的定位包含在它們的範疇內。所示的晶粒可以進一步包括非PHY電路,諸如非PHY邏輯電路,在圖4A及4B的所示實例中,非PHY邏輯電路可以定位在晶粒之未被遮蔽的區域中。In Figures 4A and 4B, for the embodiment shown, the bumps for the C4 bumps at the bottom surfaces of dies 308a and 308b are appropriately depicted as having some labeled and some unlabeled circular areas. Circular areas, where the unmarked circular areas correspond to the C4 bumps for the VCC (power) or VSS (ground) signals of that die, and the marked circular areas correspond to the C4 bumps, which C4 bumps The block will provide the signal path to and from the PHY circuitry within the die. In the example shown, TX circuits 311a/311b coincide with (ie, physically overlap) their corresponding C4 bumps, as shown by the complete overlap between TX circuits 311a/311b and C4 bump 356b, while RX circuit 309a /309b and its corresponding C4 bump appear partially overlapping. The RX circuits do not exhibit significant sensitivity to distance relative to their C4 bumps, so there is the possibility of configurations such as those shown in Figures 4A and 4B, although embodiments are not limited to this and can be used in any manner as the application requires. Modes include within their scope the positioning of RX or TX circuits relative to their corresponding conductive structures (e.g., C4 bumps). The die shown may further include non-PHY circuitry, such as non-PHY logic circuitry, which in the example shown in FIGS. 4A and 4B may be located in unshielded areas of the die.

依據實施例,晶粒包括連接到PHY電路的致能/去能電性通路,使得輸入到晶粒的致能/去能信號用以致使該等致能/去能電性通路來致能/去能晶粒中之至少一個RX電路或TX電路的一部分(亦即,RX電路的一部分(亦即,不是全部)和/或TX電路的一部分(亦即,不是全部))。因此,對於具有依據實施例之RX電路和TX電路的預定組態的晶粒,電性通路係使得輸入到晶粒的致能信號能夠致使部分的RX電路和/或TX電路被致能。相似地,對於同一個晶粒,電性通路係使得輸入到晶粒的去能信號能夠致使部分而不是所有的RX電路和/或TX電路被去能。例如,電性通路可以包括延伸到各個RX電路及各個TX電路的電性通路。在此種情況中,可以將致能信號或去能信號輸入電性通路的一部分中,用以致能或去能對應的RX電路或TX電路。或者,電性路徑可以延伸到不同的RX電路群組和不同的TX電路群組,在此情況下每個群組可以同時地被致能/去能。在此種情況中,可以將致能信號或去能信號輸入到對應於RX電路或TX電路組群的電性通路中,用以致能/去能該組群的相應RX電路或TX電路。無論致能/去能導電性通路的組態如何,依據實施例之晶粒可以進一步被組態使得輸入到該晶粒中的致能/去能信號致能/去能晶粒的所有PHY電路。致能PHY電路的一部分並且去能PHY電路的另一部分係與依據標準互連機制來進行凸起或凸起的晶粒一致,諸如圖3之標準互連部分301a中所示的該者。致能所有的PHY電路係與依據先進互連機制來進行凸起或凸起的晶粒一致,諸如圖3之標先進互連部分301b中所示的該者。According to an embodiment, the die includes enable/disable electrical paths connected to the PHY circuit such that enable/disable signals input to the die are used to enable the enable/disable electrical paths to enable/disable the Disable at least one RX circuit or a portion of the TX circuit (ie, a portion (ie, not all) of the RX circuit and/or a portion (ie, not all) of the TX circuit) in the die. Therefore, for a die having a predetermined configuration of RX circuits and TX circuits in accordance with embodiments, the electrical pathways are such that an enable signal input to the die can cause a portion of the RX circuit and/or the TX circuit to be enabled. Similarly, for the same die, the electrical path system allows a disable signal input to the die to cause some but not all of the RX circuits and/or TX circuits to be disabled. For example, the electrical paths may include electrical paths extending to each RX circuit and each TX circuit. In this case, the enable signal or the disable signal can be input into a part of the electrical path to enable or disable the corresponding RX circuit or TX circuit. Alternatively, the electrical paths can be extended to different RX circuit groups and different TX circuit groups, in which case each group can be enabled/disabled simultaneously. In this case, an enable signal or a disable signal can be input into the electrical path corresponding to the RX circuit or TX circuit group to enable/disable the corresponding RX circuit or TX circuit of the group. Regardless of the configuration of the enable/disable conductive paths, a die according to embodiments may be further configured such that an enable/disable signal input into the die enables/disables all PHY circuitry of the die . Enabling one portion of the PHY circuit and disabling another portion of the PHY circuit is consistent with the die being bumped or bumped according to a standard interconnect mechanism, such as that shown in standard interconnect portion 301a of FIG. 3 . Enabling all PHY circuits is consistent with the die being bumped or bumped according to advanced interconnect mechanisms, such as that shown in Figure 3 labeled advanced interconnect portion 301b.

依據一實施例,依據實施例之晶粒的致能/去能導電性通路可以包括各自的熔絲或暫存器,用以被燒毀以去能或致能與導電性通路中的對應一個相關聯的PHY電路。According to one embodiment, the enable/disable conductive paths of the die according to the embodiment may include respective fuses or registers that are burned to disable or enable a corresponding one of the conductive paths. connected PHY circuit.

依據實施例,引導邏輯組態可以決定要致能或去能多少PHY電路。Depending on the embodiment, the boot logic configuration may determine how many PHY circuits are enabled or disabled.

本文的實施例可以在包含支持先進封裝互連的所有信令和邏輯的同一晶粒上實施超集PHY電路設計(例如:具有64個傳輸器(TX)和64個接收器(RX)的PHY電路),並使用具有一些已去能的RX電路和TX電路的相同PHY電路設計,且晶粒凸起(例如,僅對上方金屬更改和凸塊更改),用以支持標準封裝互連(例如:具有16個TX+16個RX的PHY電路),從而去能未使用的通道。Embodiments herein can implement superset PHY circuit designs (e.g., a PHY with 64 transmitters (TX) and 64 receivers (RX)) on the same die that contains all signaling and logic to support advanced package interconnects circuits) and use the same PHY circuit design with some de-energized RX circuits and TX circuits, and die bumping (e.g., upper metal changes and bump changes only) to support standard package interconnects (e.g. : PHY circuit with 16 TX+16 RX), thereby disabling unused channels.

在一些實施例中,除了在晶粒中存在允許致能/去能僅一部分PHY電路的致能/去能導電性通路之外,如果晶粒的凸塊層和上方再分布層(RDL)被剝離,則如上文已經建議地,它是可以觀察到單獨的TX和RX PHY電路(每個凸塊一個)。當組裝在一個先進封裝之中時,可能會在TX/RX電路(或電路區塊)之間觀察到相對於信令凸起的1:1連接。當組裝在一個標準封裝之中時(例如,在上述x64與x16的示例中),可能會觀察到相對於信令凸起的4:1 TX/RX電路區塊比,此意味著晶粒上每四個PHY電路中的一個連接到凸塊。In some embodiments, in addition to the presence of enable/disable conductive paths in the die that allow for enabling/disabling only a portion of the PHY circuitry, if the bump layer and overlying redistribution layer (RDL) of the die are Stripped back, as already suggested above, it is possible to observe separate TX and RX PHY circuits (one for each bump). When assembled in an advanced package, a 1:1 connection with respect to the signaling bump may be observed between the TX/RX circuits (or circuit blocks). When assembled in a standard package (e.g., in the x64 vs. One of every four PHY circuits is connected to the bump.

本文的實施例提出在晶粒中提供共用的PHY電路,然後可以透過封裝基板來配置與標準互連機制相容的電性接觸結構,或與先進互連機制(例如,EMIB、CoWoS、等等)相容的電性接觸結構,用以提供D2D互連。該等電性接觸結構可以包括諸如C4凸塊的凸塊,儘管其他電性接觸結構組態係在實施例的範疇內。The embodiments of this article propose to provide a common PHY circuit in the die, and then configure the electrical contact structure through the packaging substrate that is compatible with standard interconnection mechanisms, or with advanced interconnection mechanisms (such as EMIB, CoWoS, etc. ) compatible electrical contact structure to provide D2D interconnection. The electrical contact structures may include bumps such as C4 bumps, although other electrical contact structure configurations are within the scope of the embodiments.

作為示例,請再參閱圖4A及4B,用於信令的標準封裝凸起可能具有大約110微米(μm或“microns”)之級別的間距,先進封裝凸起可能具有大約45微米到大約55微米之級別的間距。具備此示例,先進互連機制在與標準互連機制的一個凸塊相同的區域中可能具有大約四個凸塊。As an example, referring again to Figures 4A and 4B, standard package bumps used for signaling may have pitches on the order of approximately 110 microns (μm or "microns"), and advanced package bumps may have pitches on the order of approximately 45 microns to approximately 55 microns. level spacing. With this example, an advanced interconnect mechanism might have approximately four bumps in the same area as one bump of a standard interconnect mechanism.

應注意的是,用於標準和先進封裝的間距值以及兩者之間的比率在不同的實施例中可能不同。例如,間距可能高於或低於上述。在一實施例中,標準封裝的間距可能在大約110微米與大約130微米之間,以及先進封裝的間距可能在大約36微米與大約55微米之間。此可以使標準封裝的間距與先進封裝的間距之比率約為2:1,儘管在一些現實世界的實施例中,它可能約為2.4:1的級別。如所指出的,其他實施例可以在先進封裝的間距與標準封裝的間距之間呈現更高或更低的比率。It should be noted that the pitch values used for standard and advanced packaging, as well as the ratio between the two, may differ in different embodiments. For example, the spacing may be higher or lower than above. In one embodiment, the pitch of standard packages may be between about 110 microns and about 130 microns, and the pitch of advanced packages may be between about 36 microns and about 55 microns. This can result in a ratio of standard package pitch to advanced package pitch of approximately 2:1, although in some real-world embodiments it may be on the order of 2.4:1. As noted, other embodiments may exhibit higher or lower ratios between the pitch of advanced packages and the pitch of standard packages.

此外,隨著凸塊間距縮小(例如,約為25微米或16微米或更小的級別),標準封裝的凸塊與先進封裝的凸塊之比率可能發生變化。例如,先進封裝在給定區域中可能具有64個凸塊,而標準封裝在該區域中可能僅具有4個凸塊。或者,標準封裝在給定區域中可能具有16個凸塊,而先進封裝可能具有128個凸塊於該區域中、256個凸塊於該區域中、等等。換言之,16個標準凸塊與64個先進凸塊可抽換比率對於一定範圍的凸塊間距可以是適用的值,並且隨著間距比率變寬,標量比率可以類似地跟踪該變化。Additionally, as bump pitch shrinks (eg, to the order of 25 microns or 16 microns or less), the ratio of bumps for standard packages to those for advanced packages may change. For example, an advanced package may have 64 bumps in a given area, while a standard package may only have 4 bumps in that area. Or, a standard package might have 16 bumps in a given area, while an advanced package might have 128 bumps in that area, 256 bumps in that area, and so on. In other words, the 16 standard bump to 64 advanced bump swappable ratio can be a suitable value for a range of bump pitches, and as the pitch ratio gets wider, the scalar ratio can similarly track that change.

實施例可以提供許多優點。一個該優點在於實施例允許使用同一晶粒或小晶片基(只需要流出新的凸塊層、凸塊通孔層、和下面的一或兩個金屬層以供連接之用,取決於確切的實施方式),用以在先進和標準封裝中再使用。實施例還可以允許共用邏輯介面和I/O協定在先進和標準封裝中工作。實施例藉由在晶粒上進行PHY電路設計以使得晶粒可在各種封裝內互連機制之間抽換來增加晶粒的可再用性和壽命/耐用年限,以此方式使依據實施例的晶粒比先前技藝之晶粒在技術上更具優勢。此種可再用性可以進一步節省大量的矽前和矽後開發成本,並允許針對不同的市場分段的不同混合和匹配小晶片/系統單晶片(SOC)客製化加快上市時間。Embodiments can provide many advantages. One such advantage is that embodiments allow the use of the same die or die base (only a new bump layer, bump via layer, and one or two underlying metal layers need to be flowed out for attachment, depending on the exact implementation) for reuse in advanced and standard packages. Embodiments may also allow common logical interfaces and I/O protocols to work in advanced and standard packages. Embodiments increase die reusability and lifetime/endurance by designing PHY circuits on the die so that the die can be swapped between various in-package interconnection mechanisms. The grains are technically more advantageous than the grains of previous technologies. This reusability can further save significant pre-silicon and post-silicon development costs and allow for faster time-to-market customization of different mix-and-match chiplets/system-on-chips (SOCs) for different market segments.

圖5說明了依據各種實施例之用於標準封裝跡線上(例如,在具有大約110微米間距的封裝上)每秒16個十億傳輸(GT/s)之資料速率的凸起的示例布局500。具體地,圖5描繪了兩個示例凸起。左邊的凸起(如圖5中所示)可能適合與4個封裝路由層一起使用,而右邊的凸起(如圖5中所示)可能適合與2個封裝路由層一起使用(因此信號只有左邊凸塊的一半)。5 illustrates an example layout 500 of bumps for a data rate of 16 gigabits per second (GT/s) on standard package traces (eg, on a package with approximately 110 micron pitch) in accordance with various embodiments. . Specifically, Figure 5 depicts two example bumps. The bump on the left (shown in Figure 5) may be suitable for use with 4 encapsulation routing layers, while the bump on the right (shown in Figure 5) may be suitable for use with 2 encapsulation routing layers (so the signal only half of the left bump).

圖6說明了依據各種實施例之用於標準封裝跡線上(例如,在具有大約110微米間距的封裝上)32 GT/s之資料速率的凸起的示例布局600。具體地,圖6描繪了兩個示例凸起。左邊的凸起(如圖6中所示)可能適合與4個封裝路由層一起使用,而右邊的凸起(如圖6中所示)可能適合與2個封裝路由層一起使用(因此信號只有左邊凸塊的一半)。6 illustrates an example layout 600 of bumps for a data rate of 32 GT/s on standard package traces (eg, on a package with approximately 110 micron pitch) in accordance with various embodiments. Specifically, Figure 6 depicts two example bumps. The bump on the left (shown in Figure 6) may be suitable for use with 4 encapsulation routing layers, while the bump on the right (shown in Figure 6) may be suitable for use with 2 encapsulation routing layers (so the signal only half of the left bump).

圖7說明了依據各種實施例之用於標準封裝跡線上(例如,在具有大約110微米間距的封裝上)16 GT/s之資料速率的凸起的示例布局700。圖7特別描繪了兩個示例凸起。底部的凸起(如圖7中所示)可能適合與4個封裝路由層一起使用,而頂部的凸起(如圖7中所示)可能適合與2個封裝路由層一起使用(因此只有底部凸塊的一半信號)。7 illustrates an example layout 700 of bumps for a data rate of 16 GT/s on standard package traces (eg, on a package with approximately 110 micron pitch) in accordance with various embodiments. Figure 7 depicts two example bumps in particular. The bottom bump (shown in Figure 7) may be suitable for use with 4 package routing layers, while the top bump (shown in Figure 7) may be suitable for use with 2 package routing layers (so only the bottom half signal of the bump).

圖8說明了依據各種實施例之用於標準封裝跡線上(例如,在具有大約110微米間距的封裝上)32 GT/s之資料速率的凸起的示例布局800。圖8特別描繪了兩個示例凸起。底部的凸起(如圖8中所示)可能適合與4個封裝路由層一起使用,而頂部的凸起(如圖8中所示)可能適合與2個封裝路由層一起使用(因此只有底部凸塊的一半信號)。8 illustrates an example layout 800 of bumps for a data rate of 32 GT/s on standard package traces (eg, on a package with approximately 110 micron pitch) in accordance with various embodiments. Figure 8 depicts two example bumps in particular. The bottom bump (shown in Figure 8) may be suitable for use with 4 package routing layers, while the top bump (shown in Figure 8) may be suitable for use with 2 package routing layers (so only the bottom half signal of the bump).

一方面在圖5及6中與另一方面在圖7及8中所示的實施例之間的差異在於,該等配對的圖顯示了在封裝路由上以不同的一組約束來實施可抽換PHY的不同方式。圖7及8中的配對對於通道內的通道到通道偏斜較佳,因為長度匹配更好且TX和RX的所有信號都在同一層上,而對於片上(on-die)時脈分配和電力輸送則較差。The difference between the embodiments shown in Figures 5 and 6 on the one hand and Figures 7 and 8 on the other hand is that the paired diagrams show the implementation of extractables with a different set of constraints on the encapsulated routing. Different ways to change PHY. The pairing in Figures 7 and 8 is better for intra-channel channel-to-channel skew because the lengths match better and all signals for TX and RX are on the same layer, but for on-die clock distribution and power Conveyance is poor.

圖9說明了依據各種實施例之用於先進封裝(例如,在具有大約45微米間距的EMIB或一些其他類似封裝)的凸起的示例布局900。應注意的是,可以以圖9的凸起開始,並將該凸起轉換為在有機跡線上工作(例如,標準封裝凸起),如本文所述。或者,可以以圖5至8中的任何一個的凸起開始,並將該等凸起轉換為在先進封裝凸起上工作,如本文所述。9 illustrates an example layout 900 of bumps for an advanced package (eg, in an EMIB or some other similar package with approximately 45 micron pitch) in accordance with various embodiments. It should be noted that one can start with the bump of Figure 9 and convert that bump to work on organic traces (e.g., standard package bumps) as described herein. Alternatively, one can start with the bumps in any of Figures 5-8 and convert those bumps to work on advanced packaging bumps, as described in this article.

通常,對於先進封裝所描述的凸起,凸塊圖的左下角可以被認為是凸塊矩陣的“原點”或起點。以下規則可應用於先進封裝凸塊矩陣: •   在行內的信號可以被保留。例如,行0可以包含信號:txdataRD0、txdata0、txdata1、txdata2、txdata3、txdata4、txdata5、rxdata58、rxdata59、rxdata60、rxdata61、rxdata62、rxdata63、rxdataRD3、和txdatasb;以及 •   可以實施凸塊矩陣中所示的電源和VSS圖案。足夠的VSS和電源凸塊將被配置用以滿足通道特性(FEXT和NEXT)和電力輸送需求。 Typically, for bumps described in advanced packaging, the lower left corner of the bump map can be considered the "origin" or starting point of the bump matrix. The following rules can be applied to advanced package bump matrices: • In-line signals can be preserved. For example, row 0 can contain the signals: txdataRD0, txdata0, txdata1, txdata2, txdata3, txdata4, txdata5, rxdata58, rxdata59, rxdata60, rxdata61, rxdata62, rxdata63, rxdataRD3, and txdatasb; and • Can implement the power and VSS patterns shown in the bump matrix. Sufficient VSS and power bumps will be configured to meet channel characteristics (FEXT and NEXT) and power delivery requirements.

對於一個模組或兩個模組標準封裝,可以如本文所述地配置不同的凸塊矩陣。凸塊圖的左下角可以被認為是凸塊矩陣的“原點”或起點。用於x16和x32標準封裝凸塊矩陣的信號退出順序可以如本文所示。For one module or two module standard packages, different bump matrices can be configured as described in this article. The lower left corner of the bump map can be thought of as the "origin" or starting point of the bump matrix. The signal exit sequence for x16 and x32 standard package bump matrices can be as shown in this article.

以下規則可以實施用於標準封裝凸塊矩陣: •   在行內的信號可以被保留。例如,用於圖7中所示的x16(一個模組標準封裝介面),行1可以包含信號:txdata0、txdata1、txdata9、txdata9、和txdatasb1。 •   信號可以退出凸塊場。層1和層2係標準封裝中的兩個不同的信號路由層。 •   可以遵循凸塊矩陣中所示的電源和VSS圖案。可以確保的是,足夠的VSS和電源凸塊將被配置用以滿足通道特性(FEXT和NEXT)和電力輸送需求。 The following rules can be implemented for standard package bump matrices: • In-line signals can be preserved. For example, for x16 (a module standard package interface) shown in Figure 7, row 1 could contain the signals: txdata0, txdata1, txdata9, txdata9, and txdatasb1. • Signals can exit the bump field. Layer 1 and Layer 2 are two different signal routing layers in standard packaging. • Can follow the power and VSS patterns shown in the bump matrix. What is ensured is that sufficient VSS and power bumps will be configured to meet the channel characteristics (FEXT and NEXT) and power delivery requirements.

圖10說明了依據各種實施例之用於先進封裝跡線上(例如,在具有大約45微米間距的封裝上)的凸起的示例布局1000。Figure 10 illustrates an example layout 1000 for bumps on advanced package traces (eg, on packages with approximately 45 micron pitch) in accordance with various embodiments.

對於具有標準間距的封裝(例如,圖7或8的封裝,或諸如圖5或6之該等封裝的其他封裝),可以藉由將TX放置在封裝的一側,將RX放置在另一側來創建單向PHY。如果已知資料總是以一個方向通過封裝,則該實施例係可能的。結果,對於諸如圖7或8中所描述的該等實施例(或本文的其他實施例),此類實施例可以在單層封裝上運行,從而使帶寬、面積、和/或成本最佳化。相對應的可抽換先進封裝可能僅包括TX或RX,因此以最佳化的成本、面積、和/或帶寬進行單向資料流。For packages with standard pitch (e.g., the packages of Figures 7 or 8, or others such as those of Figures 5 or 6), this can be achieved by placing TX on one side of the package and RX on the other side to create a one-way PHY. This embodiment is possible if it is known that the data always passes through the package in one direction. As a result, for embodiments such as those described in Figures 7 or 8 (or other embodiments herein), such embodiments can operate on a single layer of packaging, thereby optimizing bandwidth, area, and/or cost . Corresponding removable advanced packages may include only TX or RX, thus unidirectional data flow with optimized cost, area, and/or bandwidth.

圖11係依據一些實施例之諸如晶粒的微電子裝置的製造方法1100。在操作1102中,處理包括提供基板。在操作1104中,處理包括提供實體層(PHY)電路在該基板上,該實體層(PHY)電路包括複數個接收(RX)電路和複數個傳輸(TX)電路。在操作1106中,處理包括提供電性接觸結構在該裝置的底面處。在操作1108中,處理包括提供信號路由路徑,一方面在該等電性接觸結構之間延伸,另一方面在至少一些該等RX電路或至少一些該等TX電路之間延伸。在操作1110中,處理包括提供電性通路,通向該PHY電路。在操作1112中,處理包括至少以下之一:提供致能信號到該裝置內,通過至少一些該等電性通路以致能該PHY電路的一部分;或者提供去能信號到該裝置內,通過至少一些該等電性通路以去能該PHY電路的對應部分。Figure 11 illustrates a method 1100 of fabricating a microelectronic device, such as a die, in accordance with some embodiments. In operation 1102, processing includes providing a substrate. In operation 1104, processing includes providing physical layer (PHY) circuitry on the substrate, the physical layer (PHY) circuitry including a plurality of receive (RX) circuits and a plurality of transmit (TX) circuits. In operation 1106, processing includes providing electrical contact structures at a bottom surface of the device. In operation 1108, processing includes providing signal routing paths extending between the electrical contact structures, on the one hand, and at least some of the RX circuits, or at least some of the TX circuits, on the other hand. In operation 1110, processing includes providing electrical access to the PHY circuit. In operation 1112, processing includes at least one of the following: providing an enable signal into the device through at least some of the electrical pathways to enable a portion of the PHY circuit; or providing a disable signal into the device through at least some of the electrical pathways. The electrical paths are used to enable corresponding parts of the PHY circuit.

圖12及13顯示一架構的一些實例,該架構可以包括一或多個微電子總成,類似於上述在圖3、4A及4B中藉由實例所描述之實施例的上下文中所描述的微電子總成。Figures 12 and 13 show some examples of an architecture that may include one or more microelectronic assemblies similar to those described above in the context of the embodiments described by way of example in Figures 3, 4A and 4B. Electronic assembly.

圖12係可以包括一或多個積體電路結構的積體電路裝置總成1200的橫剖面側視圖,每個積體電路結構包括本文所描述之實施例的任何MCP封裝。積體電路裝置總成1200包括配置在電路板1202(可以是主機板、系統板、主板、等等)上的許多組件。積體電路裝置總成1200包括配置在電路板1202的第一面1240和電路板1202的相對的第二面1242上的組件;通常,可以將組件配置在面1240及1242中的一者或兩者之上。下文參考積體電路裝置總成1200所討論的任何積體電路組件可以包括積體電路結構,該積體電路結構包括如本文所揭示的級聯MCP。12 is a cross-sectional side view of an integrated circuit device assembly 1200 that may include one or more integrated circuit structures, each including any MCP package of embodiments described herein. Integrated circuit device assembly 1200 includes a number of components disposed on a circuit board 1202 (which may be a motherboard, a system board, a motherboard, etc.). The integrated circuit device assembly 1200 includes components disposed on a first side 1240 of the circuit board 1202 and an opposing second side 1242 of the circuit board 1202; generally, the components may be disposed on one or both of the sides 1240 and 1242. above. Any integrated circuit assembly discussed below with reference to integrated circuit device assembly 1200 may include an integrated circuit structure including cascaded MCPs as disclosed herein.

在一些實施例中,電路板1202可係包括多個金屬(或互連)層的印刷電路板(PCB),該等金屬(或互連)層係由電介質材料層所彼此隔開並由導電性通孔所互連。個別的金屬層包括導電性跡線。金屬層中的任何一層或多層可以以所需電路圖案形成,用以在耦接到電路板1202的組件之間路由電性信號(可選地結合其他金屬層)。在其他實施例中,電路板1202可係非PCB基板。在圖12中所示出的積體電路裝置總成1200包括中介層上封裝結構1236,該中介層上封裝結構1236係藉由耦接組件1216來耦接到電路板1202的第一面1240。該等耦接組件1216可以將中介層上封裝結構1236電性和機械性地耦接到電路板1202,並且可以包括焊球(如圖12中所示)、接腳(例如,作為針柵陣列(PGA)的一部分)、接點(例如,作為地柵陣列(LGA)的一部分)、插座的公母部分、黏著劑、底部填充材料、和/或任何其他合適的電性和/或機械性耦接結構。In some embodiments, circuit board 1202 may be a printed circuit board (PCB) including multiple metal (or interconnect) layers separated from each other by layers of dielectric material and by conductive interconnected by sexual through holes. Individual metal layers include conductive traces. Any one or more of the metal layers may be formed in a desired circuit pattern for routing electrical signals between components coupled to circuit board 1202 (optionally in combination with other metal layers). In other embodiments, circuit board 1202 may be a non-PCB substrate. The integrated circuit device assembly 1200 shown in FIG. 12 includes a package-on-interposer structure 1236 that is coupled to the first side 1240 of the circuit board 1202 via a coupling component 1216 . The coupling components 1216 may electrically and mechanically couple the package-on-interposer structure 1236 to the circuit board 1202 and may include solder balls (as shown in FIG. 12 ), pins (e.g., as a pin grid array (part of a PGA), contacts (e.g., as part of a ground grid array (LGA)), male and female portions of a socket, adhesive, underfill material, and/or any other suitable electrical and/or mechanical coupling structure.

中介層上封裝結構1236可以包括積體電路組件1220,該積體電路組件1220係藉由耦接組件1218來耦接到中介層1204。該等耦接組件1218可以採取任何合適的形式以供應用,諸如上文參照耦接組件1216所討論的形式。雖然在圖12中顯示出單個積體電路組件1220,但是可以將多個積體電路組件耦接到中介層1204;實際上,額外的中介層可以被耦接到中介層1204。中介層1204可以提供中間基板,用以橋接電路板1202和積體電路組件1220。The package-on-interposer structure 1236 may include an integrated circuit component 1220 coupled to the interposer 1204 via a coupling component 1218 . The coupling components 1218 may take any suitable form for the application, such as those discussed above with reference to the coupling components 1216. Although a single integrated circuit component 1220 is shown in FIG. 12 , multiple integrated circuit components may be coupled to interposer 1204 ; indeed, additional interposers may be coupled to interposer 1204 . Interposer 1204 may provide an intermediate substrate to bridge circuit board 1202 and integrated circuit assembly 1220 .

積體電路組件1220可係包括一或多個積體電路晶粒的封裝或未封裝的積體電路產品。封裝的積體電路組件包括安裝在封裝基板上的一或多個積體電路晶粒,其中積體電路晶粒和封裝基板被封裝在諸如金屬、塑料、玻璃、或陶質物的鑄造材料中。在未封裝的積體電路組件1220的一個示例中,單個單片積體電路晶粒包括附接到該晶粒上之接點的焊料凸塊。該等焊料凸塊允許晶粒直接附接到中介層1204。積體電路組件1220可以包括一或多個計算系統組件,諸如一或多個處理器單元(例如,系統單晶片(SOC)、處理器核心、圖形處理器單元(GPU)、加速器、晶片組處理器)、I/O控制器、記憶體、或網路介面控制器。在一些實施例中,積體電路組件1220可以包括一或多個附加的主動或被動裝置,諸如電容器、去耦合電容器、電阻器、電感器、熔絲、二極體、變壓器、感測器、靜電放電(ESD)裝置、和記憶體裝置。Integrated circuit assembly 1220 may be a packaged or unpackaged integrated circuit product including one or more integrated circuit dies. Packaged integrated circuit assemblies include one or more integrated circuit dies mounted on a packaging substrate, wherein the integrated circuit dies and the packaging substrate are encapsulated in a cast material such as metal, plastic, glass, or ceramic. In one example of an unpackaged integrated circuit assembly 1220, a single monolithic integrated circuit die includes solder bumps attached to contacts on the die. The solder bumps allow the die to be attached directly to the interposer 1204 . Integrated circuit components 1220 may include one or more computing system components, such as one or more processor units (e.g., system on chip (SOC), processor core, graphics processor unit (GPU), accelerator, chipset processing processor), I/O controller, memory, or network interface controller. In some embodiments, integrated circuit assembly 1220 may include one or more additional active or passive devices, such as capacitors, decoupling capacitors, resistors, inductors, fuses, diodes, transformers, sensors, Electrostatic discharge (ESD) devices, and memory devices.

在其中積體電路組件1220包括多個積體電路晶粒的實施例中,該等晶粒可以是相同的類型(同質多晶粒積體電路組件)或者是兩種或更多種不同的類型(異質多晶粒積體電路組件)。多晶粒積體電路組件可以被稱作多晶片封裝(MCP)或多晶片模組(MCM)。In embodiments in which integrated circuit device 1220 includes multiple integrated circuit dies, the dies may be of the same type (homogeneous multi-die integrated circuit device) or two or more different types (Heterogeneous multi-die integrated circuit components). Multi-die integrated circuit components may be referred to as multi-chip packages (MCP) or multi-chip modules (MCM).

除了包括一個或多個處理器單元之外,積體電路組件1220可以包括附加的組件,諸如嵌入式DRAM、堆疊式高帶寬記憶體(HBM)、共享快取記憶體、輸入/輸出(I/O)控制器、或記憶體控制器。任何該等附加的組件可以位於與處理器單元相同的積體電路晶粒上,或位於與包括處理器單元的積體電路晶粒隔開的一或多個積體電路晶粒上。該等獨立的積體電路晶粒可被稱作“小晶片”。在其中積體電路組件包括多個積體電路晶粒的實施例中,晶粒之間的互連可藉由封裝基板、一或多個矽中介層、嵌入於封裝基板中的一或多個矽橋(諸如Intel®嵌入式多晶粒互連橋接器(EMIB))、或其組合來提供。In addition to including one or more processor units, integrated circuit component 1220 may include additional components such as embedded DRAM, stacked high-bandwidth memory (HBM), shared cache, input/output (I/O) O) controller, or memory controller. Any such additional components may be located on the same integrated circuit die as the processor unit, or on one or more integrated circuit dies separate from the integrated circuit die including the processor unit. These individual integrated circuit dies may be referred to as "dielets." In embodiments where the integrated circuit device includes multiple integrated circuit dies, interconnections between the dies may be through the packaging substrate, one or more silicon interposers, or one or more silicon interposers embedded in the packaging substrate. Silicon bridges such as the Intel® Embedded Multi-Die Interconnect Bridge (EMIB), or combinations thereof.

通常,中介層1204可以將連接擴展到更寬的間距或將連接再路由到不同的連接。例如,中介層1204可以將積體電路組件1220耦接到用以耦接電路板1202之耦接組件1216的一組球柵陣列(BGA)導電性接點。在圖12中所示出的實施例中,積體電路組件1220和電路板1202係附接到中介層1204的相對側;在其他實施例中,積體電路組件1220和電路板1202可以附接到中介層1204的同一側。在一些實施例中,三個或更多個組件可以以中介層1204的方式互連。Generally, the interposer 1204 can extend the connection to a wider spacing or reroute the connection to a different connection. For example, interposer 1204 may couple integrated circuit component 1220 to a set of ball grid array (BGA) conductive contacts used to couple coupling component 1216 of circuit board 1202 . In the embodiment shown in Figure 12, integrated circuit assembly 1220 and circuit board 1202 are attached to opposite sides of interposer 1204; in other embodiments, integrated circuit assembly 1220 and circuit board 1202 may be attached to the same side of interposer 1204. In some embodiments, three or more components may be interconnected by interposer 1204 .

在一些實施例中,中介層1204可以被形成為包括多個金屬層的PCB,該等金屬層係由電介質材料層所彼此隔開並由導電性通孔所互連。在一些實施例中,中介層1204可以由環氧樹脂、玻璃纖維增強環氧樹脂、具有無機填料的環氧樹脂、陶質物材料、或諸如聚醯亞胺的聚合物材料所形成。在一些實施例中,中介層1204可以由交替的剛性或撓性材料所形成,該等材料可以包括與上文用於半導體基板中所描述的材料相同的材料,諸如矽、鍺、及其他III-V族和IV族材料。中介層1204可以包含金屬互連1208和通孔1210,包括但不限於貫穿孔通孔1210-1(從中介層1204的第一面1250延伸到中介層1204的第二面1254)、盲孔1210-2(從中介層1204的第一或第二面1250或1254延伸到內部金屬層)、和埋置通孔1210-3(連接內部金屬層)。In some embodiments, interposer 1204 may be formed as a PCB that includes multiple metal layers separated from each other by layers of dielectric material and interconnected by conductive vias. In some embodiments, interposer 1204 may be formed of epoxy, fiberglass reinforced epoxy, epoxy with inorganic fillers, ceramic materials, or polymeric materials such as polyimide. In some embodiments, interposer 1204 may be formed from alternating rigid or flexible materials, which may include the same materials described above for semiconductor substrates, such as silicon, germanium, and other III -Group V and IV materials. Interposer 1204 may include metal interconnects 1208 and vias 1210 , including but not limited to through-hole vias 1210 - 1 (extending from first side 1250 of interposer 1204 to second side 1254 of interposer 1204 ), blind vias 1210 -2 (extending from the first or second side 1250 or 1254 of the interposer 1204 to the inner metal layer), and buried via 1210-3 (connecting the inner metal layer).

在一些實施例中,中介層1204可以包括矽中介層。延伸貫穿矽中介層的穿矽通孔(TSV)可以連接矽中介層的第一面上的連接到該矽中介層的相對的第二面。在一些實施例中,包括矽中介層的中介層1204可以進一步包括一或多個路由層,用以將中介層1204的第一面上的連接路由到該中介層1204的相對的第二面。In some embodiments, interposer 1204 may include a silicon interposer. A through-silicon via (TSV) extending through the silicon interposer may connect a first side of the silicon interposer to an opposite second side of the silicon interposer. In some embodiments, an interposer 1204 including a silicon interposer may further include one or more routing layers for routing connections on a first side of the interposer 1204 to an opposite second side of the interposer 1204 .

中介層1204還可以包含包括被動和主動裝置二者的嵌入式裝置1214。該等裝置可以包括,但未受限於電容器、去耦合電容器、電阻器、電感器、熔絲、二極體、變壓器、感測器、靜電放電(ESD)裝置、和記憶體裝置。更多的複合裝置,諸如射頻裝置、功率放大器、電力管理裝置、天線、陣列、感測器、和微機電系統(MEMS)裝置,也可以形成在中介層1204上。中介層上封裝結構1236可以採用本項技藝中所已知的任何中介層上封裝結構的形式。在實施例中,該中介層係非印刷電路板。The interposer 1204 may also include embedded devices 1214 including both passive and active devices. Such devices may include, but are not limited to, capacitors, decoupling capacitors, resistors, inductors, fuses, diodes, transformers, sensors, electrostatic discharge (ESD) devices, and memory devices. More complex devices, such as radio frequency devices, power amplifiers, power management devices, antennas, arrays, sensors, and microelectromechanical systems (MEMS) devices, may also be formed on the interposer 1204. The package-on-interposer structure 1236 may take the form of any package-on-interposer structure known in the art. In embodiments, the interposer is not a printed circuit board.

積體電路裝置總成1200可以包括藉由耦接組件1222來耦接到電路板1202的第一面1240的積體電路組件1224。耦接組件1222可以採用上文參照耦接組件1216所討論的任何實施例的形式,並且積體電路組件1224可以採用上文參照積體電路組件1220所討論的任何實施例的形式。The integrated circuit device assembly 1200 may include an integrated circuit component 1224 coupled to the first side 1240 of the circuit board 1202 by a coupling component 1222 . Coupling component 1222 may take the form of any of the embodiments discussed above with reference to coupling component 1216 , and integrated circuit component 1224 may take the form of any of the embodiments discussed above with reference to integrated circuit component 1220 .

圖12中所示出的積體電路裝置總成1200可以包括藉由耦接組件1228來耦接到電路板1202的第二面1242的疊裝結構1234。疊裝結構1234可以包括藉由耦接組件1230來耦接在一起的積體電路組件1226和積體電路組件1232,使得積體電路組件1226配置在電路板1202與積體電路組件1232之間。耦接組件1228及1230可以採用上文所討論之耦接組件1216的任何實施例的形式,積體電路組件1226及1232可以採用上文所討論之積體電路組件1220的任何實施例的形式。疊裝結構1234可以依據本項技藝中所已知的任何疊裝結構的形式來組態。The integrated circuit device assembly 1200 shown in FIG. 12 may include a stack-up structure 1234 coupled to the second side 1242 of the circuit board 1202 by a coupling component 1228. The stack-up structure 1234 may include an integrated circuit component 1226 and an integrated circuit component 1232 coupled together by a coupling component 1230 such that the integrated circuit component 1226 is disposed between the circuit board 1202 and the integrated circuit component 1232 . Coupling components 1228 and 1230 may take the form of any embodiment of coupling component 1216 discussed above, and integrated circuit components 1226 and 1232 may take the form of any embodiment of integrated circuit component 1220 discussed above. The stack structure 1234 may be configured in the form of any stack structure known in the art.

圖13係可以包括一或多個本文所揭示的實施例MCP的示例電性裝置1300的方塊圖。例如,計算裝置1300之任何合適的組件可以包括本文所揭示之積體電路裝置總成1200、積體電路組件1220、和/或實施例MCP的一或多種。多個組件在圖13中被示為包括在電性裝置1300中,但是該等組件中的任何一個或多個可以被省略或複製,以適合應用。在一些實施例中,包括在電性裝置1300中的一些或所有的組件可以附接到一或多個主機板、主板、或系統板。在一些實施例中,一或多個該等組件被製造到單個系統單晶片(SoC)晶粒上。13 is a block diagram of an example electrical device 1300 that may include one or more embodiment MCPs disclosed herein. For example, any suitable components of computing device 1300 may include one or more of the integrated circuit device assembly 1200, integrated circuit components 1220, and/or embodiment MCPs disclosed herein. A number of components are shown in Figure 13 as included in electrical device 1300, but any one or more of these components may be omitted or duplicated as appropriate for the application. In some embodiments, some or all of the components included in electrical device 1300 may be attached to one or more motherboards, motherboards, or system boards. In some embodiments, one or more of these components are fabricated onto a single system-on-chip (SoC) die.

此外,在各種實施例中,電性裝置1300可以不包括一或多個圖13中所示的組件,但是電性裝置1300可以包括介面電路,用以耦接到該一或多個組件。例如,電性裝置1300可以不包括顯示裝置1306,但是可以包括可以耦接顯示裝置1306的顯示裝置介面電路(例如,連接器和驅動器電路)。在另一組示例中,電性裝置1300可以不包括音頻輸入裝置1324或音頻輸出裝置1308,但是可以包括可以耦接音頻輸入裝置1324或音頻輸出裝置1308的音頻輸入或音頻輸出裝置介面電路(例如,連接器和支持電路)。Additionally, in various embodiments, the electrical device 1300 may not include one or more components shown in FIG. 13 , but the electrical device 1300 may include interface circuitry to couple to the one or more components. For example, electrical device 1300 may not include display device 1306 but may include display device interface circuitry (eg, connector and driver circuitry) that may couple to display device 1306 . In another set of examples, electrical device 1300 may not include audio input device 1324 or audio output device 1308, but may include audio input or audio output device interface circuitry that may couple audio input device 1324 or audio output device 1308 (e.g., , connectors and supporting circuits).

電性裝置1300可以包括一或多個處理器單元1302(例如,一或多個處理器單元)。如本文所使用地,術語〝處理器單元〞、〝處理單元〞或〝處理器〞可以意指處理來自暫存器和/或記憶體的電子資料以轉換該電子資料為可以被儲存在暫存器和/或記憶體中的其他電子資料之任何裝置或裝置的一部分。處理器單元1302可以包括一或多個數位信號處理器(DSP)、特定應用積體電路(ASIC)、中央處理單元(CPU)、圖形處理單元(GPU)、通用GPU(GPGPU)、加速處理單元(APU)、可場編程閘陣列(FPGA)、神經網路處理單元(NPU)、資料處理器單元(DPU)、加速器(例如,圖形加速器、壓縮加速器、人工智慧加速器)、受控密碼處理器(在硬體內執行密碼演算法的專用處理器)、伺服器處理器、控制器、或任何其他合適類型的處理單元。正因如此,處理器單元可以被稱作XPU (或xPU)。Electrical device 1300 may include one or more processor units 1302 (eg, one or more processor units). As used herein, the terms "processor unit", "processing unit" or "processor" may mean the processing of electronic data from a register and/or memory to convert the electronic data into a form that can be stored in a temporary store. Any device or part of a device that contains other electronic data in the device and/or memory. Processor unit 1302 may include one or more digital signal processors (DSPs), application specific integrated circuits (ASICs), central processing units (CPUs), graphics processing units (GPUs), general purpose GPUs (GPGPUs), accelerated processing units (APU), field programmable gate array (FPGA), neural network processing unit (NPU), data processor unit (DPU), accelerator (e.g., graphics accelerator, compression accelerator, artificial intelligence accelerator), controlled cryptographic processor (a special-purpose processor that executes cryptographic algorithms in hardware), server processor, controller, or any other suitable type of processing unit. Because of this, the processor unit may be called an XPU (or xPU).

電性裝置1300可以包括記憶體1304,其本身可能包括一或多個記憶體裝置,諸如揮發性記憶體(例如,動態隨機存取記憶體(DRAM)、靜態隨機存取記憶體(SRAM))、非揮發性記憶體(例如,唯讀記憶體(ROM)、快閃記憶體、基於硫族相變非電壓記憶體)、固態記憶體、和/或硬碟。在一些實施例中,記憶體1304可以包括位於與處理器單元1302相同的積體電路晶粒上的記憶體。此記憶體可以用作快取記憶體(例如,一級(L1)快取、二級(L2)快取、三級(L3)快取、四級(L4)快取、末級快取(LLC)),並且可以包括嵌入式動態隨機存取記憶體(eDRAM)或自旋轉移力矩磁性隨機存取記憶體(STT-MRAM)。Electrical device 1300 may include memory 1304, which may itself include one or more memory devices, such as volatile memory (eg, dynamic random access memory (DRAM), static random access memory (SRAM)) , non-volatile memory (eg, read-only memory (ROM), flash memory, chalcogen phase change-based non-voltage memory), solid-state memory, and/or hard disk. In some embodiments, memory 1304 may include memory located on the same integrated circuit die as processor unit 1302 . This memory can be used as cache memory (for example, level one (L1) cache, level two (L2) cache, level three (L3) cache, level four (L4) cache, last level cache (LLC) )), and may include embedded dynamic random access memory (eDRAM) or spin transfer torque magnetic random access memory (STT-MRAM).

在一些實施例中,電性裝置1300可以包括一或多個處理器單元1302,該等處理器單元1302係與電性裝置1300中的另一個處理器單元1302異構或不對稱。就包括架構、微架構、熱、功耗特性、等等的一系列優點衡量標準而言,系統中的處理器單元1302之間存在有各種差異。該等差異可以有效地表現為電性裝置1300中的處理器單元1302之間的不對稱性和異構性。In some embodiments, the electrical device 1300 may include one or more processor units 1302 that are heterogeneous or asymmetrical to another processor unit 1302 in the electrical device 1300 . There are various differences between the processor units 1302 in the system in terms of a range of merit measures including architecture, microarchitecture, thermal, power consumption characteristics, etc. These differences may effectively manifest themselves as asymmetry and heterogeneity between processor units 1302 in electrical device 1300 .

在一些實施例中,電性裝置1300可以包括通信組件1312(例如,一或多個通信組件)。例如,通信組件1312可以管理無線通信,以供資料到電性裝置1300和來自電性裝置1300之資料的傳輸之用。術語〝無線〞及其衍生詞可用以描述電路、裝置、系統、方法、技術、通信通道、等等,可透過非固態媒體使用調變的電磁輻射來傳達資料。該術語〝無線〞並不暗指相關聯的裝置不包含任何電線,儘管在一些實施例中可能不包含它們。In some embodiments, electrical device 1300 may include communication component 1312 (eg, one or more communication components). For example, communications component 1312 may manage wireless communications for the transmission of data to and from electrical device 1300 . The term "wireless" and its derivatives may be used to describe circuits, devices, systems, methods, techniques, communication channels, etc., that use modulated electromagnetic radiation to convey information through non-solid-state media. The term "wireless" does not imply that the associated device does not contain any wires, although in some embodiments they may not be included.

通信組件1312可以實施多種無線標準或協定的任何一種,包括但不限於電機和電子工程師協會(IEEE)標準,包括Wi-Fi(IEEE 802.11系列)、IEEE 802.16標準(例如,IEEE 802.16-2005修正案)、長期演進(LTE)專案以及任何修正、更新、和/或修訂(例如,進階LTE專案、超行動寬帶(UMB)專案(亦稱作〝3GPP2〞)、等等)。IEEE 802.16相容寬帶無線接達(BWA)網路通常被稱作WiMAX網路,係代表全球微波接達互操作性的首字母縮寫詞,其係通過用於IEEE 802.16標準的一致性和互操作性測試的產品的認證標記。通信組件1312可以依據全球行動通信系統(GSM)、通用封包無線電服務(GPRS)、通用行動電信系統(UMTS)、高速封包接達(HSPA)、演進的HSPA(E-HSPA)、或LTE網路來操作。通信組件1312可以依據用於GSM演進的增強資料(EDGE)、GSM EDGE無線電接達網路(GERAN)、通用地面無線電接達網路(UTRAN)、或演進的UTRAN (E-UTRAN)來操作。通信組件1312可以依據分碼多重接達(CDMA)、分時多重接達(TDMA)、數位增強無線電信(DECT)、演進資料最佳化(EV-DO)、及其衍生技術,以及指定為3G、4G、5G及更高版本的任何其他無線協定來操作。在其他實施例中,通信組件1312可以依據其他無線協定來操作。電性裝置1300可以包括一或多個天線1322,用以促進無線通信和/或用以接收其他無線通信(例如,AM或FM無線電傳輸)。Communications component 1312 may implement any of a variety of wireless standards or protocols, including, but not limited to, Institute of Electrical and Electronics Engineers (IEEE) standards, including Wi-Fi (IEEE 802.11 series), IEEE 802.16 standards (e.g., IEEE 802.16-2005 Amendment ), the Long Term Evolution (LTE) project, and any amendments, updates, and/or revisions (e.g., LTE-Advanced project, Ultra Mobile Broadband (UMB) project (also known as "3GPP2"), etc.). IEEE 802.16-compliant Broadband Wireless Access (BWA) networks are often referred to as WiMAX networks, an acronym that stands for Worldwide Microwave Access Interoperability, which is the standard used for conformance and interoperability of the IEEE 802.16 standard. Certification mark for products tested for safety. The communication component 1312 may be based on Global System for Mobile communications (GSM), Universal Packet Radio Service (GPRS), Universal Mobile Telecommunications System (UMTS), High Speed Packet Access (HSPA), Evolved HSPA (E-HSPA), or LTE network to operate. The communications component 1312 may operate in accordance with Enhanced Data for GSM Evolution (EDGE), GSM EDGE Radio Access Network (GERAN), Universal Terrestrial Radio Access Network (UTRAN), or Evolved UTRAN (E-UTRAN). Communications component 1312 may be based on Code Division Multiple Access (CDMA), Time Division Multiple Access (TDMA), Digital Enhanced Wireless Telecommunications (DECT), Evolved Data Optimized (EV-DO), and derivatives thereof, as well as technologies designated as Operates on any other wireless protocol from 3G, 4G, 5G and beyond. In other embodiments, communications component 1312 may operate in accordance with other wireless protocols. Electrical device 1300 may include one or more antennas 1322 to facilitate wireless communications and/or to receive other wireless communications (eg, AM or FM radio transmissions).

在一些實施例中,通信組件1312可以管理有線通信,諸如電性、光學、或任何其他合適的通信協定(例如,IEEE 802.3乙太網路標準)。如上所述,通信組件1312可以包括多個通信組件。例如,第一通信組件1312可專用於諸如Wi-Fi或藍牙之較短距離的無線通信,以及第二通信組件1312可專用於諸如全球定位系統(GPS)、EDGE、GPRS、CDMA、WiMAX、LTE、EV-DO、等等之更遠距離的無線通信。在一些實施例中,第一通信組件1312可專用於無線通信,以及第二通信組件1312可專用於有線通信。In some embodiments, communications component 1312 may manage wired communications, such as electrical, optical, or any other suitable communications protocol (eg, IEEE 802.3 Ethernet standard). As mentioned above, communication component 1312 may include multiple communication components. For example, the first communication component 1312 may be dedicated to shorter range wireless communications such as Wi-Fi or Bluetooth, and the second communication component 1312 may be dedicated to wireless communications such as Global Positioning System (GPS), EDGE, GPRS, CDMA, WiMAX, LTE , EV-DO, etc. for longer distance wireless communication. In some embodiments, the first communication component 1312 may be dedicated to wireless communications and the second communication component 1312 may be dedicated to wired communications.

電性裝置1300可以包括電池/電源電路1314。電池/電源電路1314可以包括一或多個能量儲存裝置(例如,電池或電容器)和/或用以將電性裝置1300的組件耦接到與電性裝置1300分開的能源(例如,交流線路電源)的電路。Electrical device 1300 may include a battery/power circuit 1314. Battery/power circuitry 1314 may include one or more energy storage devices (e.g., batteries or capacitors) and/or be used to couple components of electrical device 1300 to an energy source separate from electrical device 1300 (e.g., AC line power ) circuit.

電性裝置1300可以包括顯示裝置1306(或對應的介面電路,如上文所討論的)。例如,顯示裝置1306可以包括一或多個嵌入的或者有線或無線連接的視覺指示器,諸如平視顯示器、電腦顯示器、投影器、觸控螢幕顯示器、液晶顯示器(LCD)、發光二極體顯示器、或平面顯示器。Electrical device 1300 may include display device 1306 (or corresponding interface circuitry, as discussed above). For example, display device 1306 may include one or more embedded or wired or wirelessly connected visual indicators, such as a head-up display, a computer monitor, a projector, a touch screen display, a liquid crystal display (LCD), a light emitting diode display , or flat panel display.

電性裝置1300可以包括音頻輸出裝置1308 (或對應的介面電路,如上文所討論的)。例如,音頻輸出裝置1308可以包括任何產生聲音指示器之嵌入的或者有線或無線連接的外部裝置,諸如揚聲器、頭戴式耳機、或耳塞式耳機。Electrical device 1300 may include audio output device 1308 (or corresponding interface circuitry, as discussed above). For example, audio output device 1308 may include any embedded or wired or wirelessly connected external device that generates an audible indicator, such as speakers, headphones, or earphones.

電性裝置1300可以包括音頻輸入裝置1324 (或對應的介面電路,如上文所討論的)。例如,音頻輸入裝置1324可以包括任何產生代表聲音的信號之嵌入的或者有線或無線連接的裝置,諸如微音器,微音器陣列,或數位儀器(例如,具有樂器數位介面(MIDI)輸出的儀器)。電性裝置1300可以包括全球導航衛星系統(GNSS)裝置1318(或對應的介面電路,如上文所討論的),諸如全球定位系統(GPS)裝置。如本項技藝中所已知地,GNSS裝置1318可以與基於衛星的系統通信並且可以根據從一或多個GNSS衛星接收的資訊來決定電性裝置1300的地理位置。Electrical device 1300 may include audio input device 1324 (or corresponding interface circuitry, as discussed above). For example, audio input device 1324 may include any embedded or wired or wirelessly connected device that generates a signal representative of sound, such as a microphone, a microphone array, or a digital instrument (e.g., with a Musical Instrument Digital Interface (MIDI) output). instrument). Electrical device 1300 may include a global navigation satellite system (GNSS) device 1318 (or corresponding interface circuitry, as discussed above), such as a global positioning system (GPS) device. As is known in the art, GNSS device 1318 can communicate with satellite-based systems and can determine the geographic location of electrical device 1300 based on information received from one or more GNSS satellites.

電性裝置1300可以包括另外的輸出裝置1310 (或對應的介面電路,如上文所討論的)。另外的輸出裝置1310的示例可以包括音頻編解碼器、視頻編解碼器、印表機、用以提供資訊到其他裝置的有線或無線傳輸器、或附加的儲存裝置。Electrical device 1300 may include additional output devices 1310 (or corresponding interface circuitry, as discussed above). Examples of additional output devices 1310 may include audio codecs, video codecs, printers, wired or wireless transmitters to provide information to other devices, or additional storage devices.

電性裝置1300可以包括另外的輸入裝置1320 (或對應的介面電路,如上文所討論的)。另外的輸入裝置1320的示例可以包括加速度計、陀螺儀、羅盤、影像捕捉裝置(例如,單像或立體相機)、軌跡球、軌跡板、觸控板、鍵盤、諸如滑鼠的游標控制裝置、電筆、觸控螢幕、接近感測器、微音器、條碼讀取器、快速響應(QR)代碼讀取器、心電圖感測器(ECG)、PPG(光電容積脈搏波)感測器、皮膚電流回應感測器、任何其他感測器、或射頻識別(RFID)讀取器。Electrical device 1300 may include additional input devices 1320 (or corresponding interface circuitry, as discussed above). Examples of additional input devices 1320 may include an accelerometer, a gyroscope, a compass, an image capture device (eg, a monoscopic or stereo camera), a trackball, a trackpad, a trackpad, a keyboard, a cursor control device such as a mouse, Pen, touch screen, proximity sensor, microphone, barcode reader, quick response (QR) code reader, electrocardiogram sensor (ECG), PPG (photoplethysmogram) sensor, skin Current response sensor, any other sensor, or radio frequency identification (RFID) reader.

電性裝置1300可以具有任何所需的形狀因子,諸如手持或行動電性裝置(例如,行動電話、智慧型手機、行動互聯網裝置、音樂播放器、平板電腦、膝上型電腦、二合一可轉換電腦、便攜式一體機、小筆電、超筆電、個人數位助理(PDA)、超行動個人電腦、便攜式遊戲機、等等)、桌上型電性裝置、伺服器、機架級計算解決方案(例如,刀鋒、托盤、或滑道計算系統)、工作站或其他網路計算組件、印表機、掃描器、監視器、機上盒、遊戲控制單元、固定遊戲機、智慧型電視、車輛控制單元、數位相機、數位錄影機、可穿戴式電性裝置、或嵌入式計算系統(例如,作為車輛、智慧型家電、消費電子產品或裝備、製造裝備的一部分的計算系統)。在一些實施例中,電性裝置1300可以是處理資料的任何其他電子裝置。在一些實施例中,電性裝置1300可以包括多個離散的實體組件。鑒於電性裝置1300可以在各種實施例中表現為的裝置的範圍,在一些實施例中,電性裝置1300可以被稱作計算裝置或計算系統。Electrical device 1300 may have any desired form factor, such as a handheld or portable electronic device (e.g., cell phone, smartphone, mobile Internet device, music player, tablet, laptop, 2-in-1 Switch computers, portable all-in-ones, small notebooks, ultra notebooks, personal digital assistants (PDAs), ultra mobile PCs, portable game consoles, etc.), desktop electrical devices, servers, rack-level computing solutions Solutions (e.g., blade, tray, or slide computing systems), workstations or other network computing components, printers, scanners, monitors, set-top boxes, game control units, stationary game consoles, smart TVs, vehicles Control units, digital cameras, digital video recorders, wearable electrical devices, or embedded computing systems (for example, computing systems that are part of vehicles, smart home appliances, consumer electronics products or equipment, and manufacturing equipment). In some embodiments, the electrical device 1300 may be any other electronic device that processes data. In some embodiments, electrical device 1300 may include multiple discrete physical components. Given the range of devices that electrical device 1300 may manifest in various embodiments, electrical device 1300 may be referred to as a computing device or computing system in some embodiments.

圖10係依據一些實施例之處理1000的流程圖。在操作1002中,處理包括提供複數個第一晶粒。在操作1004中,處理包括在該等第一晶粒上提供封裝層,用以形成半導體子總成的第一層。在操作1006中,處理包括在該第一層上提供第一電介質層,用以形成第一層和第一電介質層子總成。在操作1008中,處理包括提供被動散熱器中介層。在操作1010中,處理包括在該被動散熱器中介層上提供第二電介質層,用以形成被動散熱器中介層和第二電介質層子總成。在操作1012中,處理包括在被動散熱器中介層與第一層之間形成並機械性地耦接被動散熱器中介層和第一層的介面層,該介面層提供直接的電介質到電介質鍵合,包括直接鄰近第一層並由第一電介質層所形成的第一電介質子層,以及直接鄰近第一電介質子層,由第二電介質層所形成,並包括非晶系材料的第二電介質子層。在操作1014中,處理包括提供包括基板的第二層。在操作1016中,處理包括將該基板電性地耦接到該等第一晶粒。Figure 10 is a flow diagram of a process 1000 in accordance with some embodiments. In operation 1002, the process includes providing a plurality of first dies. In operation 1004, the process includes providing an encapsulation layer on the first dies to form a first layer of the semiconductor subassembly. In operation 1006, the process includes providing a first dielectric layer over the first layer to form a first layer and a first dielectric layer subassembly. In operation 1008, the process includes providing a passive heat sink interposer. In operation 1010, the process includes providing a second dielectric layer over the passive heat spreader interposer to form a passive heat spreader interposer and second dielectric layer subassembly. In operation 1012 , the process includes forming an interface layer between the passive heat spreader interposer and the first layer and mechanically coupling the passive heat spreader interposer and the first layer, the interface layer providing direct dielectric to dielectric bonding. , including a first dielectric sublayer directly adjacent to the first layer and formed from the first dielectric layer, and a second dielectric sublayer directly adjacent to the first dielectric sublayer, formed from the second dielectric layer, and including an amorphous material. layer. In operation 1014, the process includes providing a second layer including a substrate. In operation 1016, processing includes electrically coupling the substrate to the first dies.

在整個此說明書中,複數個實例可以實施被描述為單個實例的組件、操作、或結構。雖然一或多種方法的單獨操作被圖示和描述為單獨的操作,但是可以同時地執行一或多個單獨的操作,並且不需要以所示的順序執行該等操作。在示例組態中作為單獨組件所呈現的結構和功能可以被實施為組合的結構或組件。相似地,作為單個組件所呈現的結構和功能可以被實施為單獨的組件。該等和其他變化、修改、添加、和改進落在本文標的物的範疇內。Throughout this specification, multiple instances may implement components, operations, or structures that are described as a single instance. Although individual operations of one or more methods are illustrated and described as individual operations, the individual operations or operations may be performed concurrently and need not be performed in the order shown. Structures and functionality presented as separate components in example configurations may be implemented as combined structures or components. Similarly, structure and functionality presented as a single component may be implemented as separate components. Such and other changes, modifications, additions, and improvements fall within the scope of the subject matter hereof.

雖然已經參考特定的示例實施例來描述實施例的概述,但是在不背離本發明實施例的更寬範圍的情況下可以對該等實施例進行各種修改和改變。本發明標的物的此類實施例可以在本文單獨或共同地藉由術語“發明”來提及,僅只是為了方便起見,而不是意圖自願地將此申請案的範疇限制為任何單個揭示或發明的概念,如果實際上不只一個被揭示的話。Although an overview of the embodiments has been described with reference to specific example embodiments, various modifications and changes may be made to these embodiments without departing from the broader scope of the embodiments of the invention. Such embodiments of the inventive subject matter may be referred to herein, individually or collectively, by the term "invention" for convenience only and without intention to voluntarily limit the scope of this application to any single disclosure or The concept of invention, if in fact more than one is revealed.

本文所示的實施例被足夠詳細地描述以使熟習本項技藝的該等人士能實踐所揭示的教導。可以使用其他實施例並從中導出,使得可以在不背離本發明範疇的情況下進行結構和邏輯的替換和改變。因此,詳細描述不應被視為限制意義,並且各種實施例的範圍僅由所附申請專利範圍連同此類申請專利範圍所賦予之等效範圍的全部範圍所界定。The embodiments shown herein are described in sufficient detail to enable those skilled in the art to practice the disclosed teachings. Other embodiments may be utilized and derived therefrom, such that structural and logical substitutions and changes may be made without departing from the scope of the present invention. Therefore, the detailed description is not to be regarded in a limiting sense, and the scope of various embodiments is defined only by the scope of the appended claims together with the full scope of equivalents to which such claims are entitled.

亦應理解的是,雖然術語〝第一〞、〝第二〞、等等可以在本文用以描述各種元件,但是該等元件不應受該等術語限制。該等術語僅用以將一個元件與另一個元件區分開來。例如,在不背離本示例實施例的範疇的情況下,第一接點可以被稱作第二接點,並且類似地,第二接點可以被稱作第一接點。第一接點和第二接點都是接點,但是它們並不是同一個接點。It should also be understood that, although the terms "first," "second," etc. may be used herein to describe various elements, these elements should not be limited by these terms. These terms are only used to distinguish one element from another element. For example, a first contact may be referred to as a second contact, and similarly, a second contact may be referred to as a first contact, without departing from the scope of the present example embodiment. The first contact point and the second contact point are both contacts, but they are not the same contact point.

如示例實施例和附加實例的描述中所使用的,單數形式〝一〞、〝一個〞、及〝該〞係打算也要包括複數形式,除非上下文清楚地另有指示。亦應理解的是,如本文所使用的術語〝和/或〞意指並涵蓋一或多個相關聯所列項目的任何和所有可能的組合。還應理解的是,術語〝包含〞和/或〝包括〞,當用於本說明書時,指明所述特徵、整體、步驟、操作、元件、和/或組件的存在,但不排除一或多個其他特徵、整體、步驟、操作、元件、組件、和/或其群組的存在或添加。As used in the description of example embodiments and additional examples, the singular forms "a," "an," and "the" are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will also be understood that the term "and/or" as used herein means and encompasses any and all possible combinations of one or more of the associated listed items. It will also be understood that the terms "comprising" and/or "including", when used in this specification, indicate the presence of stated features, integers, steps, operations, elements, and/or components but do not exclude one or more The presence or addition of other features, integers, steps, operations, elements, components, and/or groups thereof.

為了本發明的目的,片語〝A和/或B〞意指(A)、(B)、或(A和B)。為了本發明的目的,片語〝A、B、和/或C〞意指(A)、(B)、(C)、(A和B)、(A和C)、(B和C)、或(A、B、和C)。For the purposes of this invention, the phrase "A and/or B" means (A), (B), or (A and B). For the purposes of this invention, the phrase "A, B, and/or C" means (A), (B), (C), (A and B), (A and C), (B and C), or (A, B, and C).

在實施例中,片語〝A係位於B上〞意指A的至少一部分與B的至少一部分係直接實體接觸或間接實體接觸(在A與B之間具有一或多個其他特徵)。In embodiments, the phrase "A is on B" means that at least a portion of A is in direct physical contact or in indirect physical contact with at least a portion of B (having one or more other features between A and B).

在本說明書中,〝A與B相鄰〞意指A的至少一部分與B的至少一部分係直接實體接觸。In this specification, "A and B are adjacent" means that at least a part of A and at least a part of B are in direct physical contact.

在本說明書中,〝B係在A與C之間〞意指B的至少一部分係在分隔A和C的空間中或沿著該空間,並且B的至少一部分係與A和C直接或間接實體接觸。In this specification, "B is between A and C" means that at least a part of B is in or along the space that separates A and C, and at least a part of B is directly or indirectly connected to A and C. get in touch with.

在本說明書中,〝A係附接到B〞意指A的至少一部分係機械性地附接到B的至少一部分,直接地或間接地(在A與B之間具有一或多個其他特徵)。In this specification, "A is attached to B" means that at least a portion of A is mechanically attached to at least a portion of B, either directly or indirectly (with one or more other features between A and B ).

使用由〝/〞所分隔的參考數字,諸如例如,〝102/104〞,在適用的情況下意指102或104。否則,如本文所使用的正斜線(〝/〞)意指〝和/或〞。The use of reference numbers separated by "/", such as, for example, "102/104", means 102 or 104 as applicable. Otherwise, a forward slash ("/") as used herein means "and/or".

可以使用諸如以下的工具來偵測本文所提供的技術和結構的使用情況:電子顯微鏡,包括掃描/透射式電子顯微鏡(SEM/TEM),掃描透射式電子顯微鏡(STEM),奈米束電子繞射(NBD或NBED),和反射式電子顯微鏡(REM);組成映射;x射線晶體學或繞射儀(XRD);能量色散x射線光譜儀(EDX);二次離子質譜儀(SIMS);飛行時間SIMS (ToF-SIMS);原子探針成像或斷層掃描攝影;局部電極原子探針(LEAP)技術;3D斷層掃描攝影;高解析度物理或化學分析,僅舉幾個合適的示例分析工具。特別地,此類工具可以指示包括至少一個MCP的積體電路,該MCP包括透過如上述之直接的電介質到電介質鍵合來接合到MCP子總成的中介層。The use of the techniques and structures presented in this article can be detected using tools such as: electron microscopy, including scanning/transmission electron microscopy (SEM/TEM), scanning transmission electron microscopy (STEM), nanobeam electron microscopy (NBD or NBED), and reflection electron microscopy (REM); composition mapping; x-ray crystallography or diffractometer (XRD); energy dispersive x-ray spectrometer (EDX); secondary ion mass spectrometer (SIMS); flight Temporal SIMS (ToF-SIMS); atom probe imaging or tomography; local electrode atom probe (LEAP) technology; 3D tomography; high-resolution physical or chemical analysis, to name just a few suitable example analysis tools. In particular, such tools may refer to integrated circuits including at least one MCP including an interposer bonded to the MCP sub-assembly via direct dielectric-to-dielectric bonding as described above.

在一些實施例中,本文所描述的技術、處理、和/或方法可以根據其中所形成的結構來檢測。此外,在一些實施例中,本文所描述的技術和結構可以根據其中所衍生的好處來檢測。鑒於本發明,許多組態和變化將呈顯而易見。In some embodiments, the techniques, processes, and/or methods described herein may be examined based on the structures formed therein. Furthermore, in some embodiments, the techniques and structures described herein may be examined in terms of the benefits derived therefrom. Many configurations and variations will be apparent in view of the present invention.

描述可能使用基於透視圖的描述,諸如頂部/底部、內部/外部、上方/下方、等等。此類描述僅係用以促進討論,而且並不意圖要限制本文所描述的實施例的應用於任何特定的方向。The description may use perspective-based descriptions such as top/bottom, inside/outside, above/below, etc. Such descriptions are provided merely to facilitate discussion and are not intended to limit the application of the embodiments described herein to any particular direction.

描述可能使用片語〝在一個實施例中〞、〝依據一些實施例〞、〝依據實施例〞、或〝在實施例中〞,其可各自意指一或多個相同或不同的實施例。此外,如相對於本發明實施例所使用的術語〝包含〞、〝包括〞〝具有〞、等等,係同義詞的。The description may use the phrases "in one embodiment," "according to some embodiments," "according to an embodiment," or "in an embodiment," which may each mean one or more of the same or different embodiments. In addition, the terms "comprising", "including", "having", etc., as used with respect to embodiments of the present invention, are synonymous.

如本文所使用的〝耦接〞意指的是,兩個或更多個元件係直接實體接觸,或兩個或更多個元件係彼此間接實體接觸,但仍互相協作或互動(亦即,一或多個其他元件被耦接或連接在被稱作彼此相互耦接的元件之間)。術語〝直接耦接〞意指的是,兩個或更多個元件係直接接觸。As used herein, "coupled" means that two or more elements are in direct physical contact, or that two or more elements are in indirect physical contact with each other but still cooperate or interact with each other (i.e., One or more other elements are coupled or connected between elements that are said to be mutually coupled to each other). The term "directly coupled" means that two or more elements are in direct contact.

如本文所使用地,術語〝模組〞意指作為以下的一部分,或包括以下:ASIC、電子電路、系統單晶片、處理器(共享的,專用的,或群組的)、固態裝置、執行一或多個軟體或韌體程式的記憶體(共享的,專用的,或群組的)、組合式邏輯電路、和/或提供所述功能的其他合適的組件。As used herein, the term "module" means being part of, or including the following: ASIC, electronic circuit, system-on-chip, processor (shared, dedicated, or grouped), solid-state device, execution One or more software or firmware programs, memory (shared, dedicated, or grouped), combinational logic, and/or other suitable components that provide the functionality described.

如本文所使用地,在一些示例中的術語〝導電性〞可以意指具有在20攝氏度時大於或等於每米 西門子(S/m)的電導率之材料的性質。此類材料的示例包括Cu、Ag、Al、Au、W、Zn、和Ni。 As used herein, the term "conductivity" in some examples may mean having a conductivity greater than or equal to one meter per meter at 20 degrees Celsius. Siemens (S/m) electrical conductivity is a property of materials. Examples of such materials include Cu, Ag, Al, Au, W, Zn, and Ni.

如本文所使用地,〝積體電路結構〞可以包括一或多個微電子晶粒。As used herein, an "integrated circuit structure" may include one or more microelectronic dies.

在實施例的對應圖式中,信號、電流、電性偏壓、或者磁性或電性極性可以以線路表示。一些線路可能較粗,用以指示多個組成信號路徑,且/或在一或多個末端具有箭頭,用以指示主資訊流動方向。此類指示並不意圖在限制。相反地,線路係與一或多個示例性實施例結合使用,用以促進更容易理解電路或邏輯單元。任何表示的信號、極性、電流、電壓、等等,如設計需要或偏好所規定的,可以實際地包括一或多個信號,該等信號可以以任一方向行進,並且可以以任何合適類型的信號方案實施。In corresponding drawings of embodiments, signals, currents, electrical biases, or magnetic or electrical polarities may be represented as lines. Some lines may be thicker to indicate multiple component signal paths and/or have arrows at one or more ends to indicate the main direction of information flow. Such instructions are not intended to be limiting. Rather, lines are used in connection with one or more exemplary embodiments to facilitate easier understanding of a circuit or logic element. Any representation of signals, polarity, current, voltage, etc., as design needs or preferences dictate, may actually include one or more signals that may travel in either direction and may be of any suitable type. Signal scheme implementation.

在整個此說明書中,且在申請專利範圍中,術語〝連接〞表示直接連接,諸如在被連接的元件之間的電性、機械性、或磁性連接,沒有任何中間裝置。術語〝耦接〞表示直接或間接連接,諸如在被連接的元件之間的直接電性、機械性、或磁性連接,或透過一或多個被動或主動的中間裝置的間接連接。術語〝信號〞可以意指至少一個電流信號、電壓信號、磁性信號、或資料/時脈信號。〝一〞、〝一個〞、和〝該〞的含義包括複數引用。〝在〞的意思包括〝在裡面〞和〝在上面〞。Throughout this specification, and within the scope of the claims, the term "connected" means a direct connection, such as an electrical, mechanical, or magnetic connection between the elements being connected, without any intervening means. The term "coupled" means a direct or indirect connection, such as a direct electrical, mechanical, or magnetic connection between connected elements, or an indirect connection through one or more passive or active intervening devices. The term "signal" may mean at least one current signal, voltage signal, magnetic signal, or data/clock signal. "a", "an", and "the" are intended to include plural references. The meaning of "in" includes "in" and "on top".

術語〝實質地〞、〝接近〞、〝近似〞、〝靠近〞、和〝大約〞通常意指在目標值的+/-10%內(除非具體地指明)。除非另有指明,否則使用序數形容詞〝第一〞、〝第二〞、及〝第三〞、等等來描述共同的對象,僅表示所指的是相似對象的不同實例,並不意味著所描述的對象必須在一個給定的序列中,無論是在時間上、在空間上、在排名上、或是以任何其他方式。The terms "substantially," "nearly," "approximately," "nearly," and "approximately" generally mean within +/-10% of the target value (unless specifically stated). Unless otherwise specified, the use of ordinal adjectives "first", "second", and "third", etc., to describe common objects only indicates that they refer to different instances of similar objects and does not mean that all The objects described must be in a given sequence, whether in time, space, ranking, or in any other way.

為了實施例之目的,此處所描述的各種電路和邏輯區塊中的電晶體係金氧半(MOS)電晶體或其衍生物,其中MOS電晶體包括汲極、源極、閘極、和體端子。電晶體和/或MOS電晶體衍生物還包括三閘極和FinFET電晶體、環繞式閘極圓柱形電晶體、穿隧FET(TFET)、方線或矩形帶狀電晶體、鐵電FET(FeFET)、或其他實施電晶體功能的裝置,如碳奈米管或自旋電子裝置。MOSFET對稱的源極和汲極端子,亦即,係相同的端子並且在此處可互換地使用。另一方面,TFET裝置具有不對稱的源極和汲極端子。熟習於本項技藝之人士將理解的是,在不背離本發明的範圍的情況下,可以使用其他電晶體,例如,雙極性接面電晶體-BJT PNP/NPN、BiCMOS、CMOS、eFET、等等。術語〝MN〞表示n型電晶體(例如,nMOS、NPN BJT、等等),以及術語〝MP〞表示p型電晶體(例如,pMOS、PNP BJT、等等)。For purposes of the examples, the transistors in the various circuits and logic blocks described herein are metal oxide semiconducting (MOS) transistors or derivatives thereof, where MOS transistors include drains, sources, gates, and bodies. terminal. Transistors and/or MOS transistor derivatives also include triple-gate and FinFET transistors, wrap-gate cylindrical transistors, tunneling FETs (TFETs), square wire or rectangular strip transistors, ferroelectric FETs (FeFETs) ), or other devices that perform transistor functions, such as carbon nanotubes or spintronic devices. The MOSFET's symmetrical source and drain terminals, that is, are the same terminals and are used interchangeably here. TFET devices, on the other hand, have asymmetric source and drain terminals. It will be understood by those skilled in the art that other transistors may be used without departing from the scope of the present invention, for example, bipolar junction transistors - BJT PNP/NPN, BiCMOS, CMOS, eFET, etc. wait. The term "MN" represents an n-type transistor (eg, nMOS, NPN BJT, etc.), and the term "MP" represents a p-type transistor (eg, pMOS, PNP BJT, etc.).

為了解說之目的,前文描述已經參照具體示例實施例來進行描述。然而,上文的說明性討論並不打算要包羅無遺或將可能的示例實施例限制為所揭示之精確的形式。鑒於上述教導,許多修改和變化係可能的。選擇和描述示例實施例係為了要最佳地解說所涉及的原理及其實際應用,從而使熟習於本項技藝的其他人士能夠最佳地利用具有適合於預期的特定用途之各種修改的各種示例實施例。For purposes of illustration, the foregoing description has been described with reference to specific example embodiments. However, the above illustrative discussion is not intended to be exhaustive or to limit possible example embodiments to the precise forms disclosed. Many modifications and variations are possible in light of the above teachings. The example embodiments were chosen and described in order to best explain the principles involved and its practical application, thereby enabling others skilled in the art to best utilize the various examples with various modifications as are suited to the particular use contemplated. Example.

實例Example

下文闡述了一些非限制性示例實施例。Some non-limiting example embodiments are set forth below.

實例1包括一種微電子裝置,該裝置包含基板;實體層(PHY)電路,在該基板上,包括複數個接收(RX)電路和複數個傳輸(TX)電路;電性接觸結構,在該裝置的底面處;信號路由路徑,一方面在該等電性接觸結構之間延伸,另一方面在至少一些該等RX電路或至少一些該等TX電路之間延伸;以及電性通路,通向該PHY電路並且被組態為至少滿足以下條件之一;輸入到該裝置的致能信號通過至少一些該等電性通路,用以致能該PHY電路的一部分;以及輸入到該裝置的去能信號通過至少一些該等電性通路,用以去能該PHY電路的對應部分。Example 1 includes a microelectronic device, which includes a substrate; a physical layer (PHY) circuit including a plurality of receiving (RX) circuits and a plurality of transmitting (TX) circuits on the substrate; and an electrical contact structure on the device. at the bottom surface; signal routing paths extending between the electrical contact structures on the one hand and at least some of the RX circuits or at least some of the TX circuits on the other hand; The PHY circuit is configured to meet at least one of the following conditions; the enable signal input to the device passes through at least some of the electrical paths for enabling a part of the PHY circuit; and the disable signal input to the device passes through At least some of the electrical paths are used to disable corresponding parts of the PHY circuit.

實例2包括實例1的標的物,其中該等電性通路包括至少一個熔絲或暫存器,用以致能該PHY電路的該對應部分或去能該PHY電路的該對應部分中的至少一種。Example 2 includes the subject matter of Example 1, wherein the electrical paths include at least one fuse or register for at least one of enabling or disabling the corresponding portion of the PHY circuit.

實例3包括實例1的標的物,其中通向該PHY電路的該等電性通路被組態為至少滿足以下條件之一:輸入到該裝置的致能信號通過至少一些該等電性通路,用以致能1/2的該等RX電路和1/2的該等TX電路;以及輸入到該裝置的去能信號通過至少一些該等電性通路,用以去能剩餘的1/2的該等RX電路和1/2的該等TX電路。Example 3 includes the subject matter of Example 1, wherein the electrical paths to the PHY circuit are configured to satisfy at least one of the following conditions: an enable signal input to the device passes through at least some of the electrical paths, using To enable 1/2 of the RX circuits and 1/2 of the TX circuits; and the disable signal input to the device passes through at least some of the electrical paths to disable the remaining 1/2 of the RX circuits and 1/2 of such TX circuits.

實例4包括實例1的標的物,其中通向該PHY電路的該等電性通路被組態為至少滿足以下條件之一:輸入到該裝置的致能信號通過至少一些該等電性通路,用以致能1/4的該等RX電路和1/4的該等TX電路;以及輸入到該裝置的去能信號通過至少一些該等電性通路,用以去能剩餘的3/4的該等RX電路和3/4的該等TX電路。Example 4 includes the subject matter of Example 1, wherein the electrical paths to the PHY circuit are configured to satisfy at least one of the following conditions: an enable signal input to the device passes through at least some of the electrical paths, using To enable 1/4 of the RX circuits and 1/4 of the TX circuits; and the disable signal input to the device passes through at least some of the electrical paths to disable the remaining 3/4 of the RX circuits and 3/4 of such TX circuits.

實例5包括實例1的標的物,其中一些該等信號路由路徑在對應的該等電性接觸結構與所有的該等RX電路之間延伸,並且一些該等信號路由路徑在對應的該等電性接觸結構與所有的該等TX電路之間延伸。Example 5 includes the subject matter of Example 1, wherein some of the signal routing paths extend between the corresponding electrical contact structures and all of the RX circuits, and some of the signal routing paths extend between the corresponding electrical contact structures. Contact structures extend between all such TX circuits.

實例6包括實例1的標的物,其中一些該等信號路由路徑在對應的該等電性接觸結構與部分的該等RX電路之間延伸,並且一些該等信號路由路徑在對應的該等電性接觸結構與部分的該等TX電路之間延伸。Example 6 includes the subject matter of Example 1, wherein some of the signal routing paths extend between the corresponding electrical contact structures and portions of the RX circuits, and some of the signal routing paths extend between the corresponding electrical contact structures. Contact structures extend between portions of the TX circuits.

實例7包括實例6的標的物,其中:該部分的該等RX電路包括1/2的該等RX電路並且該部分的該等TX電路包括1/2的該等TX電路;或者該部分的該等RX電路包括1/4的該等RX電路並且該部分的該等TX電路包括或1/4的該等TX電路。Example 7 includes the subject matter of Example 6, wherein: the portion of the RX circuits includes 1/2 of the RX circuits and the portion of the TX circuits includes 1/2 of the TX circuits; or the portion of the TX circuits includes The RX circuits include 1/4 of the RX circuits and the portion of the TX circuits includes or 1/4 of the TX circuits.

實例8包括實例1的標的物,其中該等RX電路係在該裝置的的第一區域,並且該等TX電路係在該裝置之與該第一區不同的第二區域。Example 8 includes the subject matter of Example 1, wherein the RX circuits are in a first region of the device and the TX circuits are in a second region of the device that is different from the first region.

實例9包括實例1的標的物,其中該等信號路由路徑包括該裝置的導電性跡線和通孔。Example 9 includes the subject matter of Example 1, wherein the signal routing paths include conductive traces and vias of the device.

實例10包括實例1的標的物,其中該等電性接觸結構包括凸塊。Example 10 includes the subject matter of Example 1, wherein the electrical contact structures include bumps.

實例11包括實例10的標的物,其中該等凸塊包括C4凸塊。Example 11 includes the subject matter of Example 10, wherein the bumps include C4 bumps.

實例12包括實例1的標的物,其中在該等電性接觸結構之間的間距係在大約110微米與大約130微米之間。Example 12 includes the subject matter of Example 1, wherein the spacing between the electrical contact structures is between about 110 microns and about 130 microns.

實例13包括實例1的標的物,其中在該等電性接觸結構之間的間距係在大約36微米與大約55微米之間。Example 13 includes the subject matter of Example 1, wherein the spacing between the electrical contact structures is between about 36 microns and about 55 microns.

實例14包括一種半導體封裝,該半導體封裝包含:封裝基板;兩對晶粒,在該封裝基板上,包括第一對晶粒和第二對晶粒,該第一對晶粒包括第一晶粒和第二晶粒,以及該第二對晶粒包括第三晶粒和第四晶粒,其中:該等晶粒的個別晶粒包括:晶粒基板;實體層(PHY)電路,在該晶粒基板上,包括複數個接收(RX)電路和複數個傳輸(TX)電路;電性接觸結構,在該晶粒的底面處;該第一晶粒和該第二晶粒的個別晶粒包括信號路由路徑,一方面在其該等電性接觸結構之間延伸,另一方面在其所有的該等RX電路與所有的該等TX電路之間延伸;該第三晶粒和該第四晶粒的個別晶粒包括信號路由路徑,一方面在其該等電性接觸結構之間延伸,另一方面在其一部分的該等RX電路與一部分的該等TX電路之間延伸;其中該封裝基板包括第一封裝信號路由路徑和第二封裝信號路由路徑,該第一封裝信號路由路徑在該第一晶粒與該第二晶粒間延伸,用以在它們之間提供裝置到裝置(D2D)的信號互連,以及該第二封裝信號路由路徑在該第一晶粒與該第二晶粒間延伸,用以在它們之間提供裝置到裝置(D2D)的信號互連。Example 14 includes a semiconductor package, the semiconductor package includes: a packaging substrate; two pairs of dies, on the packaging substrate, including a first pair of dies and a second pair of dies, the first pair of dies including a first die and a second die, and the second pair of die includes a third die and a fourth die, wherein: individual die of the die include: die substrate; physical layer (PHY) circuit, on the die The chip substrate includes a plurality of receiving (RX) circuits and a plurality of transmitting (TX) circuits; an electrical contact structure is at the bottom surface of the chip; the individual chips of the first chip and the second chip include The signal routing path extends between the electrical contact structures on the one hand and all the RX circuits and all the TX circuits on the other hand; the third die and the fourth die Individual dies of the die include signal routing paths extending between the electrical contact structures thereof on the one hand, and a portion of the RX circuits and a portion of the TX circuits thereof on the other hand; wherein the packaging substrate Includes a first packaged signal routing path and a second packaged signal routing path extending between the first die and the second die to provide device-to-device (D2D) therebetween signal interconnection, and the second package signal routing path extends between the first die and the second die to provide device-to-device (D2D) signal interconnection therebetween.

實例15包括實例14的標的物,其中該部分的該等RX電路包括1/2的該等RX電路,以及該部分的該等TX電路包括1/2的該等TX電路。Example 15 includes the subject matter of Example 14, wherein the portion of the RX circuits includes 1/2 of the RX circuits, and the portion of the TX circuits includes 1/2 of the TX circuits.

實例16包括實例14的標的物,其中該部分的該等RX電路包括1/4的該等RX電路,以及該部分的該等TX電路包括1/4的該等TX電路。Example 16 includes the subject matter of Example 14, wherein the portion of the RX circuits includes 1/4 of the RX circuits, and the portion of the TX circuits includes 1/4 of the TX circuits.

實例17包括實例14的標的物,其中,對於該等晶粒的個別晶粒,該等RX電路係在該晶粒的第一區域,並且該等TX電路係在該晶粒的不同於該第一區域的第二區域。Example 17 includes the subject matter of Example 14, wherein for an individual die of the die, the RX circuits are on a first region of the die, and the TX circuits are on a different region of the die than the first region of the die. A second area of one area.

實例18包括實例14的標的物,其中,對於該等晶粒的個別晶粒,該等信號路由路徑包括該晶粒的導電性跡線和通孔。Example 18 includes the subject matter of Example 14, wherein, for an individual die of the die, the signal routing paths include conductive traces and vias of the die.

實例19包括實例14的標的物,其中,對於該等晶粒的個別晶粒,該等電性接觸結構包括凸塊。Example 19 includes the subject matter of Example 14, wherein for individual dies of the dies, the electrical contact structures include bumps.

實例20包括實例19的標的物,其中,對於該等晶粒的個別晶粒,該等電性接觸結構包括受控的崩陷晶片收集凸塊。Example 20 includes the subject matter of Example 19, wherein the electrical contact structures include controlled collapse wafer collection bumps for individual dies of the dies.

實例21包括實例14的標的物,其中,對於該第一晶粒和該第二晶粒的個別晶粒,在該等電性接觸結構之間的間距係在大約110微米與大約130微米之間,並且對於該第三晶粒和該第四晶粒的個別晶粒,在該等電性接觸結構之間的間距係在大約36微米與大約55微米之間。Example 21 includes the subject matter of Example 14, wherein the spacing between the electrical contact structures is between about 110 microns and about 130 microns for individual dies of the first die and the second die. , and for each of the third die and the fourth die, the spacing between the electrical contact structures is between about 36 microns and about 55 microns.

實例22包括實例14的標的物,其中該等第一封裝信號路由路徑和該等第二封裝信號路由路徑中的至少一者延伸穿過並接觸該封裝基板的材料層。Example 22 includes the subject matter of Example 14, wherein at least one of the first package signal routing paths and the second package signal routing paths extend through and contact a layer of material of the package substrate.

實例23包括實例22的標的物,其中該等材料層包括有機材料。Example 23 includes the subject matter of Example 22, wherein the material layers include organic materials.

實例24包括實例14的標的物,其中,該封裝基板界定空腔於其中,該封裝基板進一步包括互連橋接器於該空腔內,該等第一封裝信號路由路徑和該等第二封裝信號路由路徑中的至少一者中的一者在該互連橋接器內延伸。Example 24 includes the subject matter of Example 14, wherein the packaging substrate defines a cavity therein, the packaging substrate further includes an interconnect bridge within the cavity, the first package signal routing paths and the second package signals One of at least one of the routing paths extends within the interconnect bridge.

實例25包括實例14的標的物,其中,該第一晶粒、第二晶粒、第三晶粒、和第四晶粒係彼此相同的。Example 25 includes the subject matter of Example 14, wherein the first, second, third, and fourth grains are identical to each other.

實例26包括實例14的標的物,其中,該等晶粒的個別晶粒包括電性通路,該等電性通路通向該PHY電路並且被組態為至少滿足以下條件之一;輸入到該等晶粒的個別晶粒的致能信號通過至少一些該等電性通路,用以致能該PHY電路的一部分;或者輸入到該等晶粒的個別晶粒的去能信號通過至少一些該等電性通路,用以去能該PHY電路的對應部分。Example 26 includes the subject matter of Example 14, wherein individual dies of the dies include electrical vias leading to the PHY circuit and configured to satisfy at least one of the following conditions; input to the Enable signals of individual die of the die are used to enable a part of the PHY circuit through at least some of the electrical paths; or disable signals of individual die input to the die pass through at least some of the electrical paths. Path, used to disable the corresponding part of the PHY circuit.

實例27包括實例26的標的物,其中,對於該等晶粒的個別晶粒,該等電性通路包括至少一個熔絲或暫存器,用以致能該PHY電路的該對應部分或去能該PHY電路的該對應部分中的至少一種。Example 27 includes the subject matter of Example 26, wherein, for individual dies of the dies, the electrical paths include at least one fuse or register for enabling the corresponding portion of the PHY circuit or disabling the At least one of the corresponding portions of the PHY circuit.

實例28包括一種積體電路(IC)裝置總成,包含:印刷電路板;以及複數個積體電路組件,耦接到該印刷電路板,該等積體電路組件的個別積體電路組件包括一或多個半導體封裝,該等半導體封裝的個別半導體封裝包括:封裝基板;複數個晶粒,在該封裝基板上,該等晶粒的個別晶粒包括:晶粒基板;實體層(PHY)電路,在該晶粒基板上,包括複數個接收(RX)電路和複數個傳輸(TX)電路;電性接觸結構,在該晶粒的底面處;信號路由路徑,一方面在該等電性接觸結構之間延伸,另一方面在至少一些該等RX電路或至少一些該等TX電路之間延伸;電性通路,通向該PHY電路並且被組態為至少滿足以下條件之一;輸入到該晶粒的致能信號通過至少一些該等電性通路,用以致能該PHY電路的一部分;以及輸入到該晶粒的去能信號通過至少一些該等電性通路,用以去能該PHY電路的對應部分;以及其中該封裝基板包括封裝信號路由路徑,在該複數個晶粒的第一晶粒與該複數個晶粒的第二晶粒之間延伸,用以在它們之間提供裝置到裝置(D2D)的信號互連。Example 28 includes an integrated circuit (IC) device assembly including: a printed circuit board; and a plurality of integrated circuit components coupled to the printed circuit board, each of the integrated circuit components including a or a plurality of semiconductor packages, each of which includes: a packaging substrate; a plurality of dies, on which the individual dies of the dies include: a die substrate; a physical layer (PHY) circuit , on the die substrate, including a plurality of receiving (RX) circuits and a plurality of transmitting (TX) circuits; an electrical contact structure at the bottom surface of the die; a signal routing path, on the one hand, at the electrical contacts Extending between structures, on the other hand extending between at least some of the RX circuits or at least some of the TX circuits; an electrical path leading to the PHY circuit and configured to meet at least one of the following conditions; input to the The enable signal of the die passes through at least some of the electrical paths to enable a part of the PHY circuit; and the disable signal input to the die passes through at least some of the electrical paths to disable the PHY circuit. corresponding portions; and wherein the package substrate includes a package signal routing path extending between a first die of the plurality of dies and a second die of the plurality of dies to provide a device therebetween. Signal interconnection of devices (D2D).

實例29包括實例28的標的物,其中,對於該等晶粒的個別晶粒,該等電性通路包括至少一個熔絲或暫存器,用以致能該PHY電路的該對應部分或去能該PHY電路的該對應部分中的至少一種。Example 29 includes the subject matter of Example 28, wherein for individual dies of the dies, the electrical paths include at least one fuse or register for enabling the corresponding portion of the PHY circuit or disabling the At least one of the corresponding portions of the PHY circuit.

實例30包括實例28的標的物,其中,對於該等晶粒的個別晶粒,通向該PHY電路的該等電性通路被組態為至少滿足以下條件之一:輸入到該晶粒的致能信號通過至少一些該等電性通路,用以致能1/2的該等RX電路和1/2的該等TX電路;以及輸入到該晶粒的去能信號通過至少一些該等電性通路,用以去能剩餘的1/2的該等RX電路和1/2的該等TX電路。Example 30 includes the subject matter of Example 28, wherein, for an individual die of the die, the electrical paths to the PHY circuit are configured to satisfy at least one of the following conditions: a cause input to the die. The enable signal passes through at least some of the electrical paths to enable 1/2 of the RX circuits and 1/2 of the TX circuits; and the disable signal input to the die passes through at least some of the electrical paths. , used to disable the remaining 1/2 of the RX circuits and 1/2 of the TX circuits.

實例31包括實例28的標的物,其中,對於該等晶粒的個別晶粒,通向該PHY電路的該等電性通路被組態為至少滿足以下條件之一:輸入到該晶粒的致能信號通過至少一些該等電性通路,用以致能1/4的該等RX電路和1/4的該等TX電路;以及輸入到該晶粒的去能信號通過至少一些該等電性通路,用以去能剩餘的3/4的該等RX電路和3/4的該等TX電路。Example 31 includes the subject matter of Example 28, wherein, for an individual die of the die, the electrical paths to the PHY circuit are configured to satisfy at least one of the following conditions: a signal input to the die. The enable signal passes through at least some of the electrical paths to enable 1/4 of the RX circuits and 1/4 of the TX circuits; and the disable signal input to the die passes through at least some of the electrical paths. , used to disable the remaining 3/4 of the RX circuits and 3/4 of the TX circuits.

實例32包括實例28的標的物,其中,對於該等晶粒的個別晶粒,一些該等信號路由路徑在對應的該等電性接觸結構與所有的該等RX電路之間延伸,並且一些該等信號路由路徑在對應的該等電性接觸結構與所有的該等TX電路之間延伸。Example 32 includes the subject matter of Example 28, wherein for individual dies of the dies, some of the signal routing paths extend between the corresponding electrical contact structures and all of the RX circuits, and some of the Signal routing paths extend between the corresponding electrical contact structures and all of the TX circuits.

實例33包括實例28的標的物,其中,對於該等晶粒的個別晶粒,一些該等信號路由路徑在對應的該等電性接觸結構與部分的該等RX電路之間延伸,並且一些該等信號路由路徑在對應的該等電性接觸結構與部分的該等TX電路之間延伸。Example 33 includes the subject matter of Example 28, wherein for individual dies of the dies, some of the signal routing paths extend between the corresponding electrical contact structures and portions of the RX circuitry, and some of the Signal routing paths extend between corresponding electrical contact structures and portions of the TX circuits.

實例34包括實例33的標的物,其中,對於該等晶粒的個別晶粒,該部分的該等RX電路包括1/2的該等RX電路並且該部分的該等TX電路包括1/2的該等TX電路;或者該部分的該等RX電路包括1/4的該等RX電路並且該部分的該等TX電路包括或1/4的該等TX電路。Example 34 includes the subject matter of Example 33, wherein for an individual die of the dies, the portion of the RX circuits includes 1/2 of the RX circuits and the portion of the TX circuits includes 1/2 of the the TX circuits; or the portion of the RX circuits includes 1/4 of the RX circuits and the portion of the TX circuits includes or 1/4 of the TX circuits.

實例35包括實例28的標的物,其中,對於該等晶粒的個別晶粒,該等RX電路係在該晶粒的的第一區域,並且該等TX電路係在該晶粒之與該第一區不同的第二區域。Example 35 includes the subject matter of Example 28, wherein for an individual die of the die, the RX circuits are on a first region of the die and the TX circuits are on a first region of the die and the third region of the die. A second area that is different from the first area.

實例36包括實例28的標的物,其中,對於該等晶粒的個別晶粒,該等信號路由路徑包括該晶粒的導電性跡線和通孔。Example 36 includes the subject matter of Example 28, wherein, for an individual die of the die, the signal routing paths include conductive traces and vias of the die.

實例37包括實例28的標的物,其中,對於該等晶粒的個別晶粒,該等電性接觸結構包括凸塊。Example 37 includes the subject matter of Example 28, wherein for individual dies of the dies, the electrical contact structures include bumps.

實例38包括實例37的標的物,其中,對於該等晶粒的個別晶粒,該等電性接觸結構包括受控的崩陷晶片收集凸塊。Example 38 includes the subject matter of Example 37, wherein the electrical contact structures include controlled collapse wafer collection bumps for individual dies of the dies.

實例39包括實例28的標的物,其中,對於該等晶粒的個別晶粒,在該等電性接觸結構之間的間距係在大約110微米與大約130微米之間。Example 39 includes the subject matter of Example 28, wherein the spacing between the electrical contact structures is between about 110 microns and about 130 microns for individual die of the die.

實例40包括實例28的標的物,其中,對於該等晶粒的個別晶粒,在該等電性接觸結構之間的間距係在大約36微米與大約55微米之間。Example 40 includes the subject matter of Example 28, wherein the spacing between the electrical contact structures is between about 36 microns and about 55 microns for individual dies of the dies.

實例41包括實例28的標的物,其中該等封裝信號路由路徑延伸穿過並接觸該封裝基板的材料層。Example 41 includes the subject matter of Example 28, wherein the package signal routing paths extend through and contact a layer of material of the package substrate.

實例42包括實例41的標的物,其中該等材料層包括有機材料。Example 42 includes the subject matter of Example 41, wherein the material layers include organic materials.

實例43包括實例28的標的物,其中,該封裝基板界定空腔於其中,該封裝進一步包括互連橋接器於該空腔內,該等封裝信號路由路徑在該互連橋接器內延伸。Example 43 includes the subject matter of Example 28, wherein the package substrate defines a cavity therein, the package further includes an interconnect bridge within the cavity, the package signal routing paths extending within the interconnect bridge.

實例44包括實例28的標的物,其中,該第一晶粒和第二晶粒係彼此相同的。Example 44 includes the subject matter of Example 28, wherein the first grain and the second grain are identical to each other.

實例45包括實例28的標的物,其中:該等封裝信號路由路徑係第一封裝信號路由路徑;該複數個晶粒進一步包括第三晶粒與第四晶粒,配置在該封裝基板上;該封裝基板進一步包括第二封裝信號路由路徑,在該第三晶粒與該第二晶粒間延伸,用以在它們之間提供裝置到裝置(D2D)的信號互連;對於該第一晶粒與該第二晶粒的個別晶粒:第一組的該等信號路由路徑在對應的該等電性接觸結構與所有的該等RX電路之間延伸;並且不同於該第一組的第二組的該等信號路由路徑在對應的該等電性接觸結構與所有的該等TX電路之間延伸;以及對於該第三晶粒與該第四晶粒的個別晶粒:第一組的該等信號路由路徑在對應的該等電性接觸結構與部分的該等RX電路之間延伸;並且不同於該第一組的第二組的該等信號路由路徑在對應的該等電性接觸結構與部分的該等TX電路之間延伸。Example 45 includes the subject matter of Example 28, wherein: the package signal routing paths are first package signal routing paths; the plurality of dies further include third dies and fourth dies disposed on the package substrate; The package substrate further includes a second package signal routing path extending between the third die and the second die to provide device-to-device (D2D) signal interconnection therebetween; for the first die With an individual die of the second die: the signal routing paths of the first group extend between the corresponding electrical contact structures and all of the RX circuits; and are different from the second group of the first group A set of the signal routing paths extends between the corresponding electrical contact structures and all of the TX circuits; and for individual dies of the third die and the fourth die: the first set of the The signal routing paths extend between the corresponding electrical contact structures and portions of the RX circuits; and a second group of signal routing paths different from the first group are between the corresponding electrical contact structures. and extend between portions of such TX circuits.

實例46包括一種微電子裝置的製造方法,包含:提供基板;提供實體層(PHY)電路在該基板上,該實體層(PHY)電路包括複數個接收(RX)電路和複數個傳輸(TX)電路;提供電性接觸結構在該裝置的底面處;提供信號路由路徑,一方面在該等電性接觸結構之間延伸,另一方面在至少一些該等RX電路或至少一些該等TX電路之間延伸;以及提供電性通路,通向該PHY電路;至少以下之一:提供致能信號到該裝置內,通過至少一些該等電性通路以致能該PHY電路的一部分;以及提供去能信號到該裝置內,通過至少一些該等電性通路以去能該PHY電路的對應部分。Example 46 includes a method of manufacturing a microelectronic device, including: providing a substrate; providing a physical layer (PHY) circuit on the substrate, the physical layer (PHY) circuit including a plurality of receiving (RX) circuits and a plurality of transmitting (TX) circuits. circuitry; providing electrical contact structures at the underside of the device; providing signal routing paths extending between the electrical contact structures on the one hand and at least some of the RX circuits or at least some of the TX circuits on the other hand extending between; and providing electrical paths to the PHY circuit; at least one of the following: providing an enable signal into the device to enable a portion of the PHY circuit through at least some of the electrical paths; and providing a disable signal into the device, through at least some of the electrical paths to disable corresponding portions of the PHY circuit.

實例47包括實例46的標的物,其中該等電性通路包括至少一個熔絲或暫存器,用以致能該PHY電路的該對應部分或去能該PHY電路的該對應部分中的至少一種。Example 47 includes the subject matter of Example 46, wherein the electrical paths include at least one fuse or register for at least one of enabling or disabling the corresponding portion of the PHY circuit.

實例48包括實例46的標的物,其中通向該PHY電路的該等電性通路被組態為至少滿足以下條件之一:輸入到該裝置的致能信號通過至少一些該等電性通路,用以致能1/2的該等RX電路和1/2的該等TX電路;以及輸入到該裝置的去能信號通過至少一些該等電性通路,用以去能剩餘的1/2的該等RX電路和1/2的該等TX電路。Example 48 includes the subject matter of Example 46, wherein the electrical paths to the PHY circuit are configured to satisfy at least one of the following conditions: an enable signal input to the device passes through at least some of the electrical paths, using To enable 1/2 of the RX circuits and 1/2 of the TX circuits; and the disable signal input to the device passes through at least some of the electrical paths to disable the remaining 1/2 of the RX circuits and 1/2 of such TX circuits.

實例49包括實例46的標的物,其中通向該PHY電路的該等電性通路被組態為至少滿足以下條件之一:輸入到該裝置的致能信號通過至少一些該等電性通路,用以致能1/4的該等RX電路和1/4的該等TX電路;以及輸入到該裝置的去能信號通過至少一些該等電性通路,用以去能剩餘的3/4的該等RX電路和3/4的該等TX電路。Example 49 includes the subject matter of Example 46, wherein the electrical paths to the PHY circuit are configured to satisfy at least one of the following conditions: enable signals input to the device pass through at least some of the electrical paths, using To enable 1/4 of the RX circuits and 1/4 of the TX circuits; and the disable signal input to the device passes through at least some of the electrical paths to disable the remaining 3/4 of the RX circuits and 3/4 of such TX circuits.

實例50包括實例46的標的物,其中一些該等信號路由路徑在對應的該等電性接觸結構與所有的該等RX電路之間延伸,並且一些該等信號路由路徑在對應的該等電性接觸結構與所有的該等TX電路之間延伸。Example 50 includes the subject matter of Example 46, wherein some of the signal routing paths extend between the corresponding electrical contact structures and all of the RX circuits, and some of the signal routing paths extend between the corresponding electrical contact structures. Contact structures extend between all such TX circuits.

實例51包括實例46的標的物,其中一些該等信號路由路徑在對應的該等電性接觸結構與部分的該等RX電路之間延伸,並且一些該等信號路由路徑在對應的該等電性接觸結構與部分的該等TX電路之間延伸。Example 51 includes the subject matter of Example 46, wherein some of the signal routing paths extend between the corresponding electrical contact structures and portions of the RX circuits, and some of the signal routing paths extend between the corresponding electrical contact structures. Contact structures extend between portions of the TX circuits.

實例52包括實例51的標的物,其中:該部分的該等RX電路包括1/2的該等RX電路並且該部分的該等TX電路包括1/2的該等TX電路;或者該部分的該等RX電路包括1/4的該等RX電路並且該部分的該等TX電路包括或1/4的該等TX電路。Example 52 includes the subject matter of Example 51, wherein: the portion of the RX circuits includes 1/2 of the RX circuits and the portion of the TX circuits includes 1/2 of the TX circuits; or the portion of the TX circuits includes The RX circuits include 1/4 of the RX circuits and the portion of the TX circuits includes or 1/4 of the TX circuits.

實例53包括實例46的標的物,其中該等RX電路係在該裝置的的第一區域,並且該等TX電路係在該裝置之與該第一區不同的第二區域。Example 53 includes the subject matter of Example 46, wherein the RX circuits are in a first region of the device and the TX circuits are in a second region of the device that is different from the first region.

實例54包括實例46的標的物,其中該等信號路由路徑包括該裝置的導電性跡線和通孔。Example 54 includes the subject matter of Example 46, wherein the signal routing paths include conductive traces and vias of the device.

實例55包括實例46的標的物,其中該等電性接觸結構包括凸塊。Example 55 includes the subject matter of Example 46, wherein the electrical contact structures include bumps.

實例56包括實例55的標的物,其中該等電性接觸結構包括受控的崩陷晶片收集凸塊。Example 56 includes the subject matter of Example 55, wherein the electrical contact structures include controlled collapse wafer collection bumps.

實例57包括實例46的標的物,其中在該等電性接觸結構之間的間距係在大約110微米與大約130微米之間。Example 57 includes the subject matter of Example 46, wherein the spacing between the electrical contact structures is between about 110 microns and about 130 microns.

實例58包括實例46的標的物,其中在該等電性接觸結構之間的間距係在大約36微米與大約55微米之間。Example 58 includes the subject matter of Example 46, wherein the spacing between the electrical contact structures is between about 36 microns and about 55 microns.

100,200,300:微電子總成 104,204,304:封裝基板 108,116,208,216,308a,308b,316a,316b:晶粒 112,212,312a,312b:頂面 136,336a,336b:跡線 159:導電性結構 204:基板組件 210,220:基板導電性接點 222:互連橋接器 224,226:橋接導電性接點 228:橋接器面 232:橋接通孔 236:橋接導電性跡線 244:導電性接點 256:電性接點結構或接頭 260,1216,1218,1222,1228,1230:耦接組件 264,266:晶粒導電性接點 301a:標準互連部分 301b:先進互連部分 309a,309a’,317a,317a’,309b,309b’,317b,317b’:RX電路 311a,311a’,319a,319a’,311b,311b’,319b,319b’:TX電路 359:電性接觸結構 356a,356b:C4凸塊 500,600,700,800,900,1000:示例布局 1100:製造方法 1102-1112:操作 1200:積體電路裝置總成 1202:電路板 1204:中介層 1208:金屬互連 1210:通孔 1220:積體電路組件 1234:疊裝結構 1236:疊裝結構 1300:電性裝置 1302:處理器單元 1304:記憶體 1306:顯示裝置 1308:音頻輸出裝置 1310:另外的輸出裝置 1312:通信組件 1314:電池/電源電路 1318:GNSS裝置 1320:另外的輸入裝置 1322:天線 1324:音頻輸入裝置 100,200,300:Microelectronics assembly 104,204,304:Package substrate 108,116,208,216,308a,308b,316a,316b: grains 112,212,312a,312b: top surface 136,336a,336b: trace 159: Conductive structure 204:Substrate assembly 210,220:Substrate conductive contact 222: Interconnect Bridge 224, 226: Bridge conductive contacts 228: Bridge surface 232: Bridge via 236:Bridge conductive traces 244: Conductive contact 256: Electrical contact structure or joint 260,1216,1218,1222,1228,1230: coupling components 264,266: Grain conductive contacts 301a: Standard interconnection part 301b: Advanced interconnection section 309a, 309a’, 317a, 317a’, 309b, 309b’, 317b, 317b’: RX circuit 311a, 311a’, 319a, 319a’, 311b, 311b’, 319b, 319b’: TX circuit 359: Electrical contact structure 356a,356b:C4 bump 500,600,700,800,900,1000: Example layout 1100: Manufacturing method 1102-1112: Operation 1200: Integrated circuit device assembly 1202:Circuit board 1204: Intermediary layer 1208:Metal interconnection 1210:Through hole 1220: Integrated circuit components 1234:Stacked structure 1236:Stacked structure 1300: Electrical devices 1302: Processor unit 1304:Memory 1306:Display device 1308:Audio output device 1310: Additional output device 1312: Communication component 1314:Battery/power circuit 1318:GNSS device 1320: Additional input device 1322:antenna 1324:Audio input device

從下文所給定的詳細描述以及從本發明各種實施例的附圖將會更全面地瞭解本發明的實施例,然而,該等實施例不應被視為將本發明限制於特定的實施例,而是僅只用於解說和理解而已。 Embodiments of the invention will be more fully understood from the detailed description given below and from the accompanying drawings of various embodiments of the invention, which, however, should not be construed as limiting the invention to specific embodiments. , but only for explanation and understanding.

[圖1]係包括標準互連機制的實例之微電子總成的橫剖面視圖。 [FIG. 1] is a cross-sectional view of a microelectronic assembly including an example of a standard interconnection mechanism.

[圖2]係包括先進互連機制的實例之微電子總成的橫剖面視圖。 [Figure 2] is a cross-sectional view of a microelectronic assembly including an example of an advanced interconnection mechanism.

[圖3]係依據實施例之微電子總成的橫剖面視圖。 [Fig. 3] is a cross-sectional view of the microelectronic assembly according to the embodiment.

[圖4A]係依據實施例之包括根據先進互連機制的C4凸塊之第一晶粒的底部平面視圖。 [FIG. 4A] is a bottom plan view of a first die including C4 bumps according to an advanced interconnect mechanism, according to an embodiment.

[圖4B]係依據實施例之包括根據先進互連機制的C4凸塊之第一晶粒的底部平面視圖。 [FIG. 4B] is a bottom plan view of a first die including C4 bumps according to an advanced interconnection mechanism, according to an embodiment.

[圖5]說明了依據各種實施例之用於標準封裝跡線上(例如,在具有大約110微米間距的封裝上)每秒16個十億傳輸(GT/s)之資料速率的凸起的示例布局500。 [FIG. 5] illustrates an example of bumps for a data rate of 16 gigatransmissions per second (GT/s) on standard package traces (eg, on a package with approximately 110 micron pitch) in accordance with various embodiments Layout 500.

[圖6]說明了依據各種實施例之用於標準封裝跡線上(例如,在具有大約110微米間距的封裝上)32 GT/s之資料速率的凸起的示例布局600。 [FIG. 6] illustrates an example layout 600 of bumps for a data rate of 32 GT/s on standard package traces (eg, on a package with approximately 110 micron pitch) in accordance with various embodiments.

[圖7]說明了依據各種實施例之用於標準封裝跡線上(例如,在具有大約110微米間距的封裝上)16 GT/s之資料速率的凸起的示例布局700。 [FIG. 7] illustrates an example layout 700 of bumps for a data rate of 16 GT/s on standard package traces (eg, on a package with approximately 110 micron pitch) in accordance with various embodiments.

[圖8]說明了依據各種實施例之用於標準封裝跡線上(例如,在具有大約110微米間距的封裝上)32 GT/s之資料速率的凸起的示例布局800。 [FIG. 8] illustrates an example layout 800 of bumps for a data rate of 32 GT/s on standard package traces (eg, on a package with approximately 110 micron pitch) in accordance with various embodiments.

[圖9]說明了依據各種實施例之用於先進封裝(例如,在具有大約45微米間距的EMIB或一些其他類似封裝)的示例凸起。 [FIG. 9] illustrates example bumps for use in advanced packaging (eg, in an EMIB or some other similar package with approximately 45 micron pitch) in accordance with various embodiments.

[圖10]說明了依據各種實施例之先進封裝跡線上(例如,在具有大約45微米間距的封裝上)的示例凸起。 [FIG. 10] illustrates example bumps on advanced package traces (eg, on a package with approximately 45 micron pitch) in accordance with various embodiments.

[圖11]係依據一些實施例之處理的流程圖。 [Fig. 11] is a flowchart of processing according to some embodiments.

[圖12]係依據本文所揭示的任何實施例之可以包括微電子結構的積體電路裝置總成的橫剖面側視圖。 [FIG. 12] is a cross-sectional side view of an integrated circuit device assembly that may include microelectronic structures in accordance with any of the embodiments disclosed herein.

[圖13]係依據本文所揭示的任何實施例之可以包括微電子結構的示例電性裝置的方塊圖。 [FIG. 13] is a block diagram of an example electrical device that may include microelectronic structures in accordance with any of the embodiments disclosed herein.

100:微電子總成 100:Microelectronics assembly

104:封裝基板 104:Package substrate

108,116:晶粒 108,116: grain

112:頂面 112:Top surface

156:接頭 156:Connector

159:導電性結構 159: Conductive structure

Claims (25)

一種微電子裝置,包含: 基板; 實體層(PHY)電路,在該基板上,包括複數個接收(RX)電路和複數個傳輸(TX)電路; 電性接觸結構,在該裝置的底面處; 信號路由路徑,一方面在該等電性接觸結構之間延伸,另一方面在至少一些該等RX電路或至少一些該等TX電路之間延伸;以及 電性通路,通向該PHY電路並且被組態為至少滿足以下條件之一; 輸入到該裝置的致能信號通過至少一些該等電性通路,用以致能該PHY電路的一部分;或者 輸入到該裝置的去能信號通過至少一些該等電性通路,用以去能該PHY電路的對應部分。 A microelectronic device containing: substrate; The physical layer (PHY) circuit includes a plurality of receiving (RX) circuits and a plurality of transmitting (TX) circuits on the substrate; electrical contact structure on the underside of the device; signal routing paths extending between the electrical contact structures on the one hand and at least some of the RX circuits or at least some of the TX circuits on the other hand; and An electrical path leading to the PHY circuit and configured to meet at least one of the following conditions; The enable signal input to the device is used to enable a portion of the PHY circuit through at least some of the electrical pathways; or The disable signal input to the device passes through at least some of the electrical paths to disable corresponding parts of the PHY circuit. 如請求項1之微電子裝置,其中該等電性通路包括至少一個熔絲或暫存器,用以致能該PHY電路的該對應部分或去能該PHY電路的該對應部分中的至少一種。The microelectronic device of claim 1, wherein the electrical paths include at least one fuse or register for at least one of enabling the corresponding part of the PHY circuit or disabling the corresponding part of the PHY circuit. 如請求項1之微電子裝置,其中通向該PHY電路的該等電性通路被組態為至少滿足以下條件之一: 輸入到該裝置的致能信號通過至少一些該等電性通路,用以致能1/2的該等RX電路和1/2的該等TX電路;或者 輸入到該裝置的去能信號通過至少一些該等電性通路,用以去能剩餘的1/2的該等RX電路和1/2的該等TX電路。 The microelectronic device of claim 1, wherein the electrical paths leading to the PHY circuit are configured to meet at least one of the following conditions: The enable signal input to the device passes through at least some of the electrical paths to enable 1/2 of the RX circuits and 1/2 of the TX circuits; or The disable signal input to the device passes through at least some of the electrical paths to disable the remaining 1/2 of the RX circuits and 1/2 of the TX circuits. 如請求項1之微電子裝置,其中通向該PHY電路的該等電性通路被組態為至少滿足以下條件之一: 輸入到該裝置的致能信號通過至少一些該等電性通路,用以致能1/4的該等RX電路和1/4的該等TX電路;或者 輸入到該裝置的去能信號通過至少一些該等電性通路,用以去能剩餘的3/4的該等RX電路和3/4的該等TX電路。 The microelectronic device of claim 1, wherein the electrical paths leading to the PHY circuit are configured to meet at least one of the following conditions: The enable signal input to the device passes through at least some of the electrical paths to enable 1/4 of the RX circuits and 1/4 of the TX circuits; or The disable signal input to the device passes through at least some of the electrical paths to disable the remaining 3/4 of the RX circuits and 3/4 of the TX circuits. 如請求項1之微電子裝置,其中一些該等信號路由路徑在對應的該等電性接觸結構與所有的該等RX電路之間延伸,並且一些該等信號路由路徑在對應的該等電性接觸結構與所有的該等TX電路之間延伸。The microelectronic device of claim 1, wherein some of the signal routing paths extend between the corresponding electrical contact structures and all of the RX circuits, and some of the signal routing paths extend between the corresponding electrical contact structures. Contact structures extend between all such TX circuits. 如請求項1之微電子裝置,其中一些該等信號路由路徑在對應的該等電性接觸結構與部分的該等RX電路之間延伸,並且一些該等信號路由路徑在對應的該等電性接觸結構與部分的該等TX電路之間延伸。The microelectronic device of claim 1, wherein some of the signal routing paths extend between the corresponding electrical contact structures and part of the RX circuits, and some of the signal routing paths extend between the corresponding electrical contact structures. Contact structures extend between portions of the TX circuits. 如請求項6之微電子裝置,其中: 該部分的該等RX電路包括1/2的該等RX電路並且該部分的該等TX電路包括或1/2的該等TX電路;或者 該部分的該等RX電路包括1/4的該等RX電路並且該部分的該等TX電路包括或1/4的該等TX電路。 The microelectronic device of claim 6, wherein: The portion of the RX circuits includes 1/2 of the RX circuits and the portion of the TX circuits includes or 1/2 of the TX circuits; or The portion of the RX circuits includes 1/4 of the RX circuits and the portion of the TX circuits includes or 1/4 of the TX circuits. 如請求項1之微電子裝置,其中該等電性接觸結構包括凸塊。The microelectronic device of claim 1, wherein the electrical contact structures include bumps. 如請求項1之微電子裝置,其中在該等電性接觸結構之間的間距係在大約110微米與大約130微米之間。The microelectronic device of claim 1, wherein the spacing between the electrical contact structures is between about 110 microns and about 130 microns. 如請求項1至9中任一項之微電子裝置,其中在該等電性接觸結構之間的間距係在大約36微米與大約55微米之間。The microelectronic device of any one of claims 1 to 9, wherein the spacing between the electrical contact structures is between about 36 microns and about 55 microns. 一種半導體封裝,包含: 封裝基板; 兩對晶粒,在該封裝基板上,包括第一對晶粒和第二對晶粒,該第一對晶粒包括第一晶粒和第二晶粒,以及該第二對晶粒包括第三晶粒和第四晶粒,其中: 該等晶粒的個別晶粒包括: 晶粒基板; 實體層(PHY)電路,在該晶粒基板上,包括複數個接收(RX)電路和複數個傳輸(TX)電路; 電性接觸結構,在該晶粒的底面處; 該第一晶粒和該第二晶粒的個別晶粒包括信號路由路徑,一方面在其該等電性接觸結構之間延伸,另一方面在其所有的該等RX電路與所有的該等TX電路之間延伸; 該第三晶粒和該第四晶粒的個別晶粒包括信號路由路徑,一方面在其該等電性接觸結構之間延伸,另一方面在其一部分的該等RX電路與一部分的該等TX電路之間延伸; 其中該封裝基板包括第一封裝信號路由路徑和第二封裝信號路由路徑,該第一封裝信號路由路徑在該第一晶粒與該第二晶粒間延伸,用以在它們之間提供裝置到裝置(D2D)的信號互連,以及該第二封裝信號路由路徑在該第三晶粒與該第四晶粒間延伸,用以在它們之間提供裝置到裝置(D2D)的信號互連。 A semiconductor package containing: packaging substrate; Two pairs of die, on the packaging substrate, include a first pair of die and a second pair of die, the first pair of die includes a first die and a second die, and the second pair of die includes a The third grain and the fourth grain, among which: Individual dies of such dies include: grain substrate; The physical layer (PHY) circuit includes a plurality of receiving (RX) circuits and a plurality of transmitting (TX) circuits on the die substrate; The electrical contact structure is on the bottom surface of the grain; Individual dies of the first die and the second die include signal routing paths extending between their electrical contact structures on the one hand, and all of their RX circuits and all of their RX circuits on the other hand. Extend between TX circuits; Individual dies of the third die and the fourth die include signal routing paths extending between the electrical contact structures thereof on the one hand, and a portion of the RX circuitry and a portion of the RX circuitry on the other hand. Extend between TX circuits; The packaging substrate includes a first packaging signal routing path and a second packaging signal routing path. The first packaging signal routing path extends between the first die and the second die to provide a device between them. Device-to-device (D2D) signal interconnection, and the second package signal routing path extends between the third die and the fourth die to provide device-to-device (D2D) signal interconnection therebetween. 如請求項11之半導體封裝,其中該部分的該等RX電路包括1/2的該等RX電路,以及該部分的該等TX電路包括1/2的該等TX電路。The semiconductor package of claim 11, wherein the portion of the RX circuits includes 1/2 of the RX circuits, and the portion of the TX circuits includes 1/2 of the TX circuits. 如請求項11之半導體封裝,其中該部分的該等RX電路包括1/4的該等RX電路,以及該部分的該等TX電路包括1/4的該等TX電路。The semiconductor package of claim 11, wherein the portion of the RX circuits includes 1/4 of the RX circuits, and the portion of the TX circuits includes 1/4 of the TX circuits. 如請求項11之半導體封裝,其中,對於該等晶粒的個別晶粒,該等RX電路係在該晶粒的第一區域,並且該等TX電路係在該晶粒的不同於該第一區域的第二區域。The semiconductor package of claim 11, wherein, for individual dies of the dies, the RX circuits are in a first region of the die, and the TX circuits are in a region of the die that is different from the first region of the die. The second area of the area. 如請求項11之半導體封裝,其中,對於該等晶粒的個別晶粒,該等信號路由路徑包括該晶粒的導電性跡線和通孔。The semiconductor package of claim 11, wherein for an individual die of the die, the signal routing paths include conductive traces and vias of the die. 如請求項11之半導體封裝,其中,對於該等晶粒的個別晶粒,該等電性接觸結構包括凸塊。The semiconductor package of claim 11, wherein for individual dies of the dies, the electrical contact structures include bumps. 如請求項16之半導體封裝,其中,對於該等晶粒的個別晶粒,該等電性接觸結構包括受控的崩陷晶片收集凸塊。The semiconductor package of claim 16, wherein for individual dies of the dies, the electrical contact structures include controlled collapse die collection bumps. 如請求項11至17中任一項之半導體封裝,其中,對於該第一晶粒和該第二晶粒的個別晶粒,在該等電性接觸結構之間的間距係在大約110微米與大約130微米之間,並且對於該第三晶粒和該第四晶粒的個別晶粒,在該等電性接觸結構之間的間距係在大約36微米與大約55微米之間。The semiconductor package of any one of claims 11 to 17, wherein, for individual dies of the first die and the second die, the spacing between the electrical contact structures is between about 110 microns and Between approximately 130 microns, and for individual dies of the third die and the fourth die, the spacing between the electrical contact structures is between approximately 36 microns and approximately 55 microns. 如請求項11之半導體封裝,其中該等第一封裝信號路由路徑和該等第二封裝信號路由路徑中的至少一者延伸穿過並接觸該封裝基板的材料層。The semiconductor package of claim 11, wherein at least one of the first package signal routing paths and the second package signal routing paths extends through and contacts a material layer of the packaging substrate. 一種積體電路(IC)裝置總成,包含: 印刷電路板;以及 複數個積體電路組件,耦接到該印刷電路板,該等積體電路組件的個別積體電路組件包括一或多個半導體封裝,該等半導體封裝的個別半導體封裝包括: 封裝基板; 複數個晶粒,在該封裝基板上,該等晶粒的個別晶粒包括: 晶粒基板; 實體層(PHY)電路,在該晶粒基板上,包括複數個接收(RX)電路和複數個傳輸(TX)電路; 電性接觸結構,在該晶粒的底面處; 信號路由路徑,一方面在該等電性接觸結構之間延伸,另一方面在至少一些該等RX電路或至少一些該等TX電路之間延伸; 電性通路,通向該PHY電路並且被組態為至少滿足以下條件之一; 輸入到該晶粒的致能信號通過至少一些該等電性通路,用以致能該PHY電路的一部分;或者 輸入到該晶粒的去能信號通過至少一些該等電性通路,用以去能該PHY電路的對應部分;以及 其中該封裝基板包括封裝信號路由路徑,在該複數個晶粒的第一晶粒與該複數個晶粒的第二晶粒之間延伸,用以在它們之間提供裝置到裝置(D2D)的信號互連。 An integrated circuit (IC) device assembly including: printed circuit boards; and A plurality of integrated circuit components coupled to the printed circuit board, each of the integrated circuit components including one or more semiconductor packages, each of the individual semiconductor packages including: packaging substrate; A plurality of die, on the packaging substrate, individual die of the die include: grain substrate; The physical layer (PHY) circuit includes a plurality of receiving (RX) circuits and a plurality of transmitting (TX) circuits on the die substrate; The electrical contact structure is on the bottom surface of the grain; a signal routing path extending between the electrical contact structures on the one hand and at least some of the RX circuits or at least some of the TX circuits on the other hand; An electrical path leading to the PHY circuit and configured to meet at least one of the following conditions; The enable signal input to the die passes through at least some of the electrical paths to enable a portion of the PHY circuit; or The disable signal input to the die passes through at least some of the electrical paths to disable the corresponding part of the PHY circuit; and Wherein the packaging substrate includes a packaging signal routing path extending between a first die of the plurality of dies and a second die of the plurality of dies to provide device-to-device (D2D) communication therebetween. Signal interconnection. 如請求項20之IC裝置總成,其中,對於該等晶粒的個別晶粒,該等電性通路包括至少一個熔絲或暫存器,用以致能該PHY電路的該對應部分或去能該PHY電路的該對應部分中的至少一種。The IC device assembly of claim 20, wherein, for individual dies of the dies, the electrical paths include at least one fuse or register for enabling or disabling the corresponding part of the PHY circuit. At least one of the corresponding portions of the PHY circuit. 如請求項20之IC裝置總成,其中,對於該等晶粒的個別晶粒,通向該PHY電路的該等電性通路被組態為至少滿足以下條件之一: 輸入到該晶粒的致能信號通過至少一些該等電性通路,用以致能1/2的該等RX電路和1/2的該等TX電路;或者 輸入到該晶粒的去能信號通過至少一些該等電性通路,用以去能剩餘的1/2的該等RX電路和1/2的該等TX電路。 The IC device assembly of claim 20, wherein, for individual dies of the dies, the electrical paths leading to the PHY circuit are configured to meet at least one of the following conditions: The enable signal input to the die passes through at least some of the electrical paths to enable 1/2 of the RX circuits and 1/2 of the TX circuits; or The disable signal input to the die passes through at least some of the electrical paths to disable the remaining 1/2 of the RX circuits and 1/2 of the TX circuits. 如請求項20之IC裝置總成,其中,對於該等晶粒的個別晶粒,通向該PHY電路的該等電性通路被組態為至少滿足以下條件之一: 輸入到該晶粒的致能信號通過至少一些該等電性通路,用以致能1/4的該等RX電路和1/4的該等TX電路;或者 輸入到該晶粒的去能信號通過至少一些該等電性通路,用以去能剩餘的3/4的該等RX電路和3/4的該等TX電路。 The IC device assembly of claim 20, wherein, for individual dies of the dies, the electrical paths leading to the PHY circuit are configured to meet at least one of the following conditions: The enable signal input to the die passes through at least some of the electrical paths to enable 1/4 of the RX circuits and 1/4 of the TX circuits; or The disable signal input to the die passes through at least some of the electrical paths to disable the remaining 3/4 of the RX circuits and 3/4 of the TX circuits. 一種微電子裝置的製造方法,包含: 提供基板; 提供實體層(PHY)電路在該基板上,該實體層(PHY)電路包括複數個接收(RX)電路和複數個傳輸(TX)電路; 提供電性接觸結構在該裝置的底面處; 提供信號路由路徑,一方面在該等電性接觸結構之間延伸,另一方面在至少一些該等RX電路或至少一些該等TX電路之間延伸;以及 提供電性通路,通向該PHY電路; 至少以下之一: 提供致能信號到該裝置內,通過至少一些該等電性通路以致能該PHY電路的一部分;或者 提供去能信號到該裝置內,通過至少一些該等電性通路以去能該PHY電路的對應部分。 A method of manufacturing a microelectronic device, comprising: Provide substrate; Provide a physical layer (PHY) circuit on the substrate, the physical layer (PHY) circuit including a plurality of receiving (RX) circuits and a plurality of transmitting (TX) circuits; providing electrical contact structures on the bottom surface of the device; providing signal routing paths extending between the electrical contact structures on the one hand and at least some of the RX circuits or at least some of the TX circuits on the other hand; and Provide an electrical path leading to the PHY circuit; At least one of the following: Provide an enable signal into the device through at least some of the electrical pathways to enable a portion of the PHY circuitry; or A disable signal is provided into the device through at least some of the electrical pathways to disable corresponding portions of the PHY circuit. 如請求項24之方法,其中通向該PHY電路的該等電性通路被組態為至少滿足以下條件之一: 輸入到該裝置的致能信號通過至少一些該等電性通路,用以致能1/2的該等RX電路和1/2的該等TX電路;或者 輸入到該裝置的去能信號通過至少一些該等電性通路,用以去能剩餘的1/2的該等RX電路和1/2的該等TX電路。 The method of claim 24, wherein the electrical paths leading to the PHY circuit are configured to meet at least one of the following conditions: The enable signal input to the device passes through at least some of the electrical paths to enable 1/2 of the RX circuits and 1/2 of the TX circuits; or The disable signal input to the device passes through at least some of the electrical paths to disable the remaining 1/2 of the RX circuits and 1/2 of the TX circuits.
TW111144758A 2021-12-30 2022-11-23 Microelectronic die including swappable phy circuitry and semiconductor package including same TW202345328A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
IN202141061702 2021-12-30
IN202141061702 2021-12-30
US17/824,974 US20230230923A1 (en) 2021-12-30 2022-05-26 Microelectronic die including swappable phy circuitry and semiconductor package including same
US17/824,974 2022-05-26

Publications (1)

Publication Number Publication Date
TW202345328A true TW202345328A (en) 2023-11-16

Family

ID=87000197

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111144758A TW202345328A (en) 2021-12-30 2022-11-23 Microelectronic die including swappable phy circuitry and semiconductor package including same

Country Status (2)

Country Link
TW (1) TW202345328A (en)
WO (1) WO2023129783A1 (en)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8457920B2 (en) * 2010-05-28 2013-06-04 International Business Machines Corporation Performance improvement for a multi-chip system via kerf area interconnect
US10445278B2 (en) * 2016-12-28 2019-10-15 Intel Corporation Interface bridge between integrated circuit die
US10510721B2 (en) * 2017-08-11 2019-12-17 Advanced Micro Devices, Inc. Molded chip combination
US20200098725A1 (en) * 2018-09-26 2020-03-26 Intel Corporation Semiconductor package or semiconductor package structure with dual-sided interposer and memory
US20210398906A1 (en) * 2020-06-23 2021-12-23 Intel Corporation Scalable and interoperable phyless die-to-die io solution

Also Published As

Publication number Publication date
WO2023129783A9 (en) 2024-05-16
WO2023129783A1 (en) 2023-07-06

Similar Documents

Publication Publication Date Title
US11798892B2 (en) Embedded die on interposer packages
US8901748B2 (en) Direct external interconnect for embedded interconnect bridge package
EP3611762B1 (en) Structures and methods for large integrated circuit dies
US20200144186A1 (en) Active silicon bridge
US20230097714A1 (en) Conformal power delivery structure for direct chip attach architectures
US20160043056A1 (en) Die assembly on thin dielectric sheet
TW202314964A (en) Microelectronic assemblies having backside die-to-package interconnects
US20230230923A1 (en) Microelectronic die including swappable phy circuitry and semiconductor package including same
US20240079334A1 (en) Semiconductor package including glass interposer structure with self-aligned through glass vias
US20220415742A1 (en) Microelectronic assemblies having dies with backside back-end-of-line heater traces
CN117546290A (en) Fine-grained resolution server architecture
TW202345328A (en) Microelectronic die including swappable phy circuitry and semiconductor package including same
TW202301591A (en) Microelectronic assemblies having topside power delivery structures
CN117795670A (en) Microelectronic die including exchangeable PHY circuit device and semiconductor package including the same
US20230299049A1 (en) Microelectronic structure including active base substrate with through vias between a top die and a bottom die supported on an interposer
US20230420364A1 (en) Microelectronic die with two dimensional (2d) complementary metal oxide semiconductor devices in an interconnect stack thereof
US20230299044A1 (en) Passive electrical components in mold metal layers of a multi-die complex
US20230095654A1 (en) Conformal power delivery structures
US20240186250A1 (en) Microelectronic Assembly Including Interconnect Bridges with Through Vias Embedded Therein
US20230095063A1 (en) Integrating voltage regulators and passive circuit elements with top side power planes in stacked die architectures
US20230358952A1 (en) Reduced bridge structure for a photonic integrated circuit
US20240112973A1 (en) Methods and apparatuses for through-glass vias
US20240079335A1 (en) Three-dimensional integration of dies in an integrated circuit device
US20230197675A1 (en) Packaging architecture with integrated circuit dies over input/output interfaces
US20240222279A1 (en) Technologies for vertically interconnected glass core architecture