TW202345216A - Semiconductor structure and method of forming the same - Google Patents

Semiconductor structure and method of forming the same Download PDF

Info

Publication number
TW202345216A
TW202345216A TW111117588A TW111117588A TW202345216A TW 202345216 A TW202345216 A TW 202345216A TW 111117588 A TW111117588 A TW 111117588A TW 111117588 A TW111117588 A TW 111117588A TW 202345216 A TW202345216 A TW 202345216A
Authority
TW
Taiwan
Prior art keywords
epitaxial layer
trench
semiconductor
channel
doping
Prior art date
Application number
TW111117588A
Other languages
Chinese (zh)
Inventor
温文瑩
Original Assignee
新唐科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 新唐科技股份有限公司 filed Critical 新唐科技股份有限公司
Priority to TW111117588A priority Critical patent/TW202345216A/en
Priority to CN202210840390.8A priority patent/CN117096189A/en
Publication of TW202345216A publication Critical patent/TW202345216A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Junction Field-Effect Transistors (AREA)

Abstract

A method of forming a semiconductor structure is provided. The method includes providing a substrate. An epitaxial layer is formed on the substrate. A first etching process is performed to form a first trench in the epitaxial layer. A first doping process is performed to form a channel doped region in a portion of the epitaxial layer adjacent to a sidewall and a bottom surface of the first trench. A second etching process is performed to remove a portion of the channel doped region and another portion of the epitaxial layer from the bottom surface of the first trench to form a second trench through the channel doped region. A gate structure is formed in the second trench.

Description

半導體結構及其形成方法Semiconductor structures and methods of forming them

本發明是關於半導體結構及其形成方法,特別是關於垂直式電晶體裝置及其形成方法,其在通道方向上具有均勻摻質濃度分佈的通道摻雜區。The present invention relates to semiconductor structures and methods of forming the same, and in particular to vertical transistor devices and methods of forming the same, which have channel doping regions with uniform dopant concentration distribution in the channel direction.

對於水平式電晶體而言,現行技術通過離子佈植形成通道摻雜區,使通道摻雜區在水平通道方向上的摻質輪廓(dopant profile)得到良好控制,且具有均勻的摻質濃度(dopant concentration),以進一步控制水平式電晶體的電特性以及可靠度。For horizontal transistors, the current technology forms a channel doping region through ion implantation, so that the dopant profile of the channel doping region in the horizontal channel direction is well controlled and has a uniform dopant concentration ( dopant concentration) to further control the electrical characteristics and reliability of the horizontal transistor.

然而,對於垂直式電晶體來說,通過離子佈植形成的通道摻雜區的摻質濃度分佈,會因為離子佈植本質上的摻質輪廓在垂直通道方向上具有急劇變化。不均勻的通道摻質濃度分佈會導致電特性不穩定,包括臨界電壓擾動(Vth fluctuation)、崩潰電壓下降(breakdown lowering)、和漏電(leakage)等問題。However, for vertical transistors, the dopant concentration distribution of the channel doping region formed by ion implantation will change drastically in the vertical channel direction due to the intrinsic dopant profile of the ion implantation. Uneven channel dopant concentration distribution can lead to unstable electrical characteristics, including critical voltage fluctuation (Vth fluctuation), breakdown lowering, and leakage.

因此,有必要尋求一種新穎的半導體結構及其形成方法,以解決或改善上述的問題。Therefore, it is necessary to seek a novel semiconductor structure and its formation method to solve or improve the above problems.

鑒於上述問題,本揭露藉由其藉由雙重溝槽製程及擴散製程形成在垂直通道方向上具有均勻摻質濃度分佈的通道摻雜區,以改善臨界電壓擾動、崩潰電壓下降、和漏電等問題,進而提升垂直式電晶體裝置的電特性以及可靠度。In view of the above problems, the present disclosure uses a double trench process and a diffusion process to form a channel doping region with a uniform dopant concentration distribution in the vertical channel direction to improve problems such as critical voltage disturbance, breakdown voltage drop, and leakage. , thereby improving the electrical characteristics and reliability of the vertical transistor device.

根據一些實施例,提供半導體結構的形成方法,半導體結構的形成方法包括提供基板;於基板上形成磊晶層;進行第一蝕刻製程,於磊晶層中形成第一溝槽;進行第一摻雜製程,以於相鄰第一溝槽的側壁和底面的部分磊晶層中形成通道摻雜區;進行第二蝕刻製程,從第一溝槽的底面移除部分通道摻雜區和部分磊晶層,以於磊晶層中形成貫穿通道摻雜區的第二溝槽;以及於第二溝槽中形成閘極結構。According to some embodiments, a method for forming a semiconductor structure is provided. The method for forming a semiconductor structure includes providing a substrate; forming an epitaxial layer on the substrate; performing a first etching process to form a first trench in the epitaxial layer; and performing a first doping process. A doping process is performed to form a channel doped region in part of the epitaxial layer on the sidewall and bottom of the adjacent first trench; a second etching process is performed to remove part of the channel doped region and part of the epitaxial layer from the bottom of the first trench. crystal layer to form a second trench penetrating the channel doping region in the epitaxial layer; and forming a gate structure in the second trench.

根據一些實施例,提供半導體結構。半導體結構包括基板;磊晶層,設置於基板上;第一溝槽,設置於磊晶層中;第一閘極結構,設置於第一溝槽中;以及第一通道摻雜區,設置於磊晶層中,且鄰接第一閘極結構的上部,其中第一通道摻雜區具上寬下窄的摻雜輪廓。According to some embodiments, a semiconductor structure is provided. The semiconductor structure includes a substrate; an epitaxial layer disposed on the substrate; a first trench disposed in the epitaxial layer; a first gate structure disposed in the first trench; and a first channel doping region disposed in In the epitaxial layer and adjacent to the upper part of the first gate structure, the first channel doping region has a doping profile that is wide at the top and narrow at the bottom.

以下的揭露內容提供許多不同的實施例或範例,以實施本發明的不同特徵部件。而以下的揭露內容為敘述各個部件及其排列方式的特定範例,以求簡化本揭露內容。當然,這些僅為範例說明並非用以限定本發明。另外,本揭露於各個不同範例中會重複標號及/或文字。重複為為了達到簡化及明確目的,而非自列指定所探討的各個不同實施例及/或配置之間的關係。The following disclosure provides many different embodiments or examples for implementing various features of the invention. The following disclosure is a specific example describing each component and its arrangement in order to simplify the disclosure. Of course, these are only examples and are not intended to limit the present invention. In addition, this disclosure may repeat reference numerals and/or text in different examples. Repetition is provided for purposes of simplicity and clarity and does not inherently specify the relationship between the various embodiments and/or configurations discussed.

第1圖為根據本揭露一些實施例之形成半導體結構的方法100的流程圖。第1圖所示的操作將以第2至10圖所示的半導體結構的示例製程作為說明。第2至10圖為根據本揭露一些實施例之半導體結構250於各個製造階段的剖面示意圖。在一些實施例中,半導體結構250可包括溝槽閘極式半導體裝置(例如,垂直式電晶體裝置)。應注意的是,方法100可不製成完整的半導體結構250。因此,可以了解可於方法100之前、之中、之後提供額外製程,且在以下的揭露內容可僅簡要說明一些其他製程。Figure 1 is a flowchart of a method 100 of forming a semiconductor structure according to some embodiments of the present disclosure. The operations shown in Figure 1 will be illustrated with an example process for the semiconductor structures shown in Figures 2-10. 2 to 10 are schematic cross-sectional views of a semiconductor structure 250 at various manufacturing stages according to some embodiments of the present disclosure. In some embodiments, semiconductor structure 250 may include a trench gate semiconductor device (eg, a vertical transistor device). It should be noted that the method 100 may not produce the complete semiconductor structure 250 . Therefore, it is understood that additional processes may be provided before, during, and after the method 100 , and some of the additional processes may only be briefly described in the following disclosure.

請參考第1圖,在操作102中,提供半導體結構的基板。舉例來說,如第2圖所示,提供半導體結構的基板200,其具有一頂面201和一底面203。基板200可為一部分的半導體晶圓,例如為矽晶圓。基板200可為塊材(bulk)半導體、或絕緣上覆半導體(semiconductor-on-insulation,SOI)基板。一般而言,絕緣上覆半導體基板包含形成在絕緣層上的一層半導體材料。絕緣層可例如為埋置氧化(buried oxide,BOX)層、氧化矽層或類似的材料,其提供絕緣層在矽或玻璃基板上。基板200也可為其他的基板種類,例如為多重膜層基底或漸變(gradient)基底。在其他實施例中,基板200可為元素半導體(例如,矽、鍺)、化合物半導體(例如,碳化矽(silicon carbide)、砷化鎵(gallium arsenide)、磷化鎵(gallium phosphide)、磷化銦(indium phosphide)、砷化銦(indium arsenide)及/或銻化銦(indium antimonide)、合金半導體(例如,SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP或其組合)。Referring to FIG. 1 , in operation 102 , a substrate of a semiconductor structure is provided. For example, as shown in FIG. 2 , a substrate 200 of a semiconductor structure is provided, which has a top surface 201 and a bottom surface 203 . The substrate 200 may be a part of a semiconductor wafer, such as a silicon wafer. The substrate 200 may be a bulk semiconductor or a semiconductor-on-insulation (SOI) substrate. Generally speaking, an insulator-on-semiconductor substrate includes a layer of semiconductor material formed on an insulating layer. The insulating layer may be, for example, a buried oxide (BOX) layer, a silicon oxide layer, or a similar material that provides an insulating layer on a silicon or glass substrate. The substrate 200 can also be other types of substrates, such as a multi-layer substrate or a gradient substrate. In other embodiments, the substrate 200 may be an elemental semiconductor (eg, silicon, germanium), a compound semiconductor (eg, silicon carbide, gallium arsenide, gallium phosphide, phosphide). Indium (indium phosphide), indium arsenide (indium arsenide) and/or indium antimonide (indium antimonide), alloy semiconductors (for example, SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP and/or GaInAsP or combinations thereof).

接著,請參考第1圖,在操作104中,於基板上形成磊晶層。舉例來說,請參考第2圖,磊晶層204設置於基板200的頂面201上。在一些實施例中,磊晶層204可包括矽、鍺、矽鍺、III-V族化合物或上述之組合。再者,磊晶層204可藉由磊晶成長(epitaxial growth)製程形成,例如分子束磊晶(molecular beam epitax,y MBE)製程、金屬有機化學氣相沉積(metalorganic chemical vapor deposition, MOCVD)製程、氣相磊晶(vapor-phase epitaxy, VPE)、超高真空化學氣相沉積(ultra-high vacuum, CVD UHV-CVD))及/或其他合適的磊晶生長製程。Next, referring to Figure 1, in operation 104, an epitaxial layer is formed on the substrate. For example, please refer to FIG. 2 , the epitaxial layer 204 is disposed on the top surface 201 of the substrate 200 . In some embodiments, the epitaxial layer 204 may include silicon, germanium, silicon germanium, III-V compounds, or combinations thereof. Furthermore, the epitaxial layer 204 can be formed by an epitaxial growth process, such as a molecular beam epitaxy (MBE) process or a metal organic chemical vapor deposition (MOCVD) process. , vapor-phase epitaxy (VPE), ultra-high vacuum chemical vapor deposition (ultra-high vacuum, CVD UHV-CVD)) and/or other suitable epitaxial growth processes.

在一些實施例中,基板200與磊晶層204具有相同的導電類型。舉例來說,若最終形成的半導體結構(例如溝槽閘極式半導體裝置)為N型電晶體裝置,基板200與磊晶層204的導電類型為N型。反之,若半導體結構(例如溝槽閘極式半導體裝置)為P型電晶體裝置,基板200與磊晶層204的導電類型則為P型。在一些實施例中,基板200與磊晶層204的導電類型為N型。再者,基板200與一部分的磊晶層204可作為半導體結構(例如溝槽閘極式半導體裝置)的汲極區。在此情形中,基板200的摻雜濃度可大於汲極區中的磊晶層204。再者,基板200中相對於磊晶層204的底面203上可設置金屬層,其可稱為背側金屬層或汲極電極,將說明如後。In some embodiments, substrate 200 and epitaxial layer 204 have the same conductivity type. For example, if the finally formed semiconductor structure (eg, a trench gate semiconductor device) is an N-type transistor device, the conductivity type of the substrate 200 and the epitaxial layer 204 is N-type. On the contrary, if the semiconductor structure (eg, trench gate semiconductor device) is a P-type transistor device, the conductivity type of the substrate 200 and the epitaxial layer 204 is P-type. In some embodiments, the conductivity type of the substrate 200 and the epitaxial layer 204 is N-type. Furthermore, the substrate 200 and a portion of the epitaxial layer 204 can serve as a drain region of a semiconductor structure (eg, a trench gate semiconductor device). In this case, the doping concentration of the substrate 200 may be greater than the epitaxial layer 204 in the drain region. Furthermore, a metal layer may be disposed on the bottom surface 203 of the substrate 200 relative to the epitaxial layer 204, which may be called a backside metal layer or a drain electrode, as will be described below.

接著,請參考第1圖,在操作106中,進行第一蝕刻製程,於磊晶層中形成第一溝槽。舉例來說,請參考第3圖,進行第一蝕刻製程1000,於磊晶層204中形成相鄰的第一溝槽208A、208B。在一些實施例中,在進行第一蝕刻製程1000之前,可於磊晶層204上形成硬遮罩圖案206。硬遮罩圖案206覆蓋部分磊晶層204,以定義後續形成於磊晶層204中的第一溝槽208A、208B的形成位置。硬遮罩圖案206可包括氮化物、氧化物或其組合。在一些實施例中,氮化物可包括氮化矽(SiN)、氮氧化矽(SiON)、氮化鈦(TiN)、氮化鉭(TaN)、或其他適合的氮化物。氧化物層可包括由四乙氧基矽烷(tetraethyl orthosilicate,TEOS)作為前驅物的氧化物或其他適合的氧化物。在本實施例中,硬遮罩圖案206可包括氮化矽(SiN)。可理解的是,能夠依據製程條件搭配適合的硬遮罩圖案的材料,因此本揭露之實施例並不限於此。在一些實施例中,可利用沉積製程(例如,化學氣相沉積(chemical vapor deposition,CVD))沉積硬遮罩材料層(圖未顯示),接著對硬遮罩材料層進行圖案化製程(例如,微影及蝕刻製程)形成硬遮罩圖案206。在一些其他實施例中,沉積硬遮罩材料層之後,可進行微影製程,以圖案化光阻(圖未顯示)定義後續第一溝槽208A、208B的形成位置,並於第一蝕刻製程1000期間形成硬遮罩圖案206。Next, please refer to FIG. 1. In operation 106, a first etching process is performed to form a first trench in the epitaxial layer. For example, please refer to FIG. 3 to perform a first etching process 1000 to form adjacent first trenches 208A and 208B in the epitaxial layer 204 . In some embodiments, before performing the first etching process 1000, a hard mask pattern 206 may be formed on the epitaxial layer 204. The hard mask pattern 206 covers a portion of the epitaxial layer 204 to define the formation locations of the first trenches 208A, 208B that are subsequently formed in the epitaxial layer 204 . Hard mask pattern 206 may include nitride, oxide, or a combination thereof. In some embodiments, the nitride may include silicon nitride (SiN), silicon oxynitride (SiON), titanium nitride (TiN), tantalum nitride (TaN), or other suitable nitrides. The oxide layer may include an oxide having tetraethyl orthosilicate (TEOS) as a precursor or other suitable oxides. In this embodiment, the hard mask pattern 206 may include silicon nitride (SiN). It can be understood that suitable hard mask pattern materials can be matched according to process conditions, and therefore the embodiments of the present disclosure are not limited thereto. In some embodiments, a deposition process (eg, chemical vapor deposition (CVD)) may be used to deposit a hard mask material layer (not shown), and then the hard mask material layer may be patterned (eg, , lithography and etching processes) to form a hard mask pattern 206. In some other embodiments, after depositing the hard mask material layer, a photolithography process can be performed to define the formation positions of the subsequent first trenches 208A and 208B with patterned photoresist (not shown), and then the first etching process can be performed. During 1000 a hard mask pattern 206 is formed.

請參考第3圖,利用硬遮罩圖案206作為蝕刻遮罩,在進行第一蝕刻製程1000期間,移除從硬遮罩圖案206暴露出來的部分磊晶層204,以形成第一溝槽208A、208B。在一些實施例中,第一溝槽208A、208B設置於磊晶層204中,第一溝槽208A、208B的底面212A、212B停止於磊晶層204內(意即,第一溝槽208A、208B的底面212A、212B的下方至少留有部分磊晶層204),且第一溝槽208A、208B的兩相對側壁210A、210B各自具有線形輪廓。在其他實施例中,第一溝槽208A、208B可貫穿磊晶層204,使其底面212A、212B露出基板200的頂面201。或者,第一溝槽208A、208B可進一步向下延伸,使其底面212A、212B停止於基板200內。在一些實施例中,根據使用者的不同需求決定第一溝槽的數量,以及各個第一溝槽的形狀、深度、以及寬度。為使便於理解,在下文的實施例中,第一溝槽208A、208B可具有相同的深度D1。在一些實施例中,第一蝕刻製程1000可包括乾蝕刻、或其他合適的蝕刻方式。乾蝕刻可包括但不限於電漿蝕刻、無電漿氣體蝕刻、濺射蝕刻(sputter etching)、離子研磨(ion milling)、反應離子蝕刻(reactive ion etching,RIE)。Referring to FIG. 3 , the hard mask pattern 206 is used as an etching mask. During the first etching process 1000 , a portion of the epitaxial layer 204 exposed from the hard mask pattern 206 is removed to form the first trench 208A. ,208B. In some embodiments, the first trenches 208A, 208B are disposed in the epitaxial layer 204, and the bottom surfaces 212A, 212B of the first trenches 208A, 208B stop within the epitaxial layer 204 (that is, the first trenches 208A, 208B At least part of the epitaxial layer 204) remains below the bottom surfaces 212A and 212B of the first trench 208B, and the two opposite side walls 210A and 210B of the first trench 208A and 208B each have a linear profile. In other embodiments, the first trenches 208A and 208B may penetrate the epitaxial layer 204 so that their bottom surfaces 212A and 212B are exposed to the top surface 201 of the substrate 200 . Alternatively, the first trenches 208A and 208B can further extend downward so that their bottom surfaces 212A and 212B stop within the substrate 200 . In some embodiments, the number of first grooves, as well as the shape, depth, and width of each first groove are determined according to different needs of users. For ease of understanding, in the following embodiments, the first trenches 208A, 208B may have the same depth D1. In some embodiments, the first etching process 1000 may include dry etching or other suitable etching methods. Dry etching may include, but is not limited to, plasma etching, plasma-less gas etching, sputter etching, ion milling, and reactive ion etching (RIE).

接著,請參考第1圖,在操作108中,進行第一摻雜製程,以於相鄰第一溝槽的側壁和底面的部分磊晶層中形成通道摻雜區。舉例來說,請參考第4圖,進行第一摻雜製程1005,以分別於相鄰第一溝槽208A、208B的側壁210A、210B和底面212A、212B的部分磊晶層204中形成通道摻雜區214A、214B。通道摻雜區214A沿著第一溝槽208A的側壁210A和底面212A設置於磊晶層204中,通道摻雜區214B沿著第一溝槽208B的側壁210A和底面212B設置於磊晶層204中。在一些實施例中,通道摻雜區214A、214B的導電類型與基板200、磊晶層204的導電類型相反。舉例來說,當基板200、磊晶層204的導電類型導電類型為N型,通道摻雜區214A、214B的導電類型為P型。Next, referring to FIG. 1 , in operation 108 , a first doping process is performed to form a channel doping region in a portion of the epitaxial layer adjacent to the sidewalls and bottom surface of the first trench. For example, please refer to FIG. 4 to perform a first doping process 1005 to form channel doping in part of the epitaxial layer 204 on the sidewalls 210A and 210B of the adjacent first trenches 208A and 208B and the bottom surfaces 212A and 212B respectively. Miscellaneous areas 214A and 214B. The channel doping region 214A is disposed in the epitaxial layer 204 along the sidewall 210A and the bottom surface 212A of the first trench 208A. The channel doping region 214B is disposed in the epitaxial layer 204 along the sidewall 210A and the bottom surface 212B of the first trench 208B. middle. In some embodiments, the conductivity type of the channel doped regions 214A, 214B is opposite to the conductivity type of the substrate 200 and the epitaxial layer 204 . For example, when the conductivity type of the substrate 200 and the epitaxial layer 204 is N-type, the conductivity type of the channel doped regions 214A and 214B is P-type.

在一些實施例中,第一摻雜製程1005可為擴散製程,例如固態源擴散製程(solid state diffusion)、氣體擴散製程(Gaseous diffusion)或其它合適的擴散製程。在一些實施例中,第一摻雜製程1005可實施為固態源擴散製程,其包括預置(predeposition)步驟和驅入(drive-in)步驟。如第4圖所示,可進行第一摻雜製程1005的預置步驟,分別於第一溝槽208A、208B中填充摻雜氧化矽材料層216A、216B。在一些實施例中,摻雜氧化矽材料層216A、216B包括含有摻質(impurity)的氧化矽材料層,例如為硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG),且摻質的導電類型與磊晶層204的導電類型相反(例如,磊晶層204的導電類型為N型,則摻質的導電類型為P型)。在一些實施例中,可利用旋塗(spin-on)、化學氣相沉積(CVD)、流動式化學氣相沉積(flowable chemical vapor deposition, FCVD)、電漿輔助化學氣相沉積(plasma enhanced CVD, PECVD)、物理氣相沉積法(physical vapor deposition, PVD)、或任何適當的沉積技術,於第一溝槽208A、208B中填滿摻雜氧化矽材料層(圖未顯示)。然後,進行回流製程(reflow)以平坦化摻雜氧化矽材料層的表面。接著,對氧化矽材料層進行蝕刻製程(例如乾蝕刻製程),移除硬遮罩圖案206上方和位於第一溝槽208A、208B外部的摻雜氧化矽材料層,以分別於第一溝槽208A、208B中形成摻雜氧化矽材料層216A、216B。摻雜氧化矽材料層216A、216B的頂面可與硬遮罩圖案206的頂面齊平。In some embodiments, the first doping process 1005 may be a diffusion process, such as solid state diffusion, gaseous diffusion, or other suitable diffusion processes. In some embodiments, the first doping process 1005 may be implemented as a solid-state source diffusion process, which includes a predeposition step and a drive-in step. As shown in FIG. 4 , the preparatory steps of the first doping process 1005 can be performed, and the doped silicon oxide material layers 216A and 216B are filled in the first trenches 208A and 208B respectively. In some embodiments, the doped silicon oxide material layers 216A and 216B include a silicon oxide material layer containing an impurity, such as borophosphosilicate glass (BPSG), and the conductivity type of the impurity is the same as The conductivity type of the epitaxial layer 204 is opposite (for example, if the conductivity type of the epitaxial layer 204 is N-type, then the conductivity type of the dopant is P-type). In some embodiments, spin-on, chemical vapor deposition (CVD), flowable chemical vapor deposition (FCVD), plasma enhanced CVD may be utilized. , PECVD), physical vapor deposition (PVD), or any appropriate deposition technology, fill the first trenches 208A and 208B with a doped silicon oxide material layer (not shown in the figure). Then, a reflow process is performed to planarize the surface of the doped silicon oxide material layer. Then, an etching process (such as a dry etching process) is performed on the silicon oxide material layer to remove the doped silicon oxide material layer above the hard mask pattern 206 and outside the first trenches 208A and 208B, so as to separate the first trenches 208A and 208B. Doped silicon oxide material layers 216A and 216B are formed in 208A and 208B. The top surfaces of the doped silicon oxide material layers 216A, 216B may be flush with the top surface of the hard mask pattern 206 .

然後,如第4圖所示,進行第一摻雜製程1005的驅入步驟,使摻雜氧化矽材料層216A、216B中的摻質擴散至第一溝槽208A、208B的側壁210A、210B和底面212A、212B以外的部分磊晶層204中,以形成通道摻雜區214A、214B。在一些實施例中,於800℃至1000℃之間(例如為900℃)的製程溫度條件下進行驅入步驟,以形成通道摻雜區214A、214B。在第一摻雜製程1005的驅入步驟期間,摻雜氧化矽材料層216A、216B中的摻質會等向且均勻擴散至第一溝槽208A、208B外的部分磊晶層204中。因此,通道摻雜區214A、214B會分別包圍第一溝槽208A、208B的側壁210A、210B和底面212A、212B。在第4圖所示之剖面圖中,通道摻雜區214A、214B具等向性的摻質輪廓,且通道摻雜區214A、214B內具均勻的摻質濃度。因此,通道摻雜區214A的邊界214A1與第一溝槽208A具有對應且相似的輪廓(例如為U型輪廓),且通道摻雜區214A的邊界214A1與第一溝槽208A之間的距離S實質上為均一。類似地,通道摻雜區214B的邊界214B1與第一溝槽208B具有相似的輪廓(例如為U型輪廓),且通道摻雜區214B的邊界214B1與第一溝槽208B之間的距離S實質上為均一。Then, as shown in FIG. 4 , the driving step of the first doping process 1005 is performed to diffuse the dopants in the doped silicon oxide material layers 216A and 216B to the sidewalls 210A and 210B of the first trenches 208A and 208B. Channel doping regions 214A and 214B are formed in the portion of the epitaxial layer 204 other than the bottom surfaces 212A and 212B. In some embodiments, the drive-in step is performed at a process temperature between 800°C and 1000°C (eg, 900°C) to form the channel doping regions 214A and 214B. During the drive-in step of the first doping process 1005, the dopants in the doped silicon oxide material layers 216A and 216B will diffuse isotropically and uniformly into the portion of the epitaxial layer 204 outside the first trenches 208A and 208B. Therefore, the channel doped regions 214A and 214B respectively surround the sidewalls 210A and 210B and the bottom surfaces 212A and 212B of the first trenches 208A and 208B. In the cross-sectional view shown in FIG. 4 , the channel doping regions 214A and 214B have isotropic doping profiles, and the channel doping regions 214A and 214B have uniform doping concentrations. Therefore, the boundary 214A1 of the channel doped region 214A and the first trench 208A have corresponding and similar profiles (for example, a U-shaped profile), and the distance S between the boundary 214A1 of the channel doped region 214A and the first trench 208A Essentially uniform. Similarly, the boundary 214B1 of the channel doping region 214B and the first trench 208B have a similar profile (for example, a U-shaped profile), and the distance S between the boundary 214B1 of the channel doping region 214B and the first trench 208B is substantially Above is uniformity.

然後,如第5圖所示,形成通道摻雜區214A、214B之後,從第一溝槽208A、208B移除摻雜氧化矽材料層216A、216B。在一些實施例中,可利用濕蝕刻方式移除摻雜氧化矽材料層216A、216B,且同時清潔第一溝槽208A、208B的側壁210A、210B和底面212A、212B。在一些實施例中,前述濕蝕刻對例如為氮化矽的硬遮罩圖案206具有蝕刻選擇比,因此,移除摻雜氧化矽材料層216A、216B期間不會移除硬遮罩圖案206。Then, as shown in FIG. 5 , after the channel doping regions 214A and 214B are formed, the doped silicon oxide material layers 216A and 216B are removed from the first trenches 208A and 208B. In some embodiments, wet etching may be used to remove the doped silicon oxide material layers 216A, 216B, and simultaneously clean the sidewalls 210A, 210B and bottom surfaces 212A, 212B of the first trenches 208A, 208B. In some embodiments, the aforementioned wet etching has an etch selectivity for the hard mask pattern 206 , such as silicon nitride, and therefore the hard mask pattern 206 is not removed during removal of the doped silicon oxide material layers 216A, 216B.

接著,請參考第1圖,在操作110中,進行第二蝕刻製程,從第一溝槽的底面移除部分通道摻雜區和部分磊晶層,以於磊晶層中形成貫穿通道摻雜區的第二溝槽。舉例來說,請參考第6圖,以硬遮罩圖案206作為蝕刻遮罩,對磊晶層204進行第二蝕刻製程1010,分別從第一溝槽208A、208B的底面212A、212B移除部分通道摻雜區214A、214B和部分磊晶層204,以於磊晶層204中分別形成貫穿通道摻雜區214A、214B的第二溝槽218A、218B。在一些實施例中,第二溝槽218A、218B設置於磊晶層204中,第二溝槽218A、218B的底面222A、222B停止於磊晶層204內(意即,第二溝槽218A、218B的底面222A、222B的下方至少留有部分磊晶層204),且第二溝槽218A、218B的兩相對側壁220A、220B各自具有線形輪廓。在其他實施例中,第二溝槽218A、218B可貫穿磊晶層204,使其底面222A、222B露出基板200的頂面201。或者,第二溝槽218A、218B可進一步向下延伸,使其底面222A、222B停止於基板200內。在一些實施例中,根據使用者的不同需求決定第二溝槽的數量,以及各個第二溝槽的形狀、深度、以及寬度。為使便於理解,在下文的實施例中,第二溝槽218A、218B可具有相同的深度D2,且大於第一溝槽208A、208B的深度D1(第3圖)。在一些實施例中,第二蝕刻製程1010與第一蝕刻製程1000為相同或類似的蝕刻製程, 例如乾蝕刻製程。Next, please refer to Figure 1. In operation 110, a second etching process is performed to remove part of the channel doping region and part of the epitaxial layer from the bottom surface of the first trench to form a through channel doping in the epitaxial layer. The second trench of the area. For example, please refer to FIG. 6 , using the hard mask pattern 206 as an etching mask, a second etching process 1010 is performed on the epitaxial layer 204 to remove portions from the bottom surfaces 212A and 212B of the first trenches 208A and 208B respectively. The channel doped regions 214A and 214B and part of the epitaxial layer 204 form second trenches 218A and 218B respectively in the epitaxial layer 204 that penetrate the channel doped regions 214A and 214B. In some embodiments, the second trenches 218A, 218B are disposed in the epitaxial layer 204, and the bottom surfaces 222A, 222B of the second trenches 218A, 218B stop within the epitaxial layer 204 (that is, the second trenches 218A, 218B At least part of the epitaxial layer 204) remains below the bottom surfaces 222A and 222B of the second trench 218B, and the two opposite side walls 220A and 220B of the second trench 218A and 218B each have a linear profile. In other embodiments, the second trenches 218A and 218B may penetrate the epitaxial layer 204 so that their bottom surfaces 222A and 222B are exposed to the top surface 201 of the substrate 200 . Alternatively, the second trenches 218A and 218B can further extend downward so that their bottom surfaces 222A and 222B stop within the substrate 200 . In some embodiments, the number of second grooves, as well as the shape, depth, and width of each second groove are determined according to different needs of users. For ease of understanding, in the following embodiments, the second trenches 218A and 218B may have the same depth D2, which is greater than the depth D1 of the first trenches 208A and 208B (FIG. 3). In some embodiments, the second etching process 1010 and the first etching process 1000 are the same or similar etching process, such as a dry etching process.

在一些實施例中,第二溝槽218A的側壁220A具有接近於磊晶層204頂面的上側壁部分220A1,以鄰接底面222A的下側壁部分220A2。類似地,第二溝槽218B的側壁220B具有接近於磊晶層204頂面的上側壁部分220B1,以鄰接底面222B的下側壁部分220B2。進行第二蝕刻製程1010期間,位於第一溝槽208A、208B(第5圖)的底面212A、212B下方的部分通道摻雜區214A、214B會被移除,通道摻雜區的剩餘部分會分別圍繞且鄰接第二溝槽218A、218B的上側壁部分220A1、220B1,且分別標示為通道摻雜區254A、254B。在如第6圖的剖面圖中,通道摻雜區254A、254B具上寬下窄(錐形)的摻雜輪廓,且具有相同的深度D3。而第二溝槽218A、218B的下側壁部分220A2、220B2和底面222A、222B分別位於通道摻雜區254A、254B的邊界254A1、254B1之外。在一些實施例中,通道摻雜區254A、254B的深度D3小於第二溝槽218A、218B的深度D2。In some embodiments, the sidewall 220A of the second trench 218A has an upper sidewall portion 220A1 proximate the top surface of the epitaxial layer 204 to adjoin a lower sidewall portion 220A2 of the bottom surface 222A. Similarly, sidewall 220B of second trench 218B has an upper sidewall portion 220B1 proximate the top surface of epitaxial layer 204 to abut lower sidewall portion 220B2 of bottom surface 222B. During the second etching process 1010, part of the channel doped regions 214A and 214B located below the bottom surfaces 212A and 212B of the first trenches 208A and 208B (FIG. 5) will be removed, and the remaining parts of the channel doped regions will be removed respectively. Upper sidewall portions 220A1 and 220B1 surrounding and adjacent to the second trenches 218A and 218B are respectively labeled channel doped regions 254A and 254B. In the cross-sectional view shown in FIG. 6 , the channel doping regions 254A and 254B have a doping profile that is wide at the top and narrow at the bottom (tapered), and has the same depth D3. The lower sidewall portions 220A2 and 220B2 and the bottom surfaces 222A and 222B of the second trenches 218A and 218B are respectively located outside the boundaries 254A1 and 254B1 of the channel doped regions 254A and 254B. In some embodiments, the depth D3 of the channel doped regions 254A, 254B is less than the depth D2 of the second trenches 218A, 218B.

接著,請參考第1圖,在操作112中,於第二溝槽中形成閘極結構。舉例來說,請參考第7-8圖,於第二溝槽218A、218B中形成閘極結構230A、230B。請參考第7圖,可進行成長製程(例如,熱氧化製程),分別於第二溝槽218A、218B的側壁220A、220B和底面222A、222B上(第6圖)選擇性形成閘極介電層226A、226B(其也稱作閘極氧化層)。在一些實施例中,閘極介電層226A、226B沿著第二溝槽218A、218B的側壁220A、220B和底面222A、222B順應性(conformally)形成。在一些實施例中,閘極介電層226A、226B可為氧化矽、氮化矽、氮氧化矽、高介電常數(high-k)介電材料、或其它任何適合之介電材料、或上述之組合。高介電常數介電材料之材料可為金屬氧化物、金屬氮化物、金屬矽化物、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽化物、金屬的氮氧化物、金屬鋁酸鹽、鋯矽酸鹽、鋯鋁酸鹽。在一些實施例中,上述成長製程的製程溫度可約在800℃至1100℃的範圍,製程時間可約在5分鐘至100分鐘的範圍。在其他實施例中,可藉由化學氣相沉積(CVD)沉積製程、原子層沉積製程(ALD)或其他合適的製程形成閘極介電層226A、226B。Next, referring to FIG. 1 , in operation 112 , a gate structure is formed in the second trench. For example, please refer to Figures 7-8 to form gate structures 230A and 230B in the second trenches 218A and 218B. Please refer to Figure 7. A growth process (for example, a thermal oxidation process) can be performed to selectively form a gate dielectric on the sidewalls 220A, 220B and bottom surfaces 222A, 222B of the second trenches 218A, 218B respectively (Figure 6). Layers 226A, 226B (which are also called gate oxide layers). In some embodiments, gate dielectric layers 226A, 226B are conformally formed along sidewalls 220A, 220B and bottom surfaces 222A, 222B of second trenches 218A, 218B. In some embodiments, gate dielectric layers 226A, 226B may be silicon oxide, silicon nitride, silicon oxynitride, a high-k dielectric material, or any other suitable dielectric material, or A combination of the above. The materials of high dielectric constant dielectric materials can be metal oxides, metal nitrides, metal silicides, transition metal oxides, transition metal nitrides, transition metal silicides, metal oxynitrides, metal aluminates, zirconium Silicates, zircoaluminates. In some embodiments, the process temperature of the above-mentioned growth process may be approximately in the range of 800°C to 1100°C, and the process time may be approximately in the range of 5 minutes to 100 minutes. In other embodiments, the gate dielectric layers 226A, 226B may be formed by a chemical vapor deposition (CVD) deposition process, an atomic layer deposition (ALD) process, or other suitable processes.

接著,請參考第8圖,可進行沈積製程,於第二溝槽218A、218B(第7圖)中形成導電材料層(圖未顯示),並覆蓋閘極介電層226A、226B。在一些實施例中,導電材料層填充第二溝槽218A、218B且延伸覆蓋第二溝槽218A、218B外的硬遮罩圖案206。上述導電材料層可包含多晶矽(polycrystalline silicon)、金屬、金屬氮化物、導電金屬氧化物、或其他合適的材料。在一些實施例中,導電材料層可為多晶矽,且可包括化學氣相沉積(CVD)製程、濺鍍製程、電子束蒸鍍製程、原子層沉積製程(ALD)或其它任何適合的沈積製程形成導電材料層。Next, please refer to Figure 8. A deposition process can be performed to form a conductive material layer (not shown) in the second trenches 218A and 218B (Figure 7) and cover the gate dielectric layers 226A and 226B. In some embodiments, the layer of conductive material fills the second trenches 218A, 218B and extends to cover the hard mask pattern 206 outside the second trenches 218A, 218B. The conductive material layer may include polycrystalline silicon, metal, metal nitride, conductive metal oxide, or other suitable materials. In some embodiments, the conductive material layer may be polycrystalline silicon and may be formed by a chemical vapor deposition (CVD) process, a sputtering process, an electron beam evaporation process, an atomic layer deposition (ALD) process, or any other suitable deposition process. layer of conductive material.

接著,請參考第8圖,可進行回蝕刻製程,移除第二溝槽218A、218B(第7圖)外的導電材料層,直到露出閘極介電層226A、226B,以分別於第二溝槽218A、218B中形成閘極電極228A、228B。在一些實施例中,閘極電極228A、228B的頂面可與閘極介電層226A、226B的頂面齊平,或稍低於閘極介電層226A、226B的頂面(具有凹陷部)。在一些實施例中,回蝕刻製程為乾蝕刻製程或其他合適的蝕刻製程,且硬遮罩圖案206和閘極介電層226A、226B作為回蝕刻製程的蝕刻遮罩。如第8圖所示,第二溝槽218A中的閘極介電層226A及位於閘極介電層226A上的閘極電極228A可視為閘極結構230A,第二溝槽218B中的閘極介電層226B及位於閘極介電層226B上的閘極電極228B可視為閘極結構230B。如第8圖的剖面圖所示,閘極結構230A、230B分別設置於第二溝槽218A、218B中,通道摻雜區254A、254B分別圍繞且鄰接閘極結構230A、230B的上部230A1、230B1,且具上寬下窄(錐形)的摻雜輪廓。Next, please refer to Figure 8. An etch-back process can be performed to remove the conductive material layer outside the second trenches 218A and 218B (Figure 7) until the gate dielectric layers 226A and 226B are exposed, respectively. Gate electrodes 228A and 228B are formed in trenches 218A and 218B. In some embodiments, the top surfaces of gate electrodes 228A, 228B may be flush with, or slightly lower than (having recessed portions) the top surfaces of gate dielectric layers 226A, 226B. ). In some embodiments, the etch back process is a dry etching process or other suitable etching process, and the hard mask pattern 206 and the gate dielectric layers 226A, 226B serve as etch masks for the etch back process. As shown in FIG. 8 , the gate dielectric layer 226A in the second trench 218A and the gate electrode 228A located on the gate dielectric layer 226A can be regarded as the gate structure 230A. The gate electrode in the second trench 218B The dielectric layer 226B and the gate electrode 228B located on the gate dielectric layer 226B can be regarded as the gate structure 230B. As shown in the cross-sectional view of Figure 8, the gate structures 230A and 230B are respectively disposed in the second trenches 218A and 218B. The channel doping regions 254A and 254B respectively surround and are adjacent to the upper portions 230A1 and 230B1 of the gate structures 230A and 230B. , and has a doping profile that is wide at the top and narrow at the bottom (taper).

在其他實施例中,可於形成閘極介電層226A、226B之前,移除硬遮罩圖案206。接著,可進行成長製程(例如,熱氧化製程),分別於第二溝槽218A、218B的側壁220A、220B和底面222A、222B(第6圖)上,且於磊晶層204的頂面上選擇性形成閘極介電材料層(圖未顯示)。接著,可進行沈積製程(例如化學氣相沉積(CVD)製程、濺鍍製程、電子束蒸鍍製程、原子層沉積製程(ALD)或其它任何適合的沈積製程),於第二溝槽218A、218B中形成導電材料層(圖未顯示),並覆蓋閘極介電材料層。然後,可進行回蝕刻製程(例如,化學機械研磨(CMP)製程),依序移除第二溝槽218A、218B外的導電材料層和閘極介電材料層,直到露出磊晶層204的頂面,以分別於第二溝槽218A中形成閘極介電層226A及位於閘極介電層226A上的閘極電極228A,且於第二溝槽218B中形成閘極介電層226B及位於閘極介電層226B上的閘極電極228B。In other embodiments, hard mask pattern 206 may be removed before forming gate dielectric layers 226A, 226B. Then, a growth process (eg, a thermal oxidation process) can be performed on the sidewalls 220A, 220B and bottom surfaces 222A, 222B (FIG. 6) of the second trenches 218A, 218B, respectively, and on the top surface of the epitaxial layer 204. A layer of gate dielectric material is selectively formed (not shown). Then, a deposition process (such as a chemical vapor deposition (CVD) process, a sputtering process, an electron beam evaporation process, an atomic layer deposition (ALD) or any other suitable deposition process) can be performed, in the second trench 218A, A conductive material layer (not shown) is formed in 218B and covers the gate dielectric material layer. Then, an etch-back process (for example, a chemical mechanical polishing (CMP) process) can be performed to sequentially remove the conductive material layer and the gate dielectric material layer outside the second trenches 218A and 218B until the epitaxial layer 204 is exposed. On the top surface, a gate dielectric layer 226A and a gate electrode 228A located on the gate dielectric layer 226A are respectively formed in the second trench 218A, and a gate dielectric layer 226B and a gate electrode 226B are formed in the second trench 218B. Gate electrode 228B located on gate dielectric layer 226B.

接著以第9圖說明體摻雜區(body doped region)236之形成方式。由於圍繞閘極結構230A、230B的通道摻雜區254A、254B具上寬下窄(錐形)的摻雜輪廓,因此當相鄰通道摻雜區254A、254B之間的距離L1為0時,通道摻雜區254A、254B的上部254A2、254B2會彼此相連,而通道摻雜區254A、254B的下部254A3、254B3仍會隔開不互連。當相鄰通道摻雜區254A、254B之間的距離L1大於0時(意即通道摻雜區254A、254B的上部254A2、254B2彼此隔開不互連),可根據設計需要選擇性形成體摻雜區,以橫向連接通道摻雜區254A、254B。另外,第9圖也一併說明源極摻雜區(source doped region)232及通道接觸摻雜區(channel pickup doped region)234之形成方式。Next, the formation method of the body doped region 236 is explained with reference to FIG. 9 . Since the channel doping regions 254A and 254B surrounding the gate structures 230A and 230B have a wide top and narrow (tapered) doping profile, when the distance L1 between adjacent channel doping regions 254A and 254B is 0, The upper portions 254A2 and 254B2 of the channel doped regions 254A and 254B will be connected to each other, while the lower portions 254A3 and 254B3 of the channel doped regions 254A and 254B will still be separated and not connected to each other. When the distance L1 between adjacent channel doping regions 254A and 254B is greater than 0 (that is, the upper parts 254A2 and 254B2 of the channel doping regions 254A and 254B are separated from each other and not interconnected), body doping can be selectively formed according to design requirements. doped regions to laterally connect the channel doped regions 254A and 254B. In addition, FIG. 9 also illustrates the formation method of the source doped region 232 and the channel pickup doped region 234.

請參考第9圖,形成閘極結構230A、230B之後,從磊晶層204移除硬遮罩圖案206。移除硬遮罩圖案206之後,可進行熱氧化製程,以於閘極結構230A、230B的頂面和磊晶層204的頂面形成氧化層231。在一些實施例中,氧化層231為一薄層,其作為後續摻雜製程(用於形成體摻雜區、源極摻雜區和通道接觸摻雜區之類似摻雜區)的散射層,可保護磊晶層204不會因例如為離子佈植的第二摻雜製程產生的通道效應而造成佈植離子通過晶格間隙,產生佈植過深效應。Referring to FIG. 9 , after the gate structures 230A and 230B are formed, the hard mask pattern 206 is removed from the epitaxial layer 204 . After the hard mask pattern 206 is removed, a thermal oxidation process may be performed to form an oxide layer 231 on the top surfaces of the gate structures 230A, 230B and the epitaxial layer 204 . In some embodiments, the oxide layer 231 is a thin layer that serves as a scattering layer for subsequent doping processes (used to form similar doping regions such as body doping regions, source doping regions, and channel contact doping regions), The epitaxial layer 204 can be protected from channeling effects caused by, for example, the second doping process of ion implantation, causing the implanted ions to pass through the lattice gap, resulting in an over-deep implantation effect.

如第9圖所示,在通道摻雜區254A、254B未彼此相連的情形下,可根據設計需要,選擇性進行第二摻雜製程1015,將摻質(dopant)植入第二溝槽218A、218B(第6圖)外側及閘極結構230A、230B之間的部分磊晶層204中,於通道摻雜區254A、254B上形成體摻雜區(body doped region)236,以橫向(實質沿X軸方向)連接通道摻雜區254A的上部254A2和通道摻雜區254B的上部254B2。在一些實施例中,由於通道摻雜區254A、254B具上寬下窄摻雜輪廓(上部254A2、254B2沿X軸方向的寛度大於下部254A3、254B3的寛度),因此,可控制體摻雜區236的深度D5,使其橫向(實質沿X軸方向)連接通道摻雜區254A的上部254A2和通道摻雜區254B的上部254B2即可。因此,通道摻雜區254A的下部254A3和通道摻雜區254B的下部254B3會凸出於體摻雜區236的底面236B,且彼此隔開。在一些實施例中,體摻雜區236深度D5小於通道摻雜區254A、254B的深度D3。As shown in Figure 9, when the channel doping regions 254A and 254B are not connected to each other, a second doping process 1015 can be selectively performed according to design requirements to implant dopant into the second trench 218A. , 218B (Fig. 6), and in part of the epitaxial layer 204 between the gate structures 230A and 230B, a body doped region 236 is formed on the channel doped regions 254A and 254B. (along the X-axis direction) connects the upper portion 254A2 of the channel doping region 254A and the upper portion 254B2 of the channel doping region 254B. In some embodiments, since the channel doping regions 254A and 254B have a wide upper and narrow doping profile (the width of the upper portions 254A2 and 254B2 along the X-axis direction is greater than the width of the lower portions 254A3 and 254B3), the body doping can be controlled. The depth D5 of the impurity region 236 is such that it connects the upper part 254A2 of the channel doping region 254A and the upper part 254B2 of the channel doping region 254B laterally (substantially along the X-axis direction). Therefore, the lower portion 254A3 of the channel doped region 254A and the lower portion 254B3 of the channel doped region 254B protrude from the bottom surface 236B of the body doped region 236 and are spaced apart from each other. In some embodiments, the depth D5 of the body doped region 236 is less than the depth D3 of the channel doped regions 254A, 254B.

在一些實施例中,第二摻雜製程1015與第一摻雜製程1005為不同類型的摻雜製程,兩者形成的摻雜區的摻質分佈方式完全不同。舉例來說,第一摻雜製程1005為固態源擴散製程,其形成的通道摻雜區214A、214B相應第一溝槽208A、208B具等向性摻質濃度分佈;第二摻雜製程1015為離子佈植製程,因而形成的體摻雜區236具非等向性的摻質濃度分佈(例如在沿X軸的水平方向上為均勻分佈,在沿Y軸的垂直方向上為高斯分佈)。在一些實施例中,體摻雜區236和通道摻雜區254A、254B具有相同的導電類型(例如為P型),且與磊晶層204的導電類型(例如為N型)相反。形成體摻雜區236之後,可進行退火製程(例如,快速熱退火(RTA)及/或雷射退火),以活化體摻雜區236中的摻質及修復第二摻雜製程1015可能造成磊晶層204的晶格損傷。In some embodiments, the second doping process 1015 and the first doping process 1005 are different types of doping processes, and the doping regions formed by the two have completely different dopant distribution patterns. For example, the first doping process 1005 is a solid-state source diffusion process, and the channel doped regions 214A and 214B formed by it have isotropic dopant concentration distributions corresponding to the first trenches 208A and 208B; the second doping process 1015 is Through the ion implantation process, the body doped region 236 thus formed has an anisotropic dopant concentration distribution (for example, a uniform distribution in the horizontal direction along the X-axis and a Gaussian distribution in the vertical direction along the Y-axis). In some embodiments, the body doped region 236 and the channel doped regions 254A, 254B have the same conductivity type (eg, P-type) and are opposite to the conductivity type of the epitaxial layer 204 (eg, N-type). After the body doped region 236 is formed, an annealing process (eg, rapid thermal annealing (RTA) and/or laser annealing) may be performed to activate the dopants in the body doped region 236 and repair possible problems caused by the second doping process 1015 Lattice damage to the epitaxial layer 204 .

如第9圖所示,形成氧化層231後,進行第三摻雜製程1120,將摻質植入第二溝槽218A、218B(第6圖)外側的部分磊晶層204中,以於通道摻雜區254A、254B上的形成源極摻雜區232和通道接觸摻雜區234。源極摻雜區232位於通道摻雜區254A、254B上的磊晶層204中,且接近磊晶層204的頂面(磊晶層204與氧化層231的界面)。源極摻雜區232可圍繞且鄰接閘極結構230A、230B,而通道接觸摻雜區234可鄰接源極摻雜區232和通道摻雜區254A、254B(和體摻雜區236)。在一些實施例中,第三摻雜製程1120與第一摻雜製程1005為不同類型的摻雜製程。舉例來說,第一摻雜製程1005為固態源擴散製程,第三摻雜製程1120為離子佈植製程。在一些實施例中,第三摻雜製程1120可包括多道摻雜步驟,以分別形成源極摻雜區232和通道接觸摻雜區234。在一些實施例中,源極摻雜區232與基板200、磊晶層204具有相同的導電類型(例如為N型),且與通道摻雜區254A、254B的導電類型相反。並且,源極摻雜區232的摻質濃度大於磊晶層204的摻質濃度。在一些實施例中,通道接觸摻雜區234與通道摻雜區254A、254B具有相同的導電類型(例如為P型)。並且,通道接觸摻雜區234的摻質濃度大於通道摻雜區254A、254B(和體摻雜區236)的摻質濃度。形成源極摻雜區232和通道接觸摻雜區234之後,可進行退火製程(例如,快速熱退火(RTA)及/或雷射退火),以活化源極摻雜區232和通道接觸摻雜區234中的摻質及修復第三摻雜製程1120可能造成磊晶層204的晶格損傷。形成源極摻雜區232和通道接觸摻雜區234之後,可使用蝕刻製程(包含濕蝕刻或乾蝕刻)從閘極結構230A、230B的頂面和磊晶層204的頂面去除氧化層231。As shown in Figure 9, after the oxide layer 231 is formed, a third doping process 1120 is performed to implant dopants into part of the epitaxial layer 204 outside the second trenches 218A and 218B (Figure 6) to form the channels. A source doped region 232 and a channel contact doped region 234 are formed on the doped regions 254A and 254B. The source doped region 232 is located in the epitaxial layer 204 on the channel doped regions 254A and 254B, and is close to the top surface of the epitaxial layer 204 (the interface between the epitaxial layer 204 and the oxide layer 231). Source doped region 232 may surround and adjoin gate structures 230A, 230B, while channel contact doped region 234 may adjoin source doped region 232 and channel doped regions 254A, 254B (and body doped region 236). In some embodiments, the third doping process 1120 and the first doping process 1005 are different types of doping processes. For example, the first doping process 1005 is a solid-state source diffusion process, and the third doping process 1120 is an ion implantation process. In some embodiments, the third doping process 1120 may include multiple doping steps to form the source doping region 232 and the channel contact doping region 234 respectively. In some embodiments, the source doped region 232 has the same conductivity type (eg, N-type) as the substrate 200 and the epitaxial layer 204 and is opposite to the conductivity type of the channel doped regions 254A and 254B. Furthermore, the dopant concentration of the source doped region 232 is greater than the dopant concentration of the epitaxial layer 204 . In some embodiments, channel contact doped region 234 and channel doped regions 254A, 254B have the same conductivity type (eg, P-type). Furthermore, the dopant concentration of the channel contact doped region 234 is greater than the dopant concentration of the channel doped regions 254A and 254B (and the body doped region 236). After the source doped region 232 and the channel contact doped region 234 are formed, an annealing process (eg, rapid thermal annealing (RTA) and/or laser annealing) may be performed to activate the source doped region 232 and the channel contact doped region. The doping and repair third doping process 1120 in region 234 may cause lattice damage to the epitaxial layer 204 . After the source doped region 232 and the channel contact doped region 234 are formed, an etching process (including wet etching or dry etching) can be used to remove the oxide layer 231 from the top surfaces of the gate structures 230A, 230B and the top surface of the epitaxial layer 204 .

接著以第10圖說明層間介電質(ILD)238A和238B、源極電極240和汲極電極242之形成方式。形成源極摻雜區232和通道接觸摻雜區234之後,可依序進行沉積製程及圖案化製程,於磊晶層204上形成層間介電質238A、238B。如第10圖所示,層間介電質238A、238B分別位於閘極結構230A、230B的正上方,且覆蓋閘極結構230A、230B的頂面,使閘極電極228A、228B分別被層間介電質238A、238B與相應的閘極介電層226A、226B完全包覆。因此,閘極電極228A、228B可與後續形成的源極電極240電性隔絕。在一些實施例中,層間介電質238A、238B包括氧化矽、氮化矽、氮氧化矽、低介電常數(low-k)介電材料、其他適當的介電材料、或上述之組合。在一些實施例中,沉積製程包括旋塗(spin-on)、化學氣相沉積(CVD)、流動式化學氣相沉積(FCVD)、電漿輔助化學氣相沉積(PECVD)、物理氣相沉積法(PVD)、或任何適當的沉積技術。在一些實施例中,圖案化製程包括微影及蝕刻製程。Next, the formation method of the interlayer dielectric (ILD) 238A and 238B, the source electrode 240 and the drain electrode 242 is explained with reference to FIG. 10 . After forming the source doped region 232 and the channel contact doped region 234, a deposition process and a patterning process can be performed sequentially to form interlayer dielectrics 238A and 238B on the epitaxial layer 204. As shown in Figure 10, the interlayer dielectrics 238A and 238B are located directly above the gate structures 230A and 230B respectively, and cover the top surfaces of the gate structures 230A and 230B, so that the gate electrodes 228A and 228B are respectively covered by the interlayer dielectric. The materials 238A and 238B are completely covered with the corresponding gate dielectric layers 226A and 226B. Therefore, the gate electrodes 228A, 228B can be electrically isolated from the subsequently formed source electrode 240. In some embodiments, interlayer dielectrics 238A, 238B include silicon oxide, silicon nitride, silicon oxynitride, low-k dielectric materials, other suitable dielectric materials, or combinations thereof. In some embodiments, the deposition process includes spin-on, chemical vapor deposition (CVD), flow chemical vapor deposition (FCVD), plasma assisted chemical vapor deposition (PECVD), physical vapor deposition (PVD), or any appropriate deposition technology. In some embodiments, the patterning process includes lithography and etching processes.

如第10圖所示,形成形成層間介電質238A、238B之後,於基板200的頂面201上方及磊晶層204的頂面上形成源極電極240。另外,於基板200的底面203上形成汲極電極242。源極電極240覆蓋源極摻雜區232、通道接觸摻雜區234、閘極電極228A、228B和層間介電質238A、238B,且與源極摻雜區232電性連接。汲極電極242覆蓋基板200的底面203,且與基板200和磊晶層204電性連接,其中基板200和磊晶層204可一起作為最終形成的半導體結構250的汲極摻雜區。在一些實施例中,源極電極240和汲極電極242包括多晶矽(polycrystalline silicon)、金屬、金屬氮化物、導電金屬氧化物、或其他合適的導電材料。在一些實施例中,可利用化學氣相沉積(CVD)、濺鍍、電阻加熱蒸鍍、電子束蒸鍍、或其它任何適合的沈積製程形成源極電極240和汲極電極242。經過前述製程之後,形成本揭露之一些實施例之半導體結構250。As shown in FIG. 10 , after the interlayer dielectrics 238A and 238B are formed, the source electrode 240 is formed above the top surface 201 of the substrate 200 and on the top surface of the epitaxial layer 204 . In addition, a drain electrode 242 is formed on the bottom surface 203 of the substrate 200 . The source electrode 240 covers the source doped region 232 , the channel contact doped region 234 , the gate electrodes 228A and 228B and the interlayer dielectrics 238A and 238B, and is electrically connected to the source doped region 232 . The drain electrode 242 covers the bottom surface 203 of the substrate 200 and is electrically connected to the substrate 200 and the epitaxial layer 204, where the substrate 200 and the epitaxial layer 204 can together serve as the drain doping region of the finally formed semiconductor structure 250. In some embodiments, source electrode 240 and drain electrode 242 include polycrystalline silicon, metal, metal nitride, conductive metal oxide, or other suitable conductive materials. In some embodiments, the source electrode 240 and the drain electrode 242 may be formed using chemical vapor deposition (CVD), sputtering, resistance heating evaporation, electron beam evaporation, or any other suitable deposition process. After the foregoing process, the semiconductor structure 250 of some embodiments of the present disclosure is formed.

如第10圖所示,半導體結構250包括彼此相鄰且具相同結構的半導體結構單元250A及250B,設置於基板200上的磊晶層204中。半導體結構單元250A包括設置於第二溝槽218A(第6圖)中的閘極結構230A、設置於磊晶層204中且鄰接閘極結構230A的上部230A1(第8圖)的通道摻雜區254A,且通道摻雜區254A具上寬下窄(錐形)的摻雜輪廓。半導體結構單元250B包括設置於第二溝槽218B(第6圖)中的閘極結構230B、設置於磊晶層204中且鄰接閘極結構230B的上部230B1(第8圖)的通道摻雜區254B,且通道摻雜區254B具上寬下窄(錐形)的摻雜輪廓。並且,半導體結構單元250A及250B的源極電極240和汲極電極242分別設置於基板200的頂面201和底面203上。As shown in FIG. 10 , the semiconductor structure 250 includes semiconductor structural units 250A and 250B that are adjacent to each other and have the same structure, and are disposed in the epitaxial layer 204 on the substrate 200 . The semiconductor structural unit 250A includes a gate structure 230A disposed in the second trench 218A (FIG. 6), a channel doping region disposed in the epitaxial layer 204 and adjacent to the upper portion 230A1 (FIG. 8) of the gate structure 230A. 254A, and the channel doping region 254A has a doping profile that is wide at the top and narrow at the bottom (taper). The semiconductor structural unit 250B includes a gate structure 230B disposed in the second trench 218B (FIG. 6), a channel doping region disposed in the epitaxial layer 204 and adjacent to the upper portion 230B1 (FIG. 8) of the gate structure 230B. 254B, and the channel doping region 254B has a doping profile that is wide at the top and narrow at the bottom (taper). Furthermore, the source electrode 240 and the drain electrode 242 of the semiconductor structural units 250A and 250B are respectively provided on the top surface 201 and the bottom surface 203 of the substrate 200.

本揭露一些實施例之半導體結構的形成方法(方法100)用以形成例如為垂直式電晶體裝置的溝槽閘極式半導體裝置,其藉由雙重溝槽製程(第一蝕刻製程1000、第二蝕刻製程1010)及擴散製程(第一摻雜製程1005)形成自對準且具均勻摻質濃度分佈的通道摻雜區,詳細來說,提供基板200;然後於基板200上形成磊晶層204;接著進行第一道溝槽製程(第一蝕刻製程1000),於磊晶層204中形成第一溝槽208A、208B;然後進行第一摻雜製程1005(例如固態源擴散製程),沿第一溝槽208A、208B的側壁和底面形成具等向性摻質濃度分佈的通道摻雜區214A、214B;接著進行第二道溝槽製程(第二蝕刻製程1010),從第一溝槽208A、208B的底面移除部分通道摻雜區214A、214B和部分磊晶層204,以於磊晶層中形成貫穿通道摻雜區的第二溝槽218A、218B。此時通道摻雜區的剩餘部分(通道摻雜區254A、254B)會自對準形成於第二溝槽218A、218B的上側壁部分220A1、220B1;之後再於第二溝槽218A、218B中設置閘極結構230A、230B。相較於使用離子佈植形成的通道摻雜區,藉由雙重溝槽製程及擴散製程形成的通道摻雜區254A、254B在垂直通道方向(實質上沿Y軸方向)上具有更均勻的摻質濃度分佈,可避免習知垂直式電晶體裝置因不均勻的通道摻質濃度分佈而造成的臨界電壓擾動(Vth fluctuation)、崩潰電壓下降(breakdown lowering)、和漏電(leakage)等問題,進而提升垂直式電晶體裝置的電特性以及可靠度。The semiconductor structure forming method (method 100) of some embodiments of the present disclosure is used to form a trench gate semiconductor device, such as a vertical transistor device, through a dual trench process (first etching process 1000, second etching process 1000). The etching process 1010) and the diffusion process (first doping process 1005) form a channel doping region that is self-aligned and has a uniform dopant concentration distribution. Specifically, a substrate 200 is provided; and then an epitaxial layer 204 is formed on the substrate 200. ; Then, a first trench process (first etching process 1000) is performed to form first trenches 208A and 208B in the epitaxial layer 204; and then a first doping process 1005 (such as a solid-state source diffusion process) is performed. Channel doping regions 214A and 214B with isotropic dopant concentration distribution are formed on the sidewalls and bottom surfaces of trenches 208A and 208B; then a second trench process (second etching process 1010) is performed, from the first trench 208A , remove part of the channel doped regions 214A, 214B and part of the epitaxial layer 204 from the bottom surface of 208B to form second trenches 218A, 218B penetrating the channel doped regions in the epitaxial layer. At this time, the remaining portions of the channel doping regions (channel doping regions 254A and 254B) will be self-aligned and formed on the upper sidewall portions 220A1 and 220B1 of the second trenches 218A and 218B; and then formed in the second trenches 218A and 218B. Gate structures 230A, 230B are provided. Compared with the channel doped regions formed using ion implantation, the channel doped regions 254A and 254B formed through the double trench process and the diffusion process have more uniform doping in the vertical channel direction (substantially along the Y-axis direction). The dopant concentration distribution can avoid the problems such as critical voltage fluctuation (Vth fluctuation), breakdown lowering, and leakage caused by the uneven channel dopant concentration distribution of conventional vertical transistor devices, and thus Improve the electrical characteristics and reliability of vertical transistor devices.

雖然本揭露的實施例及其優點已揭露如上,但應該瞭解的是,任何所屬技術領域中具有通常知識者,在不脫離本揭露之精神和範圍內,當可作更動、替代與潤飾與組合上述各種實施例。Although the embodiments and advantages of the present disclosure have been disclosed above, it should be understood that any modification, substitution, modification, and combination can be made by anyone with ordinary knowledge in the art without departing from the spirit and scope of the disclosure. Various embodiments described above.

100:方法 102,104,106,108,110,112:操作 200:基板 201:頂面 203,212A,212B,222A,222B,236B:底面 204:磊晶層 208A,208B:第一溝槽 206:硬遮罩圖案 210A,210B,220A,220B:側壁 214A,214B,254A,254B:通道摻雜區 216A,216B:摻雜氧化矽材料層 214A1,214B1,254A1,254B1:邊界 218A,218B:第二溝槽 220A1,220B1:上側壁部分 220A2,220B2:下側壁部分 226A,226B:閘極介電層 228A,228B:閘極電極 230A,230B:閘極結構 230A1,230B1,254A2,254B2:上部 230A2,230B2,254A3,254B3:下部 231:氧化層 232:源極摻雜區 234:通道接觸摻雜區 236:體摻雜區 238A,238B:層間介電質 240:源極電極 242:汲極電極 250:半導體結構 250A,250B:半導體結構單元 1000:第一蝕刻製程 1005:第一摻雜製程 1010:第二蝕刻製程 1015:第二摻雜製程 1120:第三摻雜製程 D1,D2,D3,D4,D5:深度 L1,S:距離 100:Method 102,104,106,108,110,112: Operation 200:Substrate 201:Top surface 203,212A,212B,222A,222B,236B: Bottom 204: Epitaxial layer 208A, 208B: first groove 206: Hard mask pattern 210A, 210B, 220A, 220B: side wall 214A, 214B, 254A, 254B: Channel doping area 216A, 216B: Doped silicon oxide material layer 214A1,214B1,254A1,254B1:Border 218A, 218B: Second groove 220A1, 220B1: Upper side wall part 220A2, 220B2: Lower side wall part 226A, 226B: Gate dielectric layer 228A, 228B: Gate electrode 230A, 230B: Gate structure 230A1, 230B1, 254A2, 254B2: upper part 230A2, 230B2, 254A3, 254B3: lower part 231:Oxide layer 232: Source doped region 234: Channel contact doping area 236: Body doped region 238A, 238B: Interlayer dielectric 240: Source electrode 242: Drain electrode 250:Semiconductor Structure 250A, 250B: Semiconductor structural unit 1000: First etching process 1005: The first doping process 1010: Second etching process 1015: Second doping process 1120: The third doping process D1,D2,D3,D4,D5: Depth L1,S: distance

以下將配合所附圖式詳述本揭露實施例。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可任意地放大或縮小元件的尺寸,以清楚地表現出本發明實施例的特徵。 第1圖為根據本揭露一些實施例之半導體結構的形成方法的流程圖。 第2至10圖為根據本揭露一些實施例之半導體結構於各個製造階段的剖面示意圖。 The embodiments of the disclosure will be described in detail below with reference to the accompanying drawings. It should be noted that, in accordance with standard practice in the industry, various features are not drawn to scale and are for illustrative purposes only. In fact, the dimensions of the elements may be arbitrarily enlarged or reduced in order to clearly illustrate the features of the embodiments of the invention. FIG. 1 is a flowchart of a method of forming a semiconductor structure according to some embodiments of the present disclosure. 2 to 10 are schematic cross-sectional views of semiconductor structures at various manufacturing stages according to some embodiments of the present disclosure.

100:方法 100:Method

102,104,106,108,110,112:操作 102,104,106,108,110,112: Operation

Claims (11)

一種半導體結構的形成方法,包括: 提供一基板; 於該基板上形成一磊晶層; 進行一第一蝕刻製程,於該磊晶層中形成一第一溝槽; 進行一第一摻雜製程,以於相鄰該第一溝槽的一側壁和一底面的部分該磊晶層中形成一通道摻雜區; 進行一第二蝕刻製程,從該第一溝槽的該底面移除部分該通道摻雜區和部分該磊晶層,以於該磊晶層中形成貫穿該通道摻雜區的一第二溝槽;以及 於該第二溝槽中形成一閘極結構。 A method for forming a semiconductor structure, including: providing a substrate; forming an epitaxial layer on the substrate; Perform a first etching process to form a first trench in the epitaxial layer; Performing a first doping process to form a channel doping region in the epitaxial layer adjacent to a side wall and a bottom surface of the first trench; Perform a second etching process to remove part of the channel doping region and part of the epitaxial layer from the bottom surface of the first trench to form a second trench penetrating the channel doping region in the epitaxial layer slot; and A gate structure is formed in the second trench. 如請求項1之半導體結構的形成方法,其中進行該第一摻雜製程包括: 進行一預置步驟,於該第一溝槽中填充一摻雜氧化矽材料層,該摻雜氧化矽材料層中的摻質的導電類型與該磊晶層的導電類型相反; 進行一驅入步驟,使該摻雜氧化矽材料層中的該些摻質擴散至該第一溝槽的該側壁和該底面以外的部分該磊晶層中;以及 形成該通道摻雜區之後,移除該摻雜氧化矽材料層。 The method for forming a semiconductor structure as claimed in claim 1, wherein performing the first doping process includes: Perform a preset step to fill the first trench with a doped silicon oxide material layer, the conductivity type of the dopant in the doped silicon oxide material layer being opposite to the conductivity type of the epitaxial layer; Performing a driving step to diffuse the dopants in the doped silicon oxide material layer into the epitaxial layer beyond the sidewalls and the bottom surface of the first trench; and After forming the channel doped region, the doped silicon oxide material layer is removed. 如請求項1之半導體結構的形成方法,更包括: 形成該第二溝槽之後,進行一第二摻雜製程,將摻質植入該第二溝槽外側的部分該磊晶層中,以於該通道摻雜區上形成一體摻雜區,其中該第一摻雜製程與該第二摻雜製程為不同類型的摻雜製程。 The method for forming the semiconductor structure of claim 1 further includes: After forming the second trench, a second doping process is performed to implant dopants into the epitaxial layer outside the second trench to form an integrated doping region on the channel doping region, where The first doping process and the second doping process are different types of doping processes. 如請求項3之半導體結構的形成方法,其中該第一摻雜製程為固態源擴散製程,該第二摻雜製程為離子佈植製程。The method of forming a semiconductor structure according to claim 3, wherein the first doping process is a solid-state source diffusion process, and the second doping process is an ion implantation process. 如請求項1之半導體結構的形成方法,其中進行該第一蝕刻製程之前包括於該磊晶層上形成一硬遮罩圖案,其中進行該第一蝕刻製程期間,移除從該硬遮罩圖案暴露出來的部分該磊晶層,以形成該第一溝槽。The method of forming a semiconductor structure as claimed in claim 1, wherein before performing the first etching process, a hard mask pattern is formed on the epitaxial layer, and during the first etching process, the hard mask pattern is removed from the epitaxial layer. The exposed portion of the epitaxial layer forms the first trench. 一種半導體結構,包括: 一基板;以及 一第一半導體結構單元,設置於該基板上,該第一半導體結構單元包括: 一磊晶層,設置於該基板上; 一溝槽,設置於該磊晶層中; 一閘極結構,設置於該溝槽中;以及 一通道摻雜區,設置於該磊晶層中,且鄰接該閘極結構的一上部,其中該通道摻雜區具上寬下窄的摻雜輪廓。 A semiconductor structure including: a substrate; and A first semiconductor structural unit is provided on the substrate. The first semiconductor structural unit includes: An epitaxial layer is provided on the substrate; A trench is provided in the epitaxial layer; a gate structure disposed in the trench; and A channel doping region is disposed in the epitaxial layer and adjacent to an upper part of the gate structure, wherein the channel doping region has a doping profile that is wide at the top and narrow at the bottom. 如請求項6之半導體結構,更包括一第二半導體結構單元,設置於該基板上,該第二半導體結構單元與該第一半導體結構單元彼此相鄰,且與該第一半導體結構單元具有相同的結構。The semiconductor structure of claim 6, further comprising a second semiconductor structure unit disposed on the substrate, the second semiconductor structure unit and the first semiconductor structure unit being adjacent to each other and having the same properties as the first semiconductor structure unit. structure. 如請求項7之半導體結構,其中第一半導體結構單元的該通道摻雜區的一下部和該第二半導體結構單元的一通道摻雜區的一下部彼此隔開。The semiconductor structure of claim 7, wherein the lower part of the channel doping region of the first semiconductor structural unit and the lower part of the channel doping region of the second semiconductor structural unit are separated from each other. 如請求項7之半導體結構,更包括: 一體摻雜區,位於該第一半導體結構單元的該閘極結構和該第二半導體結構單元的一閘極結構之間的該磊晶層中,其中該體摻雜區橫向連接該第一半導體結構單元的該通道摻雜區的一上部和該第二半導體結構單元的一通道摻雜區的一上部。 For example, the semiconductor structure of claim 7 further includes: A body doped region located in the epitaxial layer between the gate structure of the first semiconductor structural unit and a gate structure of the second semiconductor structural unit, wherein the body doped region is laterally connected to the first semiconductor An upper part of the channel doping region of the structural unit and an upper part of a channel doping region of the second semiconductor structural unit. 如請求項9之半導體結構,其中該第一半導體結構單元的該通道摻雜區、該第二半導體結構單元的該通道摻雜區和該體摻雜區具有相同的導電類型,且與該磊晶層的導電類型相反。The semiconductor structure of claim 9, wherein the channel doping region of the first semiconductor structural unit, the channel doping region and the body doping region of the second semiconductor structural unit have the same conductivity type, and are of the same conductivity type as the Lei The conductivity types of the crystal layers are opposite. 如請求項6之半導體結構,更包括: 一源極摻雜區,位於該第一半導體結構單元的該通道摻雜區上的該磊晶層中; 一源極電極,設置於該基板的一頂面上方及該磊晶層上;以及 一汲極電極,設置於該基板的一底面上。 For example, the semiconductor structure of claim 6 further includes: a source doping region located in the epitaxial layer on the channel doping region of the first semiconductor structural unit; A source electrode is disposed above a top surface of the substrate and on the epitaxial layer; and A drain electrode is provided on a bottom surface of the substrate.
TW111117588A 2022-05-11 2022-05-11 Semiconductor structure and method of forming the same TW202345216A (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW111117588A TW202345216A (en) 2022-05-11 2022-05-11 Semiconductor structure and method of forming the same
CN202210840390.8A CN117096189A (en) 2022-05-11 2022-07-18 Semiconductor structure and forming method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW111117588A TW202345216A (en) 2022-05-11 2022-05-11 Semiconductor structure and method of forming the same

Publications (1)

Publication Number Publication Date
TW202345216A true TW202345216A (en) 2023-11-16

Family

ID=88773974

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111117588A TW202345216A (en) 2022-05-11 2022-05-11 Semiconductor structure and method of forming the same

Country Status (2)

Country Link
CN (1) CN117096189A (en)
TW (1) TW202345216A (en)

Also Published As

Publication number Publication date
CN117096189A (en) 2023-11-21

Similar Documents

Publication Publication Date Title
US20210272849A1 (en) Wrap-Around Contact on FinFET
TWI668744B (en) Semiconductor devices and methods for forming the same
TWI701830B (en) Semiconductor devices and methods for forming the same
TWI761814B (en) Integrated circuit device and fabricating method thereof
TW201916353A (en) Semiconductor device and methods of forming same
CN106328589A (en) FinFET channel on oxide structures and related methods
TWI755831B (en) Semiconductor device and method for forming the same
TWI725557B (en) Method for forming semiconductor device
KR102426243B1 (en) Transistors with stacked semiconductor layers as channels
US11996472B2 (en) Multi-layer dielectric refill for profile control in semiconductor devices
CN110610896A (en) Negative slope isolation structure
TWI808374B (en) Semiconductor device and method for forming the same
US11145728B2 (en) Semiconductor device and method of forming same
TWI774186B (en) Semiconductor device and method of fabricating the same
TWI763033B (en) Semiconductor structure and method of forming the same
TW202345216A (en) Semiconductor structure and method of forming the same
KR20210053227A (en) Semiconductor device and method
TWI792499B (en) Semiconductor structures and methods for forming the same
KR102546906B1 (en) Finfet device and method
US11532701B2 (en) Semiconductor isolation structure and method for making the semiconductor isolation structure
TWI809473B (en) Method of forming fin field-effect transistors
US20220367261A1 (en) Selective Hybrid Capping Layer for Metal Gates of Transistors
TW201727896A (en) Semiconductor device structure
KR20230069785A (en) Dual damascene structure in forming source/drain contacts
TW202209569A (en) Semiconductor structure and method of forming the same