TW202339282A - Semiconductor device and method for forming the same - Google Patents

Semiconductor device and method for forming the same Download PDF

Info

Publication number
TW202339282A
TW202339282A TW112103551A TW112103551A TW202339282A TW 202339282 A TW202339282 A TW 202339282A TW 112103551 A TW112103551 A TW 112103551A TW 112103551 A TW112103551 A TW 112103551A TW 202339282 A TW202339282 A TW 202339282A
Authority
TW
Taiwan
Prior art keywords
stop layer
etch stop
layer
dielectric layer
gate
Prior art date
Application number
TW112103551A
Other languages
Chinese (zh)
Other versions
TWI850995B (en
Inventor
周沛瑜
李資良
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202339282A publication Critical patent/TW202339282A/en
Application granted granted Critical
Publication of TWI850995B publication Critical patent/TWI850995B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Geometry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Non-Volatile Memory (AREA)

Abstract

A semiconductor device including an etch stop layer and a method of forming is provided. The semiconductor device may include a source/drain region and a gate structure, wherein a first etch stop layer is over a conductive plug to a source/drain region and a second etch stop layer is over the gate structure. The first etch stop layer and the second etch stop layer may have different thicknesses. A dielectric layer may be formed over the first etch stop layer and the second etch stop layer, and contacts may be formed through the dielectric layer and the first and second etch stop layers.

Description

半導體元件及方法Semiconductor components and methods

without

半導體元件用於各種電子應用,例如個人電腦、手機、數位相機和其他電子設備。通常通過在半導體基板上依序沉積絕緣或介電層、導電層和半導體材料層,並使用微影對各種材料層進行圖案化以在其上形成電路組件和元件來製造半導體元件。Semiconductor components are used in a variety of electronic applications such as personal computers, cell phones, digital cameras, and other electronic devices. Semiconductor components are typically manufactured by sequentially depositing insulating or dielectric layers, conductive layers, and semiconductor material layers on a semiconductor substrate, and patterning the various material layers using photolithography to form circuit components and components thereon.

半導體工業通過不斷減小最小特徵尺寸來繼續提高各種電子組件(例如電晶體、二極體、電阻器、電容器等)的積體密度,這允許整合更多元件到給定區域中。The semiconductor industry continues to increase the volume density of various electronic components (e.g., transistors, diodes, resistors, capacitors, etc.) by continuously reducing minimum feature sizes, which allows for the integration of more components into a given area.

without

以下揭示提供許多不同實施方式或實施例,用於實現本揭示內容的不同特徵。以下敘述部件與佈置的特定實施方式,以簡化本揭示內容。這些當然僅為實施例,並且不是意欲作為限制。舉例而言,在隨後的敘述中,第一特徵在第二特徵上方或在第二特徵上的形成,可包括第一特徵及第二特徵形成為直接接觸的實施方式,亦可包括有另一特徵可形成在第一特徵及第二特徵之間,以使得第一特徵及第二特徵可不直接接觸的實施方式。此外,本揭示內容可能會在不同的實例中重複標號和/或文字。重複的目的是為了簡化及明確敘述,而非界定所討論之不同實施方式和/配置間的關係。The following disclosure provides many different implementations, or examples, for implementing various features of the present disclosure. Specific embodiments of components and arrangements are described below to simplify this disclosure. These are of course only examples and not intended to be limiting. For example, in the following description, the formation of the first feature above or on the second feature may include an embodiment in which the first feature and the second feature are in direct contact, or may include another embodiment. Features may be formed between the first feature and the second feature such that the first feature and the second feature may not be in direct contact. In addition, this disclosure may repeat reference numbers and/or text in different instances. Repetition is for the purpose of simplifying and clarifying the narrative and is not intended to define the relationship between the various embodiments and/or configurations discussed.

除此之外,空間相對用語如「下面」、「下方」、「低於」、「上面」、「上方」及其他類似的用語,在此是為了方便描述圖中的一個元件或特徵和另一個元件或特徵的關係。空間相對用語除了涵蓋圖中所描繪的方位外,該用語更涵蓋裝置在使用或操作時的其他方位。也就是說,可以用其他方式定向(旋轉90度或在其他方向)時,且本文中所使用的空間相對用語同樣可相應地解釋。In addition, spatially relative terms such as "below", "below", "below", "above", "above" and other similar terms are used to facilitate the description of one element or feature in the figure and another. A relationship between a component or feature. Spatially relative terms cover not only the orientation depicted in the figures, but also other orientations of the device when in use or operation. That is, may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative terms used herein interpreted accordingly.

將針對特定上下文描述實施方式,即,半導體元件的接觸插塞結構及其形成方法。本文討論的各種實施方式是在使用閘極後製程(gate-last process)所形成的鰭式場效電晶體(Fin Field-Effect Transistor;FinFET)元件的背景下。在其他實施方式中,可使用閘極前製程(gate-first process)。然而,各種實施方式可以應用於包括其他類型電晶體的元件,諸如平面FET、奈米結構(例如,奈米片、奈米線、環繞式閘極(gate-all-around;GAA)等)場效電晶體(NFETs/NSFETs)等來代替或與FinFET的組合。在一些實施方式中,蝕刻停止層形成於半導體元件的接觸插塞的凹槽內,並在隨後的處理步驟期間被使用,例如在接觸插塞上形成導電特徵。通過在凹槽內形成蝕刻停止層,可以減小元件的總厚度,其導致在閘堆疊、源極/汲極區域等上方形成更好的導電特徵之輪廓,從而改善元件中的電連接。Embodiments will be described with respect to a specific context, namely, a contact plug structure of a semiconductor element and a method of forming the same. Various embodiments discussed herein are in the context of Fin Field-Effect Transistor (FinFET) devices formed using a gate-last process. In other embodiments, a gate-first process may be used. However, various embodiments may be applied to elements including other types of transistors, such as planar FETs, nanostructured (eg, nanosheets, nanowires, gate-all-around (GAA), etc.) fields Effective transistors (NFETs/NSFETs), etc. instead of or in combination with FinFETs. In some embodiments, an etch stop layer is formed within the recess of the contact plug of the semiconductor component and is used during subsequent processing steps, such as forming conductive features on the contact plug. By forming an etch stop layer within the recesses, the overall thickness of the device can be reduced, which results in better contours of conductive features over the gate stack, source/drain regions, etc., thus improving electrical connections in the device.

第1圖為根據一些實施方式繪示出三維視圖的鰭式場效電晶體(Fin Field-Effect Transistor;FinFET)的一實施例。FinFET包含在基板50 (例如,半導體基板)上的鰭52。複數個隔離區域56設置於基板50中,且鰭52在相鄰的隔離區域56上方並從相鄰的隔離區域56之間凸出。儘管隔離區域56描述/圖示為與基板50分離,但如本文所用,術語「基板」可用於僅指半導體基板或是包括隔離區域的半導體基板。此外,雖然鰭52圖示為與基板50一樣的單一連續材料,但鰭52和/或基板50也可包含單一材料或多種材料。在本文中,鰭52是指在相鄰的隔離區域56之間延伸的部分。Figure 1 illustrates an example of a Fin Field-Effect Transistor (FinFET) in a three-dimensional view according to some embodiments. FinFETs include fins 52 on a substrate 50 (eg, a semiconductor substrate). A plurality of isolation areas 56 are disposed in the substrate 50 , and the fins 52 are above and protrude from between adjacent isolation areas 56 . Although isolation region 56 is described/illustrated as separate from substrate 50, as used herein, the term "substrate" may be used to refer to a semiconductor substrate only or a semiconductor substrate including an isolation region. Additionally, although fin 52 is illustrated as a single continuous material as substrate 50 , fin 52 and/or substrate 50 may also comprise a single material or multiple materials. As used herein, fin 52 refers to the portion extending between adjacent isolation areas 56 .

閘極介電層92沿著鰭52的側壁並在鰭52的頂表面上方,且閘極94在閘極介電層92上方。源極/汲極區域82設置在鰭52相對於閘極介電層92和閘極94的相對側。第1圖還繪示出後面的圖式中所使用的參考橫截面(線)。橫截面A-A沿著閘極94的縱軸並且在例如垂直於FinFET的磊晶源極/汲極區域82之間的電流方向的方向上。橫截面B-B垂直於橫截面A-A並沿著鰭52的縱軸,且在例如FinFET的磊晶源極/汲極區域82之間的電流的方向上。橫截面C-C平行於橫截面A-A且延伸穿過FinFET的源極/汲極區域。為清楚起見,隨後的圖式參考了這些參考橫截面。Gate dielectric layer 92 is along the sidewalls of fin 52 and over the top surface of fin 52 , and gate 94 is over gate dielectric layer 92 . Source/drain regions 82 are disposed on opposite sides of fin 52 relative to gate dielectric layer 92 and gate 94 . Figure 1 also shows reference cross-sections (lines) used in the following figures. Cross-section A-A is along the longitudinal axis of the gate 94 and in a direction perpendicular to the direction of current flow between the epitaxial source/drain regions 82 of the FinFET, for example. Cross-section B-B is perpendicular to cross-section A-A and along the longitudinal axis of fin 52 and in the direction of current flow between, for example, the epitaxial source/drain regions 82 of the FinFET. Cross-section C-C is parallel to cross-section A-A and extends through the source/drain regions of the FinFET. For clarity, the subsequent drawings refer to these reference cross-sections.

第2圖至第27B圖剖面示意圖為根據一些實施方式在製造FinFET元件的中間階段的剖面示意圖。第2圖、第3圖、第4圖、第5圖、第6圖、第7圖、第8A圖、第9A圖、第10A圖、第11A圖、第12A圖、第13A圖、第14A圖、第15A圖、第16A圖、第17A圖、第18A圖、第19A圖、第20A圖、第21A圖、第22A圖、第23A圖、第24A圖、第25A圖、第26A圖和第27A圖為沿著第1圖中的參考橫截面A-A所繪示出的,其中剖面示意圖出於說明之目的示出了多個鰭/FinFET。第8B圖、第9B圖、第10B圖、第11B圖、第12B圖、第13B圖、第14B圖、第14C圖、第15B圖、第16B圖、第17B圖、第18B圖、第19B圖、第20B圖、第21B圖、第22B圖、第23B圖、第24B圖、第25B圖、第26B圖和第27B圖為沿著第1圖中的參考橫截面B-B,其中剖面示意圖出於說明之目的示出了多個鰭/FinFET。第10C圖和第10D圖為沿著第1圖中的參考橫截面C-C,其中剖面示意圖出於說明之目的示出了多個鰭/FinFET。Figures 2 to 27B are schematic cross-sectional views at an intermediate stage of manufacturing a FinFET device according to some embodiments. Figure 2, Figure 3, Figure 4, Figure 5, Figure 6, Figure 7, Figure 8A, Figure 9A, Figure 10A, Figure 11A, Figure 12A, Figure 13A, Figure 14A Figures, Figures 15A, 16A, 17A, 18A, 19A, 20A, 21A, 22A, 23A, 24A, 25A, 26A and Figure 27A is shown along reference cross-section A-A in Figure 1, with the cross-sectional schematic showing a plurality of fins/FinFETs for illustration purposes. Figure 8B, Figure 9B, Figure 10B, Figure 11B, Figure 12B, Figure 13B, Figure 14B, Figure 14C, Figure 15B, Figure 16B, Figure 17B, Figure 18B, Figure 19B Figures, Figure 20B, Figure 21B, Figure 22B, Figure 23B, Figure 24B, Figure 25B, Figure 26B and Figure 27B are along the reference cross section B-B in Figure 1, in which the schematic diagram of the section is shown Multiple fins/FinFETs are shown for illustration purposes. Figures 10C and 10D are along the reference cross-section C-C in Figure 1 , with the cross-sectional schematic showing multiple fins/FinFETs for illustration purposes.

在第2圖中,提供了基板50。基板50可為半導體基板,諸如主體(bulk)半導體基板、絕緣層上半導體(semiconductor-on-insulator;SOI)基板等,其可以是摻雜的(例如,用p型或n型摻雜劑)或未摻雜的。基板50可為晶圓,諸如矽晶圓。一般來說,SOI基板為形成於絕緣層上的半導體材料的層。絕緣層可為,舉例來說,埋藏氧化(buried oxide;BOX)層、氧化矽層等。絕緣層提供於基板上,通常是矽或玻璃基板。也可使用其他基板,諸如多層或梯度基板。在一些實施方式中,基板50的半導體材料可包含矽;鍺;化合物半導體,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦和/或銻化銦;合金半導體,包括矽鍺(SiGe)、磷化砷化鎵(GaAsP)、砷化鋁銦(AlInAs)、砷化鋁鎵(AlGaAs)、砷化鎵銦(GaInAs)、磷化鎵銦(GaInP)和/或磷化砷化銦鎵(GaInAsP)等或其組合。In Figure 2, a substrate 50 is provided. The substrate 50 may be a semiconductor substrate, such as a bulk semiconductor substrate, a semiconductor-on-insulator (SOI) substrate, etc., which may be doped (eg, with p-type or n-type dopants) or unadulterated. Substrate 50 may be a wafer, such as a silicon wafer. Generally speaking, an SOI substrate is a layer of semiconductor material formed on an insulating layer. The insulating layer may be, for example, a buried oxide (BOX) layer, a silicon oxide layer, or the like. The insulating layer is provided on a substrate, usually a silicon or glass substrate. Other substrates may also be used, such as multilayer or gradient substrates. In some embodiments, the semiconductor material of the substrate 50 may include silicon; germanium; compound semiconductors including silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide and/or indium antimonide; alloy semiconductors including Silicon germanium (SiGe), gallium arsenide phosphide (GaAsP), aluminum indium arsenide (AlInAs), aluminum gallium arsenide (AlGaAs), gallium indium arsenide (GaInAs), gallium indium phosphide (GaInP) and/or phosphorus Indium gallium arsenide (GaInAsP), etc. or a combination thereof.

基板50具有n型區域50N和p型區域50P。n型區域50N可用於形成n型元件,諸如NMOS電晶體,例如,n型FinFET。p型區域50P可用於形成p型元件,諸如PMOS電晶體,例如,p型FinFET。n型區域50N可以與p型區域50P物理分離(如分隔物51所示),並且任何數量的元件特徵(例如,其他主動元件、摻雜區域、絕緣區域等)可以設置於n型區域50N和p型區域50P之間。The substrate 50 has an n-type region 50N and a p-type region 50P. n-type region 50N may be used to form n-type components, such as NMOS transistors, for example, n-type FinFETs. The p-type region 50P may be used to form p-type components, such as PMOS transistors, for example, p-type FinFETs. n-type region 50N may be physically separated from p-type region 50P (as shown by separator 51), and any number of device features (eg, other active devices, doped regions, insulating regions, etc.) may be disposed between n-type region 50N and p-type area between 50P.

在第3圖中,根據一些實施方式,鰭52形成於基板50中。鰭52為半導體條帶(semiconductor strip)。在一些實施方式中,通過蝕刻基板50中的溝槽,鰭52可形成於基板50中。蝕刻可為任何可接受的蝕刻製程,諸如活性離子蝕刻(reactive ion etch;RIE)、中性粒子束蝕刻(neutral beam etch;NBE)等或其組合。蝕刻可為各向異性蝕刻。In Figure 3, fins 52 are formed in substrate 50 according to some embodiments. Fin 52 is a semiconductor strip. In some embodiments, fins 52 may be formed in substrate 50 by etching trenches in substrate 50 . The etching may be any acceptable etching process, such as reactive ion etching (RIE), neutral beam etching (NBE), etc., or a combination thereof. The etching may be anisotropic etching.

鰭52可以通過任何合適的方法來圖案化。舉例來說,鰭52可以使用一種或多種微影製程來圖案化,包含雙圖案化(double-patterning)或是多圖案化(multi-patterning)製程。一般來說,雙圖案化製程或是多圖案化製程結合微影製程和自對準製程(self-aligned process),其允許建立具有例如比使用單個直接微影製程獲得的間距更小的間距的圖案。舉例來說,在一個實施方式中,犧牲層形成於基板上方並使用微影製程來圖案化。使用自對準製程在圖案化的犧牲層旁邊形成間隔物。然後移除犧牲層,之後可以使用剩餘的間隔物用作遮罩以形成鰭52。在一些實施方式中,遮罩(或其他層)可保留在鰭52上。Fins 52 may be patterned by any suitable method. For example, the fins 52 may be patterned using one or more lithography processes, including a double-patterning or multi-patterning process. Generally speaking, a dual-patterning process or a multi-patterning process combines a lithography process with a self-aligned process, which allows the creation of pitches with, for example, smaller pitches than can be achieved using a single direct lithography process. pattern. For example, in one embodiment, a sacrificial layer is formed over a substrate and patterned using a photolithography process. A self-aligned process is used to form spacers next to the patterned sacrificial layer. The sacrificial layer is then removed and the remaining spacers can then be used as masks to form fins 52 . In some implementations, a mask (or other layer) may remain on fins 52 .

在第4圖中,根據一些實施方式,絕緣材料54形成於基板50上方且於相鄰的鰭52之間。絕緣材料54可以是氧化物,諸如氧化矽、氮化物等或其組合,並且可以通過高密度電漿化學氣相沉積(high-density plasma chemical vapor deposition;HDP-CVD)、可流動的CVD (flowable CVD;FCVD) (例如,在遙控電漿系統中沉積基於CVD的材料並進行後固化post curing以使其轉化為另一種材料,例如氧化物)等或其組合來形成。可以通過使用任何可接受的製程來形成其他絕緣材料。在所示實施方式中,絕緣材料54是通過FCVD製程所形成的氧化矽。一旦形成絕緣材料,就可以執行退火製程。在一實施方式中,形成絕緣材料54使得多餘的絕緣材料54覆蓋鰭52。儘管絕緣材料54繪示為單層,但在一些實施方式中可以使用多層。舉例來說,在一些實施方式中,可以首先沿著基板50和鰭52的表面形成襯墊(未示出)。此後,可以在襯墊上方形成諸如上述那些的填充材料。In Figure 4, insulating material 54 is formed over substrate 50 and between adjacent fins 52, according to some embodiments. The insulating material 54 may be an oxide, such as silicon oxide, nitride, etc., or a combination thereof, and may be formed by high-density plasma chemical vapor deposition (HDP-CVD), flowable CVD (flowable CVD), etc. CVD; FCVD) (e.g., depositing a CVD-based material in a remotely controlled plasma system and performing post curing to convert it into another material, such as an oxide), etc., or a combination thereof. Other insulating materials can be formed using any acceptable process. In the embodiment shown, insulating material 54 is silicon oxide formed by an FCVD process. Once the insulating material is formed, an annealing process can be performed. In one embodiment, insulating material 54 is formed such that excess insulating material 54 covers fins 52 . Although insulating material 54 is shown as a single layer, in some implementations multiple layers may be used. For example, in some embodiments, pads (not shown) may be formed first along the surfaces of substrate 50 and fins 52 . Thereafter, filler materials such as those described above may be formed over the pad.

在第5圖中,施加移除製程於絕緣材料54,以移除在鰭52上方的多餘的絕緣材料54。在一些實施方式中,可使用平坦化製程,諸如化學機械研磨(chemical mechanical polish;CMP)、回蝕製程、其組合等。平坦化製程暴露出鰭52,使得在完成平坦化製程之後的鰭52的頂表面和絕緣材料54的頂表面實質上共平面或齊平的(level)(例如,在平坦化製程的製程變異內)。在遮罩保留在鰭52上的實施方式中,平坦化製程可暴露出遮罩或移除遮罩,在完成平坦化製程之後,使得遮罩的頂表面或鰭52的頂表面分別與絕緣材料54的頂表面是齊平的。In FIG. 5 , a removal process is applied to the insulating material 54 to remove excess insulating material 54 above the fins 52 . In some embodiments, a planarization process may be used, such as chemical mechanical polish (CMP), etch-back process, combinations thereof, etc. The planarization process exposes the fins 52 such that the top surfaces of the fins 52 and the top surfaces of the insulating material 54 after the planarization process is completed are substantially coplanar or level (e.g., within the process variation of the planarization process). ). In embodiments where the mask remains on the fin 52 , the planarization process may expose the mask or remove the mask such that the top surface of the mask or the top surface of the fin 52 , respectively, is in contact with the insulating material after the planarization process is completed. The top surface of the 54 is flush.

在第6圖中,根據一些實施方式,凹陷絕緣材料54以形成淺溝槽隔離(shallow trench isolation;STI)區域56。凹陷絕緣材料54,使得在n型區域50N和p型區域50P中的鰭52的上部凸出於相鄰的STI區域56之間。此外,STI區域56的頂表面可具有如圖所示的平坦表面、凸面、凹面(諸如凹陷(dishing))或其組合。STI區域56的頂表面可通過適當的蝕刻來形成平坦表面、凸面和/或凹面。可使用可接受的蝕刻製程來凹陷STI區域56,諸如對絕緣材料54的材料有選擇性的蝕刻製程(例如,以蝕刻比鰭52的材料更快的速率來蝕刻絕緣材料54的材料)。舉例來說,可以使用稀釋氫氟酸(dilute hydrofluoric acid;dHF)來執行氧化移除製程,但也可以使用其他製程。In Figure 6, insulating material 54 is recessed to form shallow trench isolation (STI) regions 56, according to some embodiments. The insulating material 54 is recessed so that the upper portions of the fins 52 in the n-type region 50N and the p-type region 50P protrude between adjacent STI regions 56 . Additionally, the top surface of STI region 56 may have a flat surface as shown, a convex surface, a concave surface (such as dishing), or a combination thereof. The top surface of STI region 56 may be formed by appropriate etching to form a flat surface, a convex surface, and/or a concave surface. STI region 56 may be recessed using an acceptable etch process, such as an etch process that is selective to the material of insulating material 54 (eg, etches the material of insulating material 54 at a faster rate than the material of fin 52 ). For example, dilute hydrofluoric acid (dHF) may be used to perform the oxidative removal process, but other processes may also be used.

第2圖至第6圖所述的製程可以僅為鰭52如何形成的一個實施例。在一些實施方式中,可通過磊晶生長製程來形成鰭。舉例來說,介電層可形成於基板50的頂表面上方,且可穿過介電層來蝕刻溝槽以暴露下覆的基板50。同質(homoepitaxial)磊晶結構可磊晶地生長於溝槽中,且可凹陷介電層,使得同質磊晶結構從介電層凸出以形成鰭。此外,在一些實施方式中,異質(heteroepitaxial)磊晶結構可用於形成鰭52。舉例來說,可凹陷第5圖中的鰭52,且與鰭52不同的材料可以在凹陷的鰭52上磊晶生長。在此些實施方式中,鰭52包含凹陷材料以及設置於凹陷材料上方的磊晶生長材料。在更進一步的實施方式中,介電層可形成於基板50的頂表面上方,且可通過介電層來蝕刻溝槽。然後可使用不同於基板50的材料來磊晶生長異質磊晶結構於溝槽中,並可凹陷介電層,使得異質磊晶結構從介電層凸出以形成鰭52。在同質磊晶結構或是異質磊晶結構之磊晶生長的實施方式中,雖然原位和佈植摻雜可以一起使用,但在生長期間進行原位摻雜磊晶生長的材料可以避免之前和隨後的佈植。The process described in FIGS. 2-6 may be just one example of how the fins 52 are formed. In some embodiments, the fins may be formed by an epitaxial growth process. For example, a dielectric layer may be formed over the top surface of substrate 50 and trenches may be etched through the dielectric layer to expose the underlying substrate 50 . Homoepitaxial epitaxial structures can be epitaxially grown in the trenches, and the dielectric layer can be recessed so that the homoepitaxial structures protrude from the dielectric layer to form fins. Additionally, in some embodiments, heteroepitaxial epitaxial structures may be used to form fins 52 . For example, the fins 52 in Figure 5 can be recessed, and a material different from that of the fins 52 can be epitaxially grown on the recessed fins 52. In such embodiments, fin 52 includes recessed material and epitaxially grown material disposed over the recessed material. In further embodiments, a dielectric layer may be formed over the top surface of substrate 50 and trenches may be etched through the dielectric layer. A material different from the substrate 50 can then be used to epitaxially grow the heteroepitaxial structure in the trench, and the dielectric layer can be recessed so that the heteroepitaxial structure protrudes from the dielectric layer to form the fins 52 . In the embodiment of epitaxial growth of a homogeneous epitaxial structure or a heterogeneous epitaxial structure, although in-situ and implanted doping can be used together, in-situ doping of epitaxially grown materials during growth can avoid the need for previous and implanted doping. Subsequent planting.

更進一步,在n型區域50N (例如,NMOS區域)中磊晶生長與在p型區域50P (例如,PMOS區域)中不同的材料可以是有利的。在各種實施方式中,鰭52的上部可以由矽鍺(Si xGe 1-x,其中x可在0至1的範圍內)、碳化矽、純的或實質上純的鍺、III-V族化合物半導體、II-VI族化合物半導體等來形成。舉例來說,可用於形成III-V族化合物半導體的材料包含(但不限於)砷化銦、砷化鋁、砷化鎵、磷化銦、氮化鎵、砷化銦鎵、砷化銦鋁、銻化鎵、銻化鋁、磷化鋁、磷化鎵等。 Furthermore, it may be advantageous to epitaxially grow different materials in n-type region 50N (eg, NMOS region) than in p-type region 50P (eg, PMOS region). In various embodiments, the upper portion of fin 52 may be made of silicon germanium ( SixGe1 -x , where x may range from 0 to 1), silicon carbide, pure or substantially pure germanium, III-V Compound semiconductors, II-VI compound semiconductors, etc. For example, materials that can be used to form III-V compound semiconductors include, but are not limited to, indium arsenide, aluminum arsenide, gallium arsenide, indium phosphide, gallium nitride, indium gallium arsenide, and indium aluminum arsenide. , gallium antimonide, aluminum antimonide, aluminum phosphide, gallium phosphide, etc.

進一步在第6圖中,可形成合適的井(未示出)於鰭52和/或基板50中。在一些實施方式中,P井可形成於n型區域50N中,且N井可形成於p型區域50P中。在一些實施方式中,P井或N井皆形成於n型區域50N和p型區域50P中。在不同井類型的實施方式中,可使用光阻劑和/或其他遮罩(未示出)來實現n型區域50N和p型區域50P的不同的佈植步驟。舉例來說,光阻劑可形成於鰭52上方以及在n型區域50N中的STI區域56上方。光阻劑被圖案化以暴露基板50的p型區域50P。可使用旋塗(spin-on)技術來形成光阻劑,且可使用可接受的微影技術來圖案化光阻劑。一旦光阻劑被圖案化,在p型區域50P中執行n型雜質佈植,且光阻劑當作遮罩以實質地避免n型雜質被植入於n型區域50N中。n型雜質可以是植入區域中的磷、砷、銻等,其濃度等於或小於10 18cm -3,諸如在從約10 16cm -3至約10 18cm -3的範圍內。在佈植之後,諸如通過可接收的灰化製程來移除光阻劑。 Further in Figure 6, suitable wells (not shown) may be formed in the fins 52 and/or the substrate 50. In some embodiments, a P-well may be formed in n-type region 50N, and an N-well may be formed in p-type region 50P. In some embodiments, P-wells or N-wells are formed in both n-type region 50N and p-type region 50P. In different well type embodiments, photoresists and/or other masks (not shown) may be used to achieve different implantation steps for n-type region 50N and p-type region 50P. For example, photoresist may be formed over fins 52 and over STI region 56 in n-type region 50N. The photoresist is patterned to expose the p-type region 50P of the substrate 50 . The photoresist can be formed using spin-on techniques and can be patterned using acceptable lithography techniques. Once the photoresist is patterned, n-type impurity implantation is performed in p-type region 50P, and the photoresist acts as a mask to substantially prevent n-type impurities from being implanted in n-type region 50N. The n-type impurity may be phosphorus, arsenic, antimony, etc. in the implanted region at a concentration equal to or less than 10 18 cm -3 , such as in the range from about 10 16 cm -3 to about 10 18 cm -3 . After implantation, the photoresist is removed, such as by an acceptable ashing process.

佈植p型區域50P之後,光阻劑形成於鰭52以及p型區域50P中的STI區域56上方。圖案化光阻劑以暴露基板50的n型區域50N。可使用旋塗技術來形成光阻劑,且可使用可接受的微影技術來圖案化光阻劑。一旦光阻劑被圖案化,可在n型區域50N中執行p型雜質佈植,且光阻劑可當作遮罩以實質地避免p型雜質植入於p型區域50P中。p型雜質可硼、氟化硼、銦等,其在區域中的佈植濃度等於或小於10 18cm -3,諸如在從約10 16cm -3至約10 18cm -3的範圍內。在佈植之後,諸如通過可接收的灰化製程來移除光阻劑。 After p-type region 50P is implanted, photoresist is formed over fin 52 and STI region 56 in p-type region 50P. The photoresist is patterned to expose n-type region 50N of substrate 50 . The photoresist can be formed using spin coating techniques and can be patterned using acceptable lithography techniques. Once the photoresist is patterned, p-type impurity implantation can be performed in n-type region 50N, and the photoresist can act as a mask to substantially prevent p-type impurities from being implanted in p-type region 50P. The p-type impurity may be boron, boron fluoride, indium, etc., with a implantation concentration in the region equal to or less than 10 18 cm -3 , such as in the range from about 10 16 cm -3 to about 10 18 cm -3 . After implantation, the photoresist is removed, such as by an acceptable ashing process.

在n型區域50N和p型區域50P的佈植之後,可以執行退火以修復佈植損壞並活化植入的p型和/或n型雜質。在一些實施方式中,雖然原位摻雜和植入摻雜可以一起使用,但是磊晶鰭的生長材料可以在生長過程中進行原位摻雜,這樣就沒有必要佈植。After implantation of n-type region 50N and p-type region 50P, an anneal may be performed to repair implant damage and activate implanted p-type and/or n-type impurities. In some embodiments, although in-situ doping and implant doping can be used together, the epitaxial fin growth material can be doped in-situ during the growth process, so that implants are not necessary.

在第7圖中,虛設介電層60形成於鰭52上。虛設介電層60可例如是氧化矽、氮化矽、其組合等,並且可以根據可接受的技術來沉積或熱生長。虛設閘極層62形成於虛設介電層60上方,且遮罩層64形成於虛設閘極層62上方。可沉積虛設閘極層62於虛設介電層60上方,然後使用例如CMP製程來平坦化。可沉積遮罩層64於虛設閘極層62上方。虛設閘極層62可以為導電材料,並且可以選自包含非晶矽、多晶矽(polysilicon)、多晶矽鍺(poly-SiGe)、金屬氮化物、金屬矽化物、金屬氧化物和金屬的群組。虛設閘極層62可通過物理氣相沉積(physical vapor deposition;PVD)、CVD、濺鍍沉積或本領域已知且用於沉積導電材料的其他技術來沉積。虛設閘極層62可由對於STI區域56的蝕刻具有高蝕刻選擇性的其他材料製成。遮罩層64可包含例如一層或多層的氧化矽、SiN、SiON、其組合等。在一些實施方式中,遮罩層64可包含一層的氮化矽以及一層的氧化矽於此層氮化矽上方。在一些實施方式中,橫跨區域50N以及區域50P形成單個虛設閘極層62以及單個遮罩層64。需注意的是,僅為了說明之目的,所示的虛設介電層60僅覆蓋鰭52。在一些實施方式中,可沉積虛設介電層60,使得虛設介電層60覆蓋STI區域56並在虛設閘極層62和STI區域56之間延伸。In FIG. 7 , dummy dielectric layer 60 is formed on fin 52 . Dummy dielectric layer 60 may be, for example, silicon oxide, silicon nitride, combinations thereof, etc., and may be deposited or thermally grown according to acceptable techniques. The dummy gate layer 62 is formed over the dummy dielectric layer 60 , and the mask layer 64 is formed over the dummy gate layer 62 . The dummy gate layer 62 may be deposited over the dummy dielectric layer 60 and then planarized using a CMP process, for example. Mask layer 64 may be deposited over dummy gate layer 62 . The dummy gate layer 62 may be a conductive material and may be selected from the group consisting of amorphous silicon, polysilicon, poly-SiGe, metal nitrides, metal silicides, metal oxides, and metals. Dummy gate layer 62 may be deposited by physical vapor deposition (PVD), CVD, sputter deposition, or other techniques known in the art for depositing conductive materials. Dummy gate layer 62 may be made of other materials that have high etch selectivity for the etch of STI region 56 . Mask layer 64 may include, for example, one or more layers of silicon oxide, SiN, SiON, combinations thereof, and the like. In some embodiments, mask layer 64 may include a layer of silicon nitride and a layer of silicon oxide above the layer of silicon nitride. In some embodiments, a single dummy gate layer 62 and a single mask layer 64 are formed across region 50N and region 50P. Note that for illustration purposes only, dummy dielectric layer 60 is shown covering only fins 52 . In some implementations, dummy dielectric layer 60 may be deposited such that dummy dielectric layer 60 covers STI region 56 and extends between dummy gate layer 62 and STI region 56 .

第8A圖至第28B圖繪示出製造實施例裝置的各種額外步驟。第8A圖至第28B圖繪示出n型區域50N和p型區域50P中的任何一者的特徵。舉例來說,第8A圖至第28B圖所示的結構可以適用於n型區域50N和p型區域50P。n型區域50N和p型區域50P的結構差異(若有)在文本中及隨附的每一圖中進行描述。Figures 8A-28B illustrate various additional steps in making embodiment devices. Figures 8A to 28B illustrate characteristics of any one of the n-type region 50N and the p-type region 50P. For example, the structures shown in FIGS. 8A to 28B can be applied to the n-type region 50N and the p-type region 50P. Structural differences, if any, between n-type region 50N and p-type region 50P are described in the text and in each of the accompanying figures.

在第8A圖和第8B圖中,使用可接受的微影和蝕刻技術來圖案化遮罩層64 (參考第7圖)以形成遮罩74。遮罩74的圖案然後被轉移至虛設閘極層62。在一些實施方式中(未繪示出),通過可接受的蝕刻技術也可轉移遮罩74的圖案至虛設介電層60以形成虛設閘極72。虛設閘極72覆蓋鰭52的各個通道區域58。遮罩74的圖案可用於將每個虛設閘極72與鄰近的虛設閘極物理分離。虛設閘極72的縱向也可實質上垂直於各個磊晶鰭52的縱向。In Figures 8A and 8B, mask layer 64 (see Figure 7) is patterned using acceptable lithography and etching techniques to form mask 74. The pattern of mask 74 is then transferred to dummy gate layer 62 . In some embodiments (not shown), the pattern of mask 74 may also be transferred to dummy dielectric layer 60 using acceptable etching techniques to form dummy gate 72 . Dummy gates 72 cover each channel area 58 of fin 52 . The pattern of mask 74 may be used to physically separate each dummy gate 72 from adjacent dummy gates. The longitudinal direction of the dummy gate 72 may also be substantially perpendicular to the longitudinal direction of each epitaxial fin 52 .

此外,在第8A圖和第8B圖中,閘密封間隔物80可形成於虛設閘極72、遮罩74和/或鰭52之暴露的表面上。熱氧化或沉積之後,然後進行各向異性蝕刻可以形成閘密封間隔物80。閘密封間隔物80可以由氧化矽、氮化矽、氮氧化矽等形成。Additionally, in FIGS. 8A and 8B , gate seal spacers 80 may be formed on exposed surfaces of dummy gate 72 , mask 74 and/or fin 52 . Gate sealing spacers 80 may be formed following thermal oxidation or deposition followed by anisotropic etching. Gate seal spacer 80 may be formed of silicon oxide, silicon nitride, silicon oxynitride, or the like.

在形成閘密封間隔物80之後,可以執行用於低摻雜源極/汲極元件(lightly doped source/drain;LDD)區域(未明確繪示出)的佈植。在具有不同元件類型的實施方式中,類似於上述第6圖中討論的佈植,諸如光阻劑的遮罩可形成於n型區域50N上方,同時暴露出p型區域50P,並且可以將適當類型(例如,p型)的雜質植入到在p型區域50P中的暴露鰭52中。然後可移除遮罩。隨後,諸如光阻劑的遮罩可形成於p型區域50P上方,同時暴露出n型區域50N,並且可以將適當類型(例如,n型)的雜質植入到在n型區域50N中的暴露鰭52中。然後可移除遮罩。n型雜質可以是前面討論的任何n型雜質,p型雜質可以是前面討論的任何p型雜質。在一些實施方式中,低摻雜源極/汲極區域可具有在從約10 15cm -3至約10 19cm -3的範圍內的雜質濃度。可以使用退火以修復佈植損壞和/或活化植入的雜質。 After the gate seal spacers 80 are formed, implantation for lightly doped source/drain (LDD) regions (not explicitly shown) may be performed. In embodiments with different element types, similar to the implants discussed above in Figure 6, a mask such as photoresist can be formed over n-type region 50N while exposing p-type region 50P, and the appropriate Type (eg, p-type) impurities are implanted into exposed fins 52 in p-type region 50P. The mask can then be removed. Subsequently, a mask such as photoresist may be formed over p-type region 50P while exposing n-type region 50N, and an appropriate type (eg, n-type) impurity may be implanted into the exposed n-type region 50N Fin 52 in. The mask can then be removed. The n-type impurity can be any n-type impurity discussed previously, and the p-type impurity can be any p-type impurity discussed previously. In some implementations, the low-doped source/drain regions may have impurity concentrations ranging from about 10 15 cm −3 to about 10 19 cm −3 . Annealing may be used to repair implant damage and/or activate implanted impurities.

在第9A圖和第9B圖中,閘極間隔物86形成於沿著虛設閘極72和遮罩74的側壁的閘密封間隔物80上。可以通過共形地沉積絕緣材料並隨後各向異性地蝕刻絕緣材料來形成閘極間隔物86。閘極間隔物86的絕緣材料可以是氧化矽、氮化矽、氧氮化矽、碳氮化矽、其組合等。在一些實施方式中,閘極間隔物86包含多個層,這些層可以是不同材料的層。In FIGS. 9A and 9B , gate spacers 86 are formed on gate seal spacers 80 along the sidewalls of dummy gate 72 and mask 74 . Gate spacers 86 may be formed by conformally depositing an insulating material and subsequently anisotropically etching the insulating material. The insulating material of the gate spacer 86 may be silicon oxide, silicon nitride, silicon oxynitride, silicon carbonitride, combinations thereof, etc. In some embodiments, gate spacer 86 includes multiple layers, which may be layers of different materials.

需注意的是,上述揭示內容描述一般形成間隔物和LDD區域的製程。也可以使用其他製程和順序。舉例來說,可以使用更少或額外的額外間隔物,可以使用不同順序的步驟(例如,在形成閘極間隔物86之前可以不蝕刻閘密封間隔物80、產生「L形」的閘密封間隔物、可形成和移除間隔物和/或類似者)。此外,可使用不同的結構和步驟來形成n型和p型元件。舉例來說,在形成閘密封間隔物80之前形成n型元件的LDD區域,且在形成閘密封間隔物80之後形成p型元件的LDD區域。It should be noted that the above disclosure describes a general process for forming spacers and LDD regions. Other processes and sequences may also be used. For example, fewer or additional additional spacers may be used, and a different sequence of steps may be used (e.g., gate seal spacers 80 may not be etched before gate spacers 86 are formed, resulting in an "L-shaped" gate seal spacer). can form and remove spacers and/or the like). Additionally, different structures and steps can be used to form n-type and p-type components. For example, the LDD region of the n-type device is formed before the gate sealing spacers 80 are formed, and the LDD region of the p-type device is formed after the gate sealing spacers 80 are formed.

在第10A圖和第10B圖,磊晶源極/汲極區域82形成於鰭52中。在鰭52中形成磊晶源極/汲極區域82,使得每個虛設閘極72沉積於各自相鄰對的磊晶源極/汲極區域82之間。在一些實施方式中,磊晶源極/汲極區域82可延伸至鰭52中,也可穿透鰭52。在一些實施方式中,閘極間隔物86被用來將磊晶源極/汲極區域82與虛設閘極72隔開合適的橫向距離,使得磊晶源極/汲極區域82不會使隨後形成的FinFET的閘極短路。可以選擇磊晶源極/汲極區域82的材料以在各自的通道區域58中施加應力,從而改善性能。In Figures 10A and 10B, epitaxial source/drain regions 82 are formed in fins 52. Epitaxial source/drain regions 82 are formed in fin 52 such that each dummy gate 72 is deposited between a respective adjacent pair of epitaxial source/drain regions 82 . In some embodiments, epitaxial source/drain regions 82 may extend into fins 52 or may penetrate fins 52 . In some embodiments, gate spacers 86 are used to separate epitaxial source/drain regions 82 from dummy gate 72 by a suitable lateral distance such that epitaxial source/drain regions 82 do not cause subsequent The gate of the FinFET is short-circuited. The materials of the epitaxial source/drain regions 82 may be selected to impart stress in the respective channel regions 58 to improve performance.

可通過遮蔽p型區域50P並蝕刻n型區域50N中的鰭52的源極/汲極區域,以在鰭52中形成溝槽來形成n型區域50N中的磊晶源極/汲極區域82。然後,n型區域50N中的磊晶源極/汲極區域82在溝槽中磊晶地生長。磊晶源極/汲極區域82可包含任何可接受的材料,諸如適用於n型FinFET的材料。舉例來說,如果鰭52是矽,n型區域50N中的磊晶源極/汲極區域82可包含在通道區域58施加拉伸應變的材料諸如矽、碳化矽、摻磷碳化矽、磷化矽等。n型區域50N中的磊晶源極/汲極區域82可具有從鰭52的各自表面凸起的表面且具有刻面(facet)。Epitaxial source/drain regions 82 in n-type region 50N may be formed by masking p-type region 50P and etching the source/drain regions of fin 52 in n-type region 50N to form trenches in fin 52 . Then, epitaxial source/drain regions 82 in n-type region 50N are epitaxially grown in the trench. Epitaxial source/drain regions 82 may comprise any acceptable material, such as materials suitable for n-type FinFETs. For example, if fin 52 is silicon, epitaxial source/drain regions 82 in n-type region 50N may include a material that imposes tensile strain on channel region 58 such as silicon, silicon carbide, phosphorus-doped silicon carbide, phosphide Silicon etc. Epitaxial source/drain regions 82 in n-type region 50N may have surfaces that are raised from respective surfaces of fins 52 and have facets.

可通過遮蔽n型區域50N並蝕刻p型區域50P中的鰭52的源極/汲極區域,以在鰭52中形成溝槽來形成p型區域50P中的磊晶源極/汲極區域82。然後,p型區域50P中的磊晶源極/汲極區域82在溝槽中磊晶地生長。磊晶源極/汲極區域82可包含任何可接受的材料,諸如適用於p型FinFET的材料。舉例來說,如果鰭52是矽,p型區域50P中的磊晶源極/汲極區域82可包含在通道區域58中施加壓縮應變的材料,諸如矽鍺、硼摻雜的矽鍺、鍺、鍺錫等。p型區域50P中的磊晶源極/汲極區域82可具有從鰭52的各自表面凸起的表面且具有刻面。Epitaxial source/drain regions 82 in p-type region 50P may be formed by masking n-type region 50N and etching the source/drain regions of fin 52 in p-type region 50P to form trenches in fin 52 . Then, the epitaxial source/drain regions 82 in the p-type region 50P are epitaxially grown in the trench. Epitaxial source/drain regions 82 may comprise any acceptable material, such as materials suitable for p-type FinFETs. For example, if fin 52 is silicon, epitaxial source/drain regions 82 in p-type region 50P may include a material that imparts compressive strain in channel region 58 , such as silicon germanium, boron doped silicon germanium, germanium , germanium tin, etc. Epitaxial source/drain regions 82 in p-type region 50P may have surfaces that are raised from respective surfaces of fins 52 and have facets.

磊晶源極/汲極區域82和/或鰭52可以植入摻雜劑以形成源極/汲極區域(類似於先前討論的用於形成低摻雜源極/汲極區域的製程),然後進行退火。源極/汲極區域可具有在從約10 19cm -3至約10 21cm -3的範圍內的雜質濃度。源極/汲極區域的n型和/或p型雜質可以是前面討論的任何雜質。在一些實施方式中,磊晶源極/汲極區域82可以在生長期間原位摻雜。 Epitaxial source/drain regions 82 and/or fins 52 may be implanted with dopants to form source/drain regions (similar to the process previously discussed for forming low-doped source/drain regions), Then anneal. The source/drain regions may have impurity concentrations ranging from about 10 19 cm −3 to about 10 21 cm −3 . The n-type and/or p-type impurities in the source/drain regions can be any of the impurities discussed previously. In some embodiments, epitaxial source/drain regions 82 may be doped in situ during growth.

由於用於在n型區域50N和p型區域50P中形成磊晶源極/汲極區域82的磊晶製程,磊晶源極/汲極區域的上表面具有橫向向外擴展超出鰭52的側壁的刻面。在一些實施方式中,這些刻面導致同一FinFET的相鄰的源極/汲極區域82合併在一起,如第10C圖所示。在其他實施方式中,在完成磊晶製程之後,相鄰的磊晶源極/汲極區域82保持分離,如第10D圖所示。在第10C圖和第10D圖的實施方式中,形成閘極間隔物86,閘極間隔物86覆蓋STI區域56上方延伸的鰭52的一部份側壁,從而阻止磊晶生長。在一些其他的實施方式中,可以調整用於形成閘極間隔物86的間隔物的蝕刻以移除間隔物材料,而允許磊晶生長區域延伸至STI區域56的表面。Due to the epitaxial process used to form epitaxial source/drain regions 82 in n-type region 50N and p-type region 50P, the upper surface of the epitaxial source/drain region has sidewalls that extend laterally outward beyond fin 52 facets. In some embodiments, these facets cause adjacent source/drain regions 82 of the same FinFET to merge together, as shown in Figure 10C. In other embodiments, after the epitaxial process is completed, adjacent epitaxial source/drain regions 82 remain separated, as shown in Figure 10D. In the embodiment of FIGS. 10C and 10D , gate spacers 86 are formed to cover a portion of the sidewall of fin 52 extending above STI region 56 to prevent epitaxial growth. In some other embodiments, the etching of the spacers used to form gate spacers 86 may be adjusted to remove the spacer material while allowing the epitaxial growth region to extend to the surface of STI region 56 .

在第11A圖和第11B圖中,在第10A圖和第10B圖中所示的結構上方沉積第一層間介電層(interlayer dielectric;ILD) 88。第一ILD 88可由介電材料組成,且可通過任何合適的方法來沉積,諸如CVD、電漿增強化學氣相沈積(plasma-enhanced CVD;PECVD)或FCVD。介電材料可包含氧化物、磷矽酸鹽玻璃(phospho-silicate glass;PSG)、硼矽酸鹽玻璃(boro-silicate glass;BSG)、硼磷矽酸鹽玻璃(boron-doped phospho-silicate glass;BPSG)、無摻雜矽玻璃(undoped silicate glass;USG)等。也可以使用通過任何可接受的製程而形成的其他絕緣材料。在一些實施方式中,接觸蝕刻停止層(contact stop layer;CESL) 87設置於第一ILD 88與磊晶源極/汲極區域82之間、遮罩74以及閘極間隔物86之間。CESL 87可包含介電材料,諸如氮化矽、氧化矽、氮氧化矽等,其蝕刻速率低於上覆的第一ILD 88的材料。In Figures 11A and 11B, a first interlayer dielectric (ILD) 88 is deposited over the structure shown in Figures 10A and 10B. The first ILD 88 may be composed of a dielectric material and may be deposited by any suitable method, such as CVD, plasma-enhanced chemical vapor deposition (PECVD), or FCVD. The dielectric material may include oxide, phospho-silicate glass (PSG), boro-silicate glass (BSG), boron-doped phospho-silicate glass ; BPSG), undoped silicate glass (undoped silicate glass; USG), etc. Other insulating materials formed by any acceptable process may also be used. In some embodiments, a contact etch stop layer (CESL) 87 is disposed between the first ILD 88 and the epitaxial source/drain region 82 , between the mask 74 and the gate spacer 86 . CESL 87 may include a dielectric material, such as silicon nitride, silicon oxide, silicon oxynitride, etc., that has a lower etch rate than the overlying first ILD 88 material.

在第12A圖和第12B圖中,可執行諸如CMP的平坦化製程以使ILD 88的頂表面與虛設閘極72或遮罩74的頂表面齊平。平坦化製程也可移除虛設閘極72上方的遮罩74,以及移除沿著遮罩74的側壁的閘密封間隔物80和閘極間隔物86。在平坦化製程之後,虛設閘極72、閘密封間隔物80、閘極間隔物86以及第一ILD 88的頂表面是齊平的。因此,通過第一ILD 88而暴露出虛設閘極72的頂表面。在一些實施方式中,可以保留遮罩74,在這種情況下,平坦化製程使第一ILD 88的頂表面與遮罩74的頂表面齊平。In Figures 12A and 12B, a planarization process such as CMP may be performed to make the top surface of ILD 88 flush with the top surface of dummy gate 72 or mask 74. The planarization process may also remove mask 74 over dummy gate 72 , as well as remove gate seal spacers 80 and gate spacers 86 along the sidewalls of mask 74 . After the planarization process, the top surfaces of dummy gate 72, gate seal spacer 80, gate spacer 86, and first ILD 88 are flush. Therefore, the top surface of dummy gate 72 is exposed through first ILD 88 . In some embodiments, mask 74 may remain, in which case the planarization process makes the top surface of first ILD 88 flush with the top surface of mask 74 .

在第13A圖和第13B圖中,在一個或多個蝕刻步驟中移除虛設閘極72和遮罩74 (若存在),從而形成凹槽90。也可移除凹槽90中一部分的虛設介電層60。在一些實施方式中,只移除虛設閘極72,保留虛設介電層60並從凹槽90暴露出虛設介電層60。在一些實施方式中,從晶片的第一區域(例如,核心邏輯區域)中的凹槽90移除虛設介電層60,並保留晶片的第二區域(例如,輸入/輸出區域)中的凹槽90。在一些實施方式中,通過各向異性乾式蝕刻製程來移除虛設閘極72。舉例來說,蝕刻製程可以包含使用反應氣體的乾式蝕刻製程,其反應氣體選擇性地蝕虛設閘極72,而很少或不蝕刻第一ILD 88或閘極間隔物86。每個凹槽90暴露和/或上覆各自的鰭52的通道區域58。每個通道區域58沉積於相鄰的磊晶源極/汲極區域82對之間。在移除期間,當蝕刻虛設閘極72時,虛設介電層60可用作蝕刻停止層。然後可以在移除虛設閘極72之後,可選地移除虛設介電層60。In Figures 13A and 13B, dummy gate 72 and mask 74 (if present) are removed in one or more etching steps, thereby forming recess 90. A portion of the dummy dielectric layer 60 in the recess 90 may also be removed. In some embodiments, only dummy gate 72 is removed, leaving dummy dielectric layer 60 exposed from groove 90 . In some embodiments, the dummy dielectric layer 60 is removed from the recess 90 in a first area of the wafer (eg, the core logic area), and the recess 90 in a second area of the wafer (eg, the input/output area) remains. slot 90. In some embodiments, dummy gate 72 is removed through an anisotropic dry etching process. For example, the etching process may include a dry etching process using a reactive gas that selectively etches dummy gate 72 with little or no etching of first ILD 88 or gate spacer 86 . Each groove 90 exposes and/or overlies the channel area 58 of a respective fin 52 . Each channel region 58 is deposited between adjacent pairs of epitaxial source/drain regions 82 . During removal, dummy dielectric layer 60 may serve as an etch stop layer when dummy gate 72 is etched. The dummy dielectric layer 60 may then optionally be removed after the dummy gate 72 is removed.

在第14A圖和第14B圖中,形成用於替代閘極的閘極介電層92和閘極94。第14C圖繪示出第14B圖的區域89之詳細視圖。閘極介電層92沉積在一層或多層的凹槽90中,諸如鰭52的頂表面和側壁上、以及閘密封間隔物80/閘極間隔物86的側壁上。閘極介電層92也可形成於第一ILD 88的頂表面上。在一些實施方式中,閘極介電層92包含一個或多個介電層,諸如一或多層的氧化矽、氮化矽、金屬氧化物、金屬矽酸鹽等。舉例來說,在一些實施方式中,閘極介電層92包含通過熱或化學氧化形成的氧化矽的界面層以及上覆的高k介電材料,諸如鉿、鋁、鋯、鑭、錳、鋇、鈦、鉛及其組合的金屬氧化物或矽酸鹽。閘極介電層92可以包含具有大於7.0的k值之介電層。閘極介電層92的形成方法可包含分子束沈積(molecular-beam deposition;MBD)、原子層沉積(atomic layer deposition;ALD)、PECVD和類似方法。在部分虛設介電層60保留在凹槽90中的實施方式中,閘極介電層92包含虛設介電層60的材料(例如,氧化矽等)。In Figures 14A and 14B, a gate dielectric layer 92 and a gate electrode 94 are formed to replace the gate electrode. Figure 14C shows a detailed view of area 89 of Figure 14B. Gate dielectric layer 92 is deposited in one or more layers of recess 90, such as on the top surface and sidewalls of fin 52, and on the sidewalls of gate seal spacers 80/gate spacers 86. Gate dielectric layer 92 may also be formed on the top surface of first ILD 88 . In some embodiments, gate dielectric layer 92 includes one or more dielectric layers, such as one or more layers of silicon oxide, silicon nitride, metal oxides, metal silicate, or the like. For example, in some embodiments, gate dielectric layer 92 includes an interface layer of silicon oxide formed by thermal or chemical oxidation and an overlying high-k dielectric material such as hafnium, aluminum, zirconium, lanthanum, manganese, Metal oxides or silicates of barium, titanium, lead and combinations thereof. Gate dielectric layer 92 may include a dielectric layer having a k value greater than 7.0. The formation method of the gate dielectric layer 92 may include molecular-beam deposition (MBD), atomic layer deposition (ALD), PECVD, and similar methods. In embodiments where a portion of the dummy dielectric layer 60 remains in the recess 90 , the gate dielectric layer 92 includes the material of the dummy dielectric layer 60 (eg, silicon oxide, etc.).

閘極94分別沉積於閘極介電層92上方,並填充凹槽90的剩餘部分。閘極94可以包括含金屬的材料,諸如氮化鈦、氧化鈦、氮化鉭、碳化鉭、鈷、釕、鋁、鎢、其組合或其多層。舉例來說,雖然在第14B圖中繪示出單層的閘極94,但是閘極94可包含任意數量的襯墊層94A、任意數量的功函數調整層94B以及填充材料94C,如第14C圖所示。在填充凹槽90之後,可執行諸如CMP的平坦化製程以移除閘極介電層92以及閘極94的材料之多餘部分,其多餘部分位於第一ILD 88的頂表面上方。閘極94和閘極介電層92的剩餘材料部分因此形成所得的FinFET的替代閘極,且閘極94和閘極介電層92可以統稱為「替代閘極」、「閘極結構」或「閘堆疊」。閘極和閘堆疊可以沿著鰭52的通道區域58的側壁延伸。Gates 94 are respectively deposited over the gate dielectric layer 92 and fill the remaining portion of the recess 90 . Gate 94 may include a metal-containing material such as titanium nitride, titanium oxide, tantalum nitride, tantalum carbide, cobalt, ruthenium, aluminum, tungsten, combinations thereof, or multiple layers thereof. For example, although a single layer of gate 94 is shown in FIG. 14B, gate 94 may include any number of liner layers 94A, any number of work function adjustment layers 94B and filling materials 94C, as shown in FIG. 14C. As shown in the figure. After filling recess 90 , a planarization process such as CMP may be performed to remove gate dielectric layer 92 and excess portions of gate 94 material above the top surface of first ILD 88 . The remaining material portions of gate 94 and gate dielectric layer 92 thus form a replacement gate for the resulting FinFET, and gate 94 and gate dielectric layer 92 may collectively be referred to as a "replacement gate," "gate structure," or "Gate stacking". Gates and gate stacks may extend along the sidewalls of channel regions 58 of fins 52 .

n型區域50N和p型區域50P中的閘極介電層92的形成可以同時發生,使得每個區域中的閘極介電層92由相同的材料形成,並且閘極94的形成可以同時發生,使得每個區域中的閘極94由相同的材料形成。在一些實施方式中,每個區域中的閘極介電層92可通過不同的製程而形成,使得每個區域中的閘極介電層92由不同的材料形成,且/或每個區域中的閘極94可通過不同的製程而形成,使得每個區域中的閘極94由不同的材料形成。當使用不同的製程時,可以使用各種遮蔽步驟(masking step)來遮蔽和暴露適當的區域。Formation of gate dielectric layer 92 in n-type region 50N and p-type region 50P may occur simultaneously, such that gate dielectric layer 92 in each region is formed of the same material, and formation of gate 94 may occur simultaneously. , so that the gate 94 in each area is formed of the same material. In some embodiments, the gate dielectric layer 92 in each region may be formed by a different process, such that the gate dielectric layer 92 in each region is formed of a different material, and/or in each region The gates 94 may be formed through different processes, so that the gates 94 in each region are formed of different materials. When using different processes, various masking steps can be used to mask and expose appropriate areas.

在第15A圖和第15B圖,根據一些實施方式,凹陷中閘堆疊(例如,閘極介電層92和閘極94)且介電層100形成於閘堆疊上方。介電層100可例如通過使閘堆疊凹陷並且在凹陷的閘堆疊上沉積介電層100的介電材料來形成。在一些實施方式中,凹陷閘堆疊於第一ILD 88的頂表面下方。可以使用一個或多個蝕刻製程使閘堆疊凹陷,其蝕刻製程可以包含一個或多個濕式蝕刻製程、乾式蝕刻製程或其組合。一個或多個蝕刻製程可包含各向異性蝕刻製程。In Figures 15A and 15B, according to some embodiments, the gate stack (eg, gate dielectric layer 92 and gate 94) is recessed and dielectric layer 100 is formed over the gate stack. Dielectric layer 100 may be formed, for example, by recessing a gate stack and depositing the dielectric material of dielectric layer 100 on the recessed gate stack. In some embodiments, a recessed gate is stacked below the top surface of the first ILD 88. The gate stack may be recessed using one or more etching processes, which may include one or more wet etching processes, dry etching processes, or a combination thereof. One or more of the etching processes may include an anisotropic etching process.

然後在凹陷的閘堆疊上和第一ILD 88上方形成介電層100。在一些實施方式中,介電層100可包含氮化矽、碳化矽、碳氮化矽、其他類型的氮化物、其組合等,並且可以使用ALD、CVD、PVD、其組合等來形成。在一些情況下,使用無氧材料形成介電層100可以減少閘極94上的氧化。在一些實施方式中,介電層100可包含氧化矽、氮氧化矽、金屬氧化物、其他類型的氧化物、其組合等。介電層100可用自對準方式形成,介電層100的側壁可以與閘密封間隔物80或閘極間隔物86的相應側壁對齊。可執行諸如CMP製程的平坦化製程以移除介電層100的多餘材料(例如,從第一ILD 88上方)。在一些實施方式中,介電層100的頂表面、閘極間隔物86的頂表面以及第一ILD 88的頂表面可以是齊平的。在一些實施方式中,介電層100可以形成為具有在從約10 nm至約20 nm的範圍內的厚度。A dielectric layer 100 is then formed over the recessed gate stack and over the first ILD 88 . In some embodiments, dielectric layer 100 may include silicon nitride, silicon carbide, silicon carbonitride, other types of nitrides, combinations thereof, and the like, and may be formed using ALD, CVD, PVD, combinations thereof, and the like. In some cases, using oxygen-free materials to form dielectric layer 100 may reduce oxidation on gate 94 . In some implementations, dielectric layer 100 may include silicon oxide, silicon oxynitride, metal oxides, other types of oxides, combinations thereof, and the like. The dielectric layer 100 may be formed in a self-aligned manner, and sidewalls of the dielectric layer 100 may be aligned with corresponding sidewalls of the gate seal spacer 80 or the gate spacer 86 . A planarization process, such as a CMP process, may be performed to remove excess material of dielectric layer 100 (eg, from above first ILD 88). In some implementations, the top surfaces of dielectric layer 100, gate spacers 86, and first ILD 88 may be flush. In some embodiments, dielectric layer 100 may be formed to have a thickness ranging from about 10 nm to about 20 nm.

第16A圖至第17B圖繪示出根據一些實施方式的導電特徵122 (參考第17B圖)的形成。導電特徵122提供連至相應的磊晶源極/汲極區域82的電連接,並且在一些情況下可以被認為是「源極/汲極接觸插塞」或類似者。Figures 16A-17B illustrate the formation of conductive features 122 (see Figure 17B) in accordance with some embodiments. Conductive features 122 provide electrical connections to corresponding epitaxial source/drain regions 82, and in some cases may be considered "source/drain contact plugs" or the like.

第16A圖和第16B圖繪示出根據一些實施方式用以形成開口118的第一ILD 88和CESL 87的圖案化製程。開口118可暴露出磊晶源極/汲極區域82的表面。可使用可接受的微影和蝕刻技術來執行圖案化製程。舉例來說,可以在第一ILD 88和介電層100上方形成光阻劑並圖案化。可通過使用例如旋塗技術來形成光阻劑,並使用可接受的微影技術來進行圖案化。使用圖案化的光阻劑當作蝕刻遮罩來執行一個或多個合適的蝕刻製程,從而形成開口118。一個或多個蝕刻製程可以包含濕式和/或乾式蝕刻製程。一個或多個蝕刻製程可以是各向異性的。第16A圖至第16B圖示出具有傾斜側壁的開口118,但開口118也可以具有實質上垂直的側壁、彎曲的側壁或不同於所示出的其他側壁輪廓。Figures 16A and 16B illustrate a patterning process for the first ILD 88 and CESL 87 to form openings 118 in accordance with some embodiments. Openings 118 may expose the surface of epitaxial source/drain region 82 . The patterning process can be performed using acceptable lithography and etching techniques. For example, a photoresist may be formed and patterned over first ILD 88 and dielectric layer 100 . The photoresist can be formed using, for example, spin coating techniques and patterned using acceptable lithography techniques. Openings 118 are formed by performing one or more suitable etching processes using the patterned photoresist as an etch mask. The one or more etching processes may include wet and/or dry etching processes. One or more etching processes may be anisotropic. Figures 16A-16B illustrate opening 118 with sloped sidewalls, but opening 118 may also have substantially vertical sidewalls, curved sidewalls, or other sidewall profiles than shown.

在第17A圖和第17B圖中,根據一些實施方式,矽化物層120和導電特徵122形成於開口118中。可通過例如沉積金屬材料於開口118中而形成矽化物層120。金屬材料可包含Ti、Co、Ni、NiCo、Pt、NiPt、Ir、PtIr、Er、Yb、Pd、Rh、Nb、其組合等,並且可以使用ALD、CVD、PVD、濺鍍、其組合等來形成。隨後,執行退火製程以形成矽化物層120。在磊晶源極/汲極區域82包含矽的實施方式中,退火製程可以使金屬材料與矽反應以在金屬材料和磊晶源極/汲極區域82之間的介面處形成金屬材料的矽化物。在形成矽化物層120之後,可以使用合適的移除製程(例如合適的蝕刻製程)來移除金屬材料的未反應部分。In Figures 17A and 17B, a silicone layer 120 and conductive features 122 are formed in openings 118, according to some embodiments. Silicide layer 120 may be formed, for example, by depositing a metallic material in opening 118 . The metal material may include Ti, Co, Ni, NiCo, Pt, NiPt, Ir, PtIr, Er, Yb, Pd, Rh, Nb, combinations thereof, etc., and may be produced using ALD, CVD, PVD, sputtering, combinations thereof, etc. form. Subsequently, an annealing process is performed to form the silicide layer 120 . In embodiments in which the epitaxial source/drain regions 82 include silicon, the annealing process may react the metallic material with the silicon to form a siliconization of the metallic material at the interface between the metallic material and the epitaxial source/drain regions 82 things. After the silicide layer 120 is formed, a suitable removal process (eg, a suitable etching process) may be used to remove the unreacted portion of the metal material.

在形成矽化物層120之後,形成導電特徵122於開口118中。導電特徵122提供連至相應的磊晶源極/汲極區域82的電連接。在一些實施方式中,導電特徵122是通過形成諸如阻障層、黏合層等的襯墊(未示出)而形成的,並且導電填充材料位於開口118中。舉例來說,可以首先在開口118中形成阻障層。阻障層可以沿著開口118的底部和側壁延伸。阻障層可包含鈦、氮化鈦、鉭、氮化鉭、其組合、其多層等,並且可以通過ALD、CVD、PVD、濺鍍、其組合等來形成。隨後,可以在開口118內的阻障層上方形成黏合層(未單獨示出)。黏合層可包含鈷、釕、其合金、其組合、其多層等,並且可以通過ALD、CVD、PVD、濺鍍、其組合等來形成。在其他實施方式中可以省略阻障層和/或黏合層。After the silicide layer 120 is formed, conductive features 122 are formed in the openings 118 . Conductive features 122 provide electrical connections to corresponding epitaxial source/drain regions 82 . In some embodiments, conductive features 122 are formed by forming a liner (not shown) such as a barrier layer, an adhesive layer, etc., and conductive fill material is located in opening 118 . For example, a barrier layer may be formed first in opening 118 . The barrier layer may extend along the bottom and sidewalls of opening 118 . The barrier layer may include titanium, titanium nitride, tantalum, tantalum nitride, combinations thereof, multilayers thereof, and the like, and may be formed by ALD, CVD, PVD, sputtering, combinations thereof, and the like. Subsequently, an adhesive layer (not shown separately) may be formed over the barrier layer within opening 118 . The bonding layer may include cobalt, ruthenium, alloys thereof, combinations thereof, multiple layers thereof, etc., and may be formed by ALD, CVD, PVD, sputtering, combinations thereof, etc. The barrier layer and/or adhesive layer may be omitted in other embodiments.

然後導電填充材料形成於開口118中以形成導電特徵122。導電填充材料可包含鈷、鎢、釕、銅、其組合、其合金、其多層等,並且可以通過例如電鍍、ALD、CVD、PVD、或其他合適的方法來形成。舉例來說,在一些實施方式中,可以通過首先在開口118內的黏合層上方形成晶種層(未單獨示出)而形成導電填充材料。晶種層可包含銅、鈦、鎳、金、錳、其組合、其多層等,並且可以通過ALD、CVD、PVD、濺鍍、其組合等來形成。然後可以在開口118內的晶種層上方形成導電填充材料。也可能使用用於形成導電填充材料的其他技術。Conductive fill material is then formed in openings 118 to form conductive features 122 . The conductive fill material may include cobalt, tungsten, ruthenium, copper, combinations thereof, alloys thereof, multilayers thereof, etc., and may be formed by, for example, electroplating, ALD, CVD, PVD, or other suitable methods. For example, in some embodiments, the conductive fill material may be formed by first forming a seed layer (not shown separately) over an adhesive layer within opening 118 . The seed layer may include copper, titanium, nickel, gold, manganese, combinations thereof, multiple layers thereof, etc., and may be formed by ALD, CVD, PVD, sputtering, combinations thereof, etc. A conductive fill material may then be formed over the seed layer within opening 118 . Other techniques for forming conductive fill materials may also be used.

在一些實施方式中,導電填充材料過度填充開口118。在形成導電填充材料之後,可執行平坦化製程以移除過度填充開口118之部分的導電填充材料。如果存在阻障層、黏合層和/或晶種層,也可以移除部分的阻障層、黏合層和/或晶種層。剩餘部分的阻障層、黏合層、晶種層以及導電填充材料形成於導電特徵122於開口118中。平坦化製程可包含CMP製程、回蝕製程、研磨製程、其組合等。在執行平坦化製程之後,導電特徵122的頂表面以及介電層100的頂表面可以是實質上齊平的。在其他實施方式中,不執行平坦化製程。在一些實施方式中,在平坦化製程之後執行可選的退火製程以使導電特徵122再結晶、擴大導電特徵122的晶粒結構、減少導電特徵122中的微空隙和/或減少導電特徵122中的雜質。In some embodiments, the conductive fill material overfills opening 118 . After the conductive fill material is formed, a planarization process may be performed to remove portions of the conductive fill material that overfill opening 118 . If a barrier layer, an adhesive layer and/or a seed layer are present, part of the barrier layer, an adhesive layer and/or a seed layer may also be removed. The remaining portions of the barrier layer, adhesion layer, seed layer, and conductive fill material are formed in the conductive features 122 in the openings 118 . The planarization process may include a CMP process, an etch-back process, a grinding process, a combination thereof, etc. After performing the planarization process, the top surfaces of the conductive features 122 and the top surface of the dielectric layer 100 may be substantially flush. In other embodiments, no planarization process is performed. In some embodiments, an optional annealing process is performed after the planarization process to recrystallize the conductive features 122 , expand the grain structure of the conductive features 122 , reduce microvoids in the conductive features 122 , and/or reduce the amount of microvoids in the conductive features 122 . of impurities.

第18A圖和第18B圖繪示出根據一些實施方式的圖案化導電特徵122以形成凹槽123的製程。可以使用可接受的微影和蝕刻技術來執行圖案化。舉例來說,可以在導電特徵122和第一ILD 88上方形成光阻劑並對其進行圖案化。光阻劑可以通過使用例如旋塗技術來形成,並且可以使用可接受的微影技術來圖案化。可以使用圖案化的光阻劑作為蝕刻遮罩來執行一個或多個合適的蝕刻製程從而形成凹槽123。一個或多個蝕刻製程可以包含濕式和/或乾式蝕刻製程。使用的濕蝕刻劑可以是過氧化氫、鹽酸、磷酸、硝酸、氨或其他合適的濕蝕刻劑。使用的乾蝕刻劑可以是氯、氧或其他合適的乾蝕刻劑。濕式蝕刻製程可以在從約室溫至約200 ºC的範圍內的溫度下執行。使用的乾蝕刻劑可以是氯、氟、氫、乙醯丙酮(acetylacetone)、六氟乙醯丙酮(hexafluoroacetylacetone)或其他合適的乾蝕刻劑。乾式蝕刻製程可以在從約室溫至約300 ºC的範圍內的溫度下執行。一個或多個的蝕刻製程可以是各向異性的。在一些實施方式中,可以使用選擇性乾式蝕刻製程,例如使用Cl 2/O 2,而不使用遮罩層。凹槽123的深度可以在從約1 nm至約10 nm的範圍內,但也有可能是其他深度。在一些實施方式中,凹槽123可以暴露出第一ILD 88的側壁。 18A and 18B illustrate a process of patterning conductive features 122 to form grooves 123 in accordance with some embodiments. Patterning can be performed using acceptable lithography and etching techniques. For example, a photoresist may be formed and patterned over conductive features 122 and first ILD 88 . The photoresist can be formed using, for example, spin coating techniques, and can be patterned using acceptable lithography techniques. Grooves 123 may be formed by performing one or more suitable etching processes using patterned photoresist as an etch mask. The one or more etching processes may include wet and/or dry etching processes. The wet etchant used may be hydrogen peroxide, hydrochloric acid, phosphoric acid, nitric acid, ammonia or other suitable wet etchants. The dry etchant used may be chlorine, oxygen or other suitable dry etchants. The wet etching process can be performed at temperatures ranging from about room temperature to about 200 ºC. The dry etchant used may be chlorine, fluorine, hydrogen, acetylacetone, hexafluoroacetylacetone or other suitable dry etchants. The dry etching process can be performed at temperatures ranging from about room temperature to about 300 ºC. One or more of the etching processes may be anisotropic. In some embodiments, a selective dry etching process, such as Cl 2 /O 2 , can be used without using a mask layer. The depth of groove 123 may range from about 1 nm to about 10 nm, although other depths are possible. In some implementations, groove 123 may expose the sidewalls of first ILD 88 .

在第19A圖和第19B圖中,在第18A圖和第18B圖所示的結構上沉積蝕刻停止層124 (蝕刻停止層124也可稱為「介電帽(dielectric helmet)」)。在一些實施方式中,蝕刻停止層124可以完全填充於凹槽123中。如以下更詳細討論,第二介電層126 (如第21A圖至第21B圖所示)將形成於蝕刻停止層124上方,且導電特徵142 (如第26A圖至第26B圖所示)將穿過第二介電層126以接觸導電特徵122,其中蝕刻停止層124將在蝕刻製程期間當作蝕刻停止層。蝕刻停止層124可由具有比隨後形成的介電層126低的蝕刻速率的介電材料形成。介電材料可以是氧化鋁、氮化鋁、氮化鎢、氧化鉬、氮化鉬、氮化硼等,並且可以通過任何合適的方法沉積,諸如ALD、CVD、電漿增強ALD (plasma-enhanced ALD;PEALD)或PECVD。可以使用通過任何可接受的製程所形成的其他介電材料。在一些實施方式中,用於形成蝕刻停止層124的材料不同於用於形成介電層100的材料。在一些實施方式中,在從約250ºC至約500ºC的範圍內的溫度下,使用三甲基鋁(trimethylaluminium;TMA)和烴基醇(hydrocarbon-based alcohol)作為ALD的前驅物來沉積氧化鋁。烴基醇可以在沉積過程中當作氧源(oxygen source),這是因為烴基醇的氧化強度比氧氣、臭氧、水和一氧化二氮(nitrous oxide)等氧源弱。烴基醇的較弱氧化強度可有助於減少導電特徵122中的氧化。在一些實施方式中,ALD或CVD可用於氧化鋁的沉積。在這種情況下,氧源由遠程電漿(remote plasma)提供,這也有助於減少導電特徵122中的氧化並增加蝕刻停止層124的沉積速率。在一些實施方式中,在從約250 ºC至約500 ºC的範圍內的溫度下,使用含有三甲基鋁(TMA)和氨作為ALD的前驅物來沉積氮化鋁。In Figures 19A and 19B, an etch stop layer 124 (the etch stop layer 124 may also be called a "dielectric helmet") is deposited on the structure shown in Figures 18A and 18B. In some implementations, etch stop layer 124 may completely fill groove 123 . As discussed in greater detail below, second dielectric layer 126 (shown in Figures 21A-21B) will be formed over etch stop layer 124, and conductive features 142 (shown in Figures 26A-26B) will Through the second dielectric layer 126 to contact the conductive features 122, the etch stop layer 124 will act as an etch stop during the etching process. Etch stop layer 124 may be formed from a dielectric material that has a lower etch rate than subsequently formed dielectric layer 126 . The dielectric material may be aluminum oxide, aluminum nitride, tungsten nitride, molybdenum oxide, molybdenum nitride, boron nitride, etc., and may be deposited by any suitable method, such as ALD, CVD, plasma-enhanced ALD ALD;PEALD) or PECVD. Other dielectric materials formed by any acceptable process may be used. In some implementations, the material used to form etch stop layer 124 is different from the material used to form dielectric layer 100 . In some embodiments, alumina is deposited using trimethylaluminium (TMA) and hydrocarbon-based alcohol as ALD precursors at temperatures ranging from about 250°C to about 500°C. Hydrocarbyl alcohols can be used as oxygen sources during the deposition process because the oxidation strength of hydrocarbyl alcohols is weaker than that of oxygen sources such as oxygen, ozone, water, and nitrous oxide. The weaker oxidative strength of hydrocarbyl alcohols may help reduce oxidation in conductive features 122 . In some embodiments, ALD or CVD may be used for the deposition of aluminum oxide. In this case, the oxygen source is provided by a remote plasma, which also helps reduce oxidation in conductive features 122 and increases the deposition rate of etch stop layer 124 . In some embodiments, aluminum nitride is deposited using a precursor containing trimethylaluminum (TMA) and ammonia as ALD at a temperature ranging from about 250 ºC to about 500 ºC.

在第20A圖和第20B圖中,執行諸如CMP的平坦化製程以移除蝕刻停止層124的多餘材料(例如,從介電層100上方)。在一些實施方式中,在平坦化製程之後,蝕刻停止層124、第一ILD 88、CESL 87、閘極間隔物86、閘密封間隔物80以及介電層100的頂表面可以是齊平的。蝕刻停止層124的厚度可以在從約1 nm至約10 nm的範圍內,但也有可能是其他厚度。在一些實施方式中,蝕刻停止層124的厚度不同於介電層100的厚度。在一些實施方式中,蝕刻停止層124的厚度小於介電層100的厚度。在一些實施方式中,蝕刻停止層124可以在第一ILD 88的側壁之間延伸和/或與第一ILD 88的側壁物理接觸。蝕刻停止層124可以具有各種頂表面輪廓,之後將於第29A圖、第29B圖和第29C圖中進行更詳細的討論。In Figures 20A and 20B, a planarization process, such as CMP, is performed to remove excess material of etch stop layer 124 (eg, from above dielectric layer 100). In some embodiments, after the planarization process, the etch stop layer 124, the first ILD 88, the CESL 87, the gate spacer 86, the gate seal spacer 80, and the top surface of the dielectric layer 100 may be flush. The thickness of etch stop layer 124 may range from about 1 nm to about 10 nm, although other thicknesses are possible. In some implementations, the thickness of etch stop layer 124 is different than the thickness of dielectric layer 100 . In some implementations, the thickness of etch stop layer 124 is less than the thickness of dielectric layer 100 . In some implementations, etch stop layer 124 may extend between and/or be in physical contact with the sidewalls of first ILD 88 . Etch stop layer 124 may have various top surface profiles, discussed in greater detail later in Figures 29A, 29B, and 29C.

在第21A圖和第21B圖中,第二ILD 126形成於第一ILD 88、介電層100和蝕刻停止層124上方。在一些實施方式中,第二ILD 126可以是相似於第一ILD 88的材料,並且可以用相似的方式形成。舉例來說,第二ILD 126可以由諸如氧化物、PSG、BSG、BPSG、USG等的介電材料形成,並且可以通過諸如CVD、PECVD或FCVD的任何合適的方法來沉積。第二ILD 126可以具有各種頂表面和底表面輪廓,之後將於第30A圖、第30B圖和第30C圖中進行更詳細的討論。在形成第二ILD 126之後,可以執行退火製程。在一些實施方式中,在從約250 ºC至約450 ºC的範圍內的溫度下執行退火製程。在一些實施方式中,退火製程可以執行約1分鐘至約1小時範圍內的持續時間。第二ILD 126在退火後可以具有各種頂表面和底表面輪廓,之後將於第31A圖、第31B圖和第31C圖中進行更詳細的討論。In Figures 21A and 21B, the second ILD 126 is formed over the first ILD 88, the dielectric layer 100 and the etch stop layer 124. In some implementations, second ILD 126 may be of a similar material to first ILD 88 and may be formed in a similar manner. For example, second ILD 126 may be formed from a dielectric material such as oxide, PSG, BSG, BPSG, USG, etc., and may be deposited by any suitable method such as CVD, PECVD, or FCVD. The second ILD 126 may have various top and bottom surface profiles, discussed in more detail later in Figures 30A, 30B, and 30C. After the second ILD 126 is formed, an annealing process may be performed. In some embodiments, the annealing process is performed at a temperature ranging from about 250 ºC to about 450 ºC. In some embodiments, the annealing process may be performed for a duration ranging from about 1 minute to about 1 hour. The second ILD 126 can have various top and bottom surface profiles after annealing, as discussed in more detail later in Figures 31A, 31B, and 31C.

在一些實施方式中,選擇蝕刻停止層124的材料和/或第二ILD 126的材料,使得在隨後形成穿過第二ILD 126的開口134和開口135 (如第24A圖至第24B圖所示)的蝕刻製程期間,蝕刻停止層124的蝕刻速率小於第二ILD 126的蝕刻速率。因為蝕刻停止層124,所以第二ILD 126可以形成於第一ILD 88、介電層100以及導電特徵122上方,而不用沉積毯式蝕刻停止層(blanket etch stop layer),從而允許更薄的整體元件。In some embodiments, the material of etch stop layer 124 and/or the material of second ILD 126 is selected such that openings 134 and 135 are subsequently formed through second ILD 126 (as shown in Figures 24A-24B ), the etching rate of the etch stop layer 124 is less than the etching rate of the second ILD 126 . Because of etch stop layer 124, second ILD 126 can be formed over first ILD 88, dielectric layer 100, and conductive features 122 without depositing a blanket etch stop layer, allowing for a thinner overall element.

第22A圖和第22B圖繪示出根據一些實施方式的第二ILD 126和介電層100的圖案化以形成開口130和開口131。開口130和開口131延伸穿過第二ILD 126和介電層100以暴露閘極94的頂表面。可使用可接受的微影和蝕刻技術來圖案化第二ILD 126和介電層100。舉例來說,第一光阻劑128可形成於第二ILD 126上方,並且使用合適的微影技術進行圖案化。第一光阻劑128可以為單層或是多層光阻劑結構,並且可使用諸如旋塗或沉積技術之合適的技術來沉積。然後可以使用圖案化的第一光阻劑128作為蝕刻遮罩並且介電層100(也可稱為蝕刻停止層)作為蝕刻停止層來執行一個或多個合適的蝕刻製程,同時蝕刻穿過第二ILD 126,從而形成開口130和開口131。一個或多個蝕刻製程可以包含濕式和/或乾式蝕刻製程。第22A圖和第22B圖示出開口130和開口131具有傾斜的側壁,但是在其他實施方式中,開口130或開口131可以具有實質上垂直的側壁、彎曲的側壁或其他側壁輪廓。可以使用諸如灰化或蝕刻製程來移除第一光阻劑128。Figures 22A and 22B illustrate patterning of second ILD 126 and dielectric layer 100 to form openings 130 and 131 in accordance with some embodiments. Openings 130 and 131 extend through second ILD 126 and dielectric layer 100 to expose the top surface of gate 94 . Second ILD 126 and dielectric layer 100 may be patterned using acceptable lithography and etching techniques. For example, a first photoresist 128 may be formed over the second ILD 126 and patterned using a suitable lithography technique. The first photoresist 128 may be a single layer or a multi-layer photoresist structure and may be deposited using a suitable technique such as spin coating or deposition techniques. One or more suitable etching processes may then be performed using the patterned first photoresist 128 as an etch mask and the dielectric layer 100 (which may also be referred to as an etch stop layer) as an etch stop layer while etching through the first Two ILDs 126 are formed, thereby forming openings 130 and 131 . The one or more etching processes may include wet and/or dry etching processes. Figures 22A and 22B illustrate openings 130 and 131 having sloped sidewalls, but in other embodiments, openings 130 or 131 may have substantially vertical sidewalls, curved sidewalls, or other sidewall profiles. The first photoresist 128 may be removed using a process such as ashing or etching.

如前所述,通過在導電特徵122上方形成蝕刻停止層124,可以在第一ILD 88、介電層100以及導電特徵122上方形成第二ILD 126,而不用沉積毯式蝕刻停止層,從而減小在形成開口130和開口131時需要蝕刻的閘極94上方的層的厚度。減小此厚度可導致開口130和開口131的輪廓更好。As previously discussed, by forming etch stop layer 124 over conductive features 122, second ILD 126 can be formed over first ILD 88, dielectric layer 100, and conductive features 122 without depositing a blanket etch stop layer, thereby reducing Minimize the thickness of the layer above gate 94 that needs to be etched when forming openings 130 and 131 . Reducing this thickness may result in a better profile of openings 130 and 131 .

在第23A圖和第23B圖中,根據一些實施方式,第二光阻劑132形成於第二ILD 126上方且在開口130和開口131中。第二光阻劑132可以為單層或是多層光阻劑結構,而且可使用諸如旋塗或沉積技術的合適的技術來沉積。如第23B圖所示,第二光阻劑132可以過度填充開口130和開口131並在第二ILD 126上方延伸。In Figures 23A and 23B, a second photoresist 132 is formed over the second ILD 126 and in the openings 130 and 131, according to some embodiments. The second photoresist 132 may be a single layer or a multi-layer photoresist structure and may be deposited using a suitable technique such as spin coating or deposition techniques. As shown in FIG. 23B , the second photoresist 132 may overfill the openings 130 and 131 and extend over the second ILD 126 .

第24A圖和第24B圖繪示出根據一些實施方式的第二光阻劑132、第二ILD 126以及蝕刻停止層124的圖案化以形成開口134和開口135。開口134和開口135延伸穿過第二ILD 126和蝕刻停止層124以暴露出導電特徵122的頂表面。可使用可接受的微影和蝕刻技術來圖案化第二光阻劑132、第二ILD 126以及蝕刻停止層124。然後可以使用圖案化的第二光阻劑132作為蝕刻遮罩來執行一個或多個合適的蝕刻製程,從而形成開口134和開口135。一個或多個蝕刻製程可以包含濕式和/或乾式蝕刻製程。Figures 24A and 24B illustrate patterning of second photoresist 132, second ILD 126, and etch stop layer 124 to form openings 134 and 135 in accordance with some embodiments. Openings 134 and 135 extend through second ILD 126 and etch stop layer 124 to expose top surfaces of conductive features 122 . The second photoresist 132, the second ILD 126, and the etch stop layer 124 may be patterned using acceptable lithography and etching techniques. One or more suitable etching processes may then be performed using patterned second photoresist 132 as an etch mask to form openings 134 and 135 . The one or more etching processes may include wet and/or dry etching processes.

在一些實施方式中,可使用蝕刻製程來蝕刻第二ILD 126。在一些實施方式中,使用了利用CF 4/H 2/N 2/Ar、NF 2/H 2/N 2/Ar或類似者的氣體混合物的乾式蝕刻製程。蝕刻製程可以在從約50W至約1000W的範圍內的功率以及在從約-20℃至約200℃的範圍內的溫度下執行。在蝕刻製程期間,第二ILD 126的蝕刻速率超過蝕刻停止層124的蝕刻速率且可以在從約4:1至約1000:1的範圍內。因此,蝕刻製程可以移除部分的第二ILD 126,然後在蝕刻停止層124處停止或減慢,這減少了導電特徵122的過度蝕刻的機會,因此減少了形成洩漏(leakage)路徑或其他缺陷的機會。 In some implementations, an etching process may be used to etch the second ILD 126 . In some embodiments, a dry etching process utilizing gas mixtures of CF 4 /H 2 /N 2 /Ar, NF 2 /H 2 /N 2 /Ar, or the like is used. The etching process may be performed at a power ranging from about 50W to about 1000W and at a temperature ranging from about -20°C to about 200°C. During the etching process, the etch rate of the second ILD 126 exceeds the etch rate of the etch stop layer 124 and may range from about 4:1 to about 1000:1. Accordingly, the etch process may remove portions of the second ILD 126 and then stop or slow down at the etch stop layer 124 , which reduces the chance of over-etching the conductive features 122 and therefore reduces the formation of leakage paths or other defects. opportunity.

可以執行單獨的蝕刻製程以移除部分的蝕刻停止層124,並暴露導電特徵122的頂表面。單獨的蝕刻製程可以使用與用於蝕刻第二ILD 126的蝕刻製程不同的蝕刻劑。在一些實施方式中,蝕刻停止層124可以使用例如N 2/H 2/O 2或類似者的氣體混合物進行乾式蝕刻。乾式蝕刻製程可以在從約50W至約1000W的範圍內的功率以及在從約-20℃至約200℃的範圍內的溫度下執行。在一些實施方式中,使用氟化氫、過氧化氫、水、螯合劑等的濕式蝕刻製程來蝕刻蝕刻停止層124。濕式蝕刻製程可以在從約0℃至約100℃的範圍內的溫度下執行。 A separate etch process may be performed to remove portions of etch stop layer 124 and expose the top surfaces of conductive features 122 . A separate etch process may use a different etchant than the etch process used to etch the second ILD 126 . In some embodiments, etch stop layer 124 may be dry etched using a gas mixture such as N2 / H2 / O2 or the like. The dry etching process may be performed at a power ranging from about 50W to about 1000W and at a temperature ranging from about -20°C to about 200°C. In some embodiments, the etch stop layer 124 is etched using a wet etching process using hydrogen fluoride, hydrogen peroxide, water, chelating agents, or the like. The wet etching process may be performed at temperatures ranging from about 0°C to about 100°C.

在一些實施方式中,開口134或開口135可暴露出蝕刻停止層124的側壁。在一些實施方式中,開口134或開口135可暴露出第一ILD 88的側壁。在一些實施方式中,開口134或開口135可暴露出CESL 87的側壁。第24A圖和第24B圖示出具有傾斜的側壁的開口134和開口135,但在其他實施方式,開口134或開口135可以具有實質上垂直的側壁、彎曲的側壁或其他的側壁輪廓。In some implementations, opening 134 or opening 135 may expose sidewalls of etch stop layer 124 . In some implementations, opening 134 or opening 135 may expose the sidewalls of first ILD 88 . In some embodiments, opening 134 or opening 135 may expose the sidewalls of CESL 87. Figures 24A and 24B illustrate openings 134 and 135 with sloped sidewalls, but in other embodiments, openings 134 or 135 may have substantially vertical sidewalls, curved sidewalls, or other sidewall profiles.

在一些實施方式中,一或多個蝕刻製程以形成用於對接接觸(butted contact)的開口,例如,與導電特徵122 (耦合到源極/汲極區域82)和相鄰的閘極94的接合或組合接觸。舉例來說,第24B圖所示的開口135繪示為開口135重疊圖中左側的閘極94上方的第二光阻劑132的一個實施例。在隨後的處理中,移除第二光阻劑132,從而形成暴露出閘極94和導電特徵122的開口。各層(例如,閘密封間隔物80、閘極間隔物86、CESL 87、第一ILD 88、介電層100和/或第二光阻劑132的材料的蝕刻速率可以不同於蝕刻停止層124的材料的蝕刻速率。蝕刻速率的差異可能導致閘密封間隔物80、閘極間隔物86、CESL 87、第一ILD 88、介電層100和/或第二光阻劑132的上表面相同於、高於或低於導電特徵122的上表面。第24B圖繪示出閘密封間隔物80、閘極間隔物86、CESL 87、第一ILD 88、介電層100和/或第二光阻劑132的蝕刻速率小於蝕刻停止層124的蝕刻速率小於的一個實施例。In some embodiments, one or more etching processes are performed to form openings for butted contacts, such as with conductive features 122 (coupled to source/drain regions 82 ) and adjacent gate 94 Joint or combined contact. For example, the opening 135 shown in FIG. 24B illustrates an embodiment in which the opening 135 overlaps the second photoresist 132 above the gate 94 on the left side of the figure. In subsequent processing, second photoresist 132 is removed, thereby forming openings exposing gate 94 and conductive features 122 . The etch rate of the materials of each layer (e.g., gate seal spacer 80 , gate spacer 86 , CESL 87 , first ILD 88 , dielectric layer 100 and/or second photoresist 132 ) may be different than that of etch stop layer 124 Etch rate of the material. The difference in etch rate may cause the upper surface of the gate seal spacer 80, the gate spacer 86, the CESL 87, the first ILD 88, the dielectric layer 100 and/or the second photoresist 132 to be the same as, above or below the upper surface of conductive feature 122. Figure 24B illustrates gate seal spacer 80, gate spacer 86, CESL 87, first ILD 88, dielectric layer 100 and/or second photoresist An embodiment in which the etch rate of etch stop layer 132 is less than that of etch stop layer 124 .

在第25A圖和第25B圖中,根據一些實施方式,第二光阻劑132被移除,形成開口130、開口134和開口136。可通過使用諸如灰化或蝕刻等之合適的技術來移除第二光阻劑132。如第25A圖和第25B圖所示,移除第二光阻劑132並露出先前形成的開口130,開口130暴露出閘極94的頂表面。由於先前形成的開口131和開口135之間的重疊,移除第二光阻劑132而形成組合開口136,組合開口136暴露出先前由開口131所暴露出的閘極94的頂表面以及先前由開口135所暴露出的導電特徵122的頂表面。開口134仍然暴露導電特徵122的頂表面。在一些實施方式中,在移除第二光阻劑132之前和/或之後執行濕式清潔製程。In Figures 25A and 25B, second photoresist 132 is removed, forming openings 130, 134, and 136, according to some embodiments. The second photoresist 132 may be removed using a suitable technique such as ashing or etching. As shown in FIGS. 25A and 25B , the second photoresist 132 is removed and the previously formed opening 130 is exposed, which exposes the top surface of the gate 94 . Due to the overlap between the previously formed opening 131 and the opening 135, the second photoresist 132 is removed to form the combined opening 136, which exposes the top surface of the gate 94 previously exposed by the opening 131 and the top surface of the gate 94 previously exposed by the opening 131. Opening 135 exposes the top surface of conductive feature 122 . Opening 134 still exposes the top surface of conductive feature 122 . In some embodiments, a wet cleaning process is performed before and/or after removing the second photoresist 132 .

在第26A圖和第26B圖中,導電特徵140、導電特徵142和組合導電特徵144分別形成於開口130、開口134和組合開口136中。在一些實施方式中,形成導電特徵140、142、144可包含形成襯墊(未示出)(諸如阻障層、黏合層等)以及導電材料於開口130、開口134和開口136中。襯墊可以包含鈦、氮化鈦、鉭、氮化鉭等。導電材料可以是銅、銅合金、銀、金、鎢、鈷、鋁、鎳等。可執行諸如CMP的平坦化製程以從第二ILD 126的表面移除多餘的材料。剩餘的襯墊和導電材料形成導電特徵140、142、144。導電特徵140、142、144可以在不同的製程中形成或是可以在相同的製程中形成。儘管示出為形成在相同的橫截面中,但應當理解,導電特徵140、導電特徵142和/或導電特徵144可以形成在不同的橫截面中,這可以避免/降低短路的風險。導電特徵142可以具有各種寬度,將於第32A圖、第32B圖和第32C圖中進行更詳細的討論。In Figures 26A and 26B, conductive features 140, 142, and combined conductive features 144 are formed in openings 130, 134, and combined openings 136, respectively. In some embodiments, forming conductive features 140 , 142 , 144 may include forming gaskets (not shown) (such as barrier layers, adhesive layers, etc.) and conductive materials in openings 130 , 134 , and 136 . The liner may contain titanium, titanium nitride, tantalum, tantalum nitride, etc. The conductive material can be copper, copper alloy, silver, gold, tungsten, cobalt, aluminum, nickel, etc. A planarization process such as CMP may be performed to remove excess material from the surface of the second ILD 126 . The remaining pads and conductive material form conductive features 140, 142, 144. Conductive features 140, 142, 144 may be formed in different processes or may be formed in the same process. Although shown as being formed in the same cross-section, it should be understood that conductive features 140 , 142 , and/or conductive features 144 may be formed in different cross-sections, which may avoid/reduce the risk of short circuits. Conductive features 142 may have various widths, as discussed in greater detail in Figures 32A, 32B, and 32C.

導電特徵140與閘極94電連接。因此,在一些情況下,導電特徵140可以稱為閘極接觸或閘極接觸插塞。導電特徵142與電連接到磊晶源極/汲極區域82的導電特徵122電連接。因此,在一些情況下,導電特徵142和下覆的導電特徵122的組合也可以稱為源極/汲極接觸或源極/汲極接觸插塞。組合導電特徵144電連接到閘極94和磊晶源極/汲極區域82 (通過導電特徵122)。以此方式,可以形成包含閘極接觸插塞以及源極/汲極接觸插塞的FinFET元件。如前所述,通過在導電特徵122上方形成蝕刻停止層124,可以在第一ILD 88、介電層100和導電特徵122上方形成第二ILD 126,而不用沉積毯式蝕刻停止層,這可以提供導電特徵140和組合導電特徵144的更好的輪廓,並導致更好的電連接。Conductive feature 140 is electrically connected to gate 94 . Therefore, in some cases, conductive features 140 may be referred to as gate contacts or gate contact plugs. Conductive features 142 are electrically connected to conductive features 122 that are electrically connected to epitaxial source/drain regions 82 . Therefore, in some cases, the combination of conductive feature 142 and underlying conductive feature 122 may also be referred to as a source/drain contact or source/drain contact plug. Combined conductive feature 144 is electrically connected to gate 94 and epitaxial source/drain region 82 (via conductive feature 122). In this manner, a FinFET device can be formed that includes gate contact plugs and source/drain contact plugs. As previously described, by forming etch stop layer 124 over conductive features 122, second ILD 126 can be formed over first ILD 88, dielectric layer 100, and conductive features 122 without depositing a blanket etch stop layer, which can This provides better contouring of conductive features 140 and combined conductive features 144 and results in better electrical connection.

在第27A圖和第27B圖中,包含一層或多層導電特徵的互連結構形成於導電特徵140、142、144上方,並且電連接到導電特徵140、142、144。在一些實施方式中,互連結構包含複數個介電層(諸如金屬間介電層(inter-metal dielectric;IMD))以及提供各種電互連的IMD內的導電特徵。第27A圖和第27B圖繪示出包含具有導電特徵150的一個IMD 152以及具有導電特徵154的一個IMD 155之實施例,但是在其他實施方式中可以形成更多或更少的IMD或導電特徵。導電特徵150和導電特徵154可包含電佈線、導電通孔、導線等,並且可以使用單鑲嵌法、雙鑲嵌法、其組合等來形成。In Figures 27A and 27B, an interconnect structure including one or more layers of conductive features is formed over and electrically connected to the conductive features 140, 142, 144. In some embodiments, the interconnect structure includes a plurality of dielectric layers, such as inter-metal dielectric (IMD) layers, and conductive features within the IMD that provide various electrical interconnections. Figures 27A and 27B illustrate embodiments that include one IMD 152 with conductive features 150 and one IMD 155 with conductive features 154, although more or fewer IMDs or conductive features may be formed in other embodiments. . Conductive features 150 and 154 may include electrical routing, conductive vias, wires, etc., and may be formed using single damascene methods, dual damascene methods, combinations thereof, and the like.

在一些實施方式中,可以先沉積蝕刻停止層151於第二ILD 126和導電特徵140、142、144上方。蝕刻停止層151可包含諸如氮化矽、氮氧化矽、氧化鋁、氮化鋁等或其組合之材料。也有可能是其他材料。然後可以在蝕刻停止層151上方形成IMD 152。IMD 152的材料可以是類似於第一ILD 88或第二ILD 126所描述的材料,並且可以用類似的方式形成。在一些實施方式中,IMD 152可以由具有低於約3.5的k值的低k介電材料形成。也有可能是其他材料或技術。然後可以在IMD 152和蝕刻停止層151中圖案化開口以暴露導電特徵140、142和/或144的表面。可以首先在開口中形成可選的襯墊(未示出),其可以類似於前述的導電特徵140、142、144的襯墊。可以在開口內沉積導電材料以形成導電特徵150。導電材料可以類似於導電特徵140、142、144所描述的那些材料,並且可以用類似的方式形成。也有可能是其他導電材料或技術。可以執行平坦化製程以從IMD 152移除多餘的導電材料。第27A圖和第27B圖示出具有傾斜的側壁的導電特徵150,但在其他實施方式,導電特徵150可以具有實質上垂直的側壁、彎曲的側壁或其他的側壁輪廓。In some embodiments, an etch stop layer 151 may be deposited first over the second ILD 126 and conductive features 140, 142, 144. The etch stop layer 151 may include materials such as silicon nitride, silicon oxynitride, aluminum oxide, aluminum nitride, etc. or combinations thereof. Other materials are also possible. IMD 152 may then be formed over etch stop layer 151 . The material of IMD 152 may be similar to that described for first ILD 88 or second ILD 126, and may be formed in a similar manner. In some implementations, IMD 152 may be formed from a low-k dielectric material having a k value below about 3.5. Other materials or technologies are also possible. Openings may then be patterned in IMD 152 and etch stop layer 151 to expose the surfaces of conductive features 140, 142, and/or 144. An optional pad (not shown) may first be formed in the opening, which may be similar to the pads of conductive features 140, 142, 144 described previously. Conductive material may be deposited within the openings to form conductive features 150 . The conductive materials may be similar to those described for conductive features 140, 142, 144, and may be formed in a similar manner. Other conductive materials or technologies are also possible. A planarization process may be performed to remove excess conductive material from IMD 152 . Figures 27A and 27B illustrate conductive features 150 having sloped sidewalls, but in other embodiments, conductive features 150 may have substantially vertical sidewalls, curved sidewalls, or other sidewall profiles.

導電特徵154的形成方式可類似於導電特徵150的形成方式。舉例來說,蝕刻停止層153可形成於IMD 152和導電特徵150上方,且IMD 155可形成於IMD 152上方。可以圖案化蝕刻停止層153和IMD 152以形成複數個開口。一些開口可暴露出導電特徵150。然後沉積襯墊和導電材料於開口中,並且可以執行CMP製程以移除多餘的材料。第27A圖和第27B圖示出具有傾斜的側壁的導電特徵154,但在其他實施方式,導電特徵154可以具有實質上垂直的側壁、彎曲的側壁或其他的側壁輪廓。Conductive features 154 may be formed in a manner similar to the manner in which conductive features 150 are formed. For example, etch stop layer 153 may be formed over IMD 152 and conductive features 150 , and IMD 155 may be formed over IMD 152 . Etch stop layer 153 and IMD 152 may be patterned to form a plurality of openings. Some openings may expose conductive features 150 . Liners and conductive materials are then deposited in the openings, and a CMP process can be performed to remove excess material. Figures 27A and 27B illustrate conductive features 154 with sloped sidewalls, but in other embodiments, conductive features 154 may have substantially vertical sidewalls, curved sidewalls, or other sidewall profiles.

本文討論的FinFET實施方式的某些特徵也可以應用於奈米結構於元件,諸如NFET/NSFET。作為實施例,第28A圖和第28B圖是根據一些實施方式的NSFET元件的剖面示意圖。NSFET元件相似於第27A圖和第27B圖中所示的FinFET元件。因此,第27A圖至第27B圖以及第28A圖至第28B圖中的類似特徵標記為類似的參考數字。NSFET元件的通道區域包含被鰭52分離且被各自的閘堆疊(例如,閘極介電層92和閘極94)包圍的奈米結構160,如第28A圖所示。奈米結構160可包含奈米片、奈米線或類似者。奈米結構160和基板50可以包含相似的半導體材料或是不同的半導體材料。在一些實施方式中,部分的閘堆疊插入於相鄰的奈米結構160之間,且間隔物162插入於部分的閘堆疊和磊晶源極/汲極區域82之間,如第28B圖所示。間隔物162可以當作在閘堆疊和磊晶源極/汲極區域82之間的隔離特徵。在一些實施方式中,間隔物162包含諸如氮化矽或氮氧化矽的材料,雖然也可以利用諸如低k介電材料之任何合適的材料。接觸閘極的頂表面以及導電特徵122的頂表面的導電特徵140、142、144的形成方式可以用類似於前述討論的FinFET實施方式的形成方式。在一些實施方式中,蝕刻停止層124可以形成於導電特徵122的頂表面,其可以與前述討論的FinFET實施方式類似的方式形成而起到類似的目的。Certain features of the FinFET implementations discussed herein may also be applied to nanostructured devices, such as NFETs/NSFETs. As an example, Figures 28A and 28B are schematic cross-sectional views of NSFET devices according to some embodiments. NSFET devices are similar to the FinFET devices shown in Figures 27A and 27B. Therefore, similar features in Figures 27A-27B and Figures 28A-28B are labeled with similar reference numbers. The channel region of the NSFET device contains nanostructures 160 separated by fins 52 and surrounded by respective gate stacks (eg, gate dielectric 92 and gate 94), as shown in Figure 28A. Nanostructures 160 may include nanosheets, nanowires, or the like. Nanostructures 160 and substrate 50 may include similar semiconductor materials or different semiconductor materials. In some embodiments, a portion of the gate stack is interposed between adjacent nanostructures 160, and a spacer 162 is interposed between a portion of the gate stack and the epitaxial source/drain region 82, as shown in Figure 28B Show. Spacers 162 may serve as isolation features between the gate stack and epitaxial source/drain regions 82 . In some embodiments, spacers 162 comprise a material such as silicon nitride or silicon oxynitride, although any suitable material such as a low-k dielectric material may be utilized. The conductive features 140, 142, 144 that contact the top surface of the gate and the top surface of the conductive feature 122 may be formed in a manner similar to the FinFET implementation discussed previously. In some implementations, an etch stop layer 124 may be formed on the top surface of the conductive features 122, which may be formed in a similar manner to serve a similar purpose as the previously discussed FinFET implementations.

第29A圖、第29B圖和第29C圖繪示出根據一些實施方式在前述討論的平坦化製程之後,蝕刻停止層124的各種頂表面輪廓。第29A圖、第29B圖和第29C圖中所示的結構為第20B圖中的一部分結構,其中蝕刻停止層124的頂表面具有不同的頂表面輪廓。舉例來說,第29A圖繪示出具有平坦頂表面的蝕刻停止層124,其中蝕刻停止層124、第一ILD 88、CESL 87、閘極間隔物86、閘密封間隔物80以及介電層100的頂表面可以保持齊平。在一些情況下,當蝕刻停止層124被蝕刻通過時,形成為具有平坦頂表面的蝕刻停止層124或是形成為具有均勻厚度的蝕刻停止層124導致更好地控制蝕刻製程,從而導致導電特徵142之更好的蝕刻輪廓,如第26B圖所示。第29B圖繪示出具有凸形或突出頂表面的蝕刻停止層124,使得蝕刻停止層124的頂表面延伸至蝕刻停止層124、第一ILD 88、CESL 87、閘極間隔物86、閘密封間隔物80和/或介電層100的頂表面上方。第29C圖繪示出具有凹形或凹陷頂表面的蝕刻停止層124,使得蝕刻停止層124的頂表面延伸至蝕刻停止層124、第一ILD 88、CESL 87、閘極間隔物86、閘密封間隔物80以及介電層100的頂表面下方。Figures 29A, 29B, and 29C illustrate various top surface profiles of the etch stop layer 124 after the planarization process discussed above, according to some embodiments. The structures shown in Figures 29A, 29B, and 29C are part of the structure in Figure 20B, in which the top surface of the etch stop layer 124 has a different top surface profile. For example, Figure 29A illustrates etch stop layer 124 with a flat top surface, wherein etch stop layer 124, first ILD 88, CESL 87, gate spacer 86, gate seal spacer 80, and dielectric layer 100 The top surface can remain flush. In some cases, forming the etch stop layer 124 with a flat top surface or forming the etch stop layer 124 with a uniform thickness results in better control of the etching process as the etch stop layer 124 is etched through, resulting in conductive features. A better etching profile of 142, as shown in Figure 26B. Figure 29B illustrates etch stop layer 124 having a convex or protruding top surface such that the top surface of etch stop layer 124 extends to etch stop layer 124, first ILD 88, CESL 87, gate spacer 86, gate seal above the top surface of spacers 80 and/or dielectric layer 100 . Figure 29C illustrates etch stop layer 124 having a concave or recessed top surface such that the top surface of etch stop layer 124 extends to etch stop layer 124, first ILD 88, CESL 87, gate spacer 86, gate seal Spacers 80 and below the top surface of dielectric layer 100 .

在一些實施方式中,蝕刻停止層124的頂表面輪廓可以通過控制蝕刻停止層124的拋光速率(R1)和周圍層的拋光速率(R2)來控制,周圍層諸如第一ILD 88、CESL 87、閘極間隔物86、閘密封間隔物80和/或介電層100。在一些實施方式中,R1相同於R2,平坦化製程可導致具有平坦頂表面的蝕刻停止層124,例如第29A圖所示。在一些實施方式中,R1小於R2,平坦化製程可導致具有凸形或突出頂表面的蝕刻停止層124,例如第29B圖所示。在一些實施方式中,R1大於R2,平坦化製程可導致具有凹形或凹陷頂表面的蝕刻停止層124,例如第29C圖所示。In some embodiments, the top surface profile of etch stop layer 124 may be controlled by controlling the polishing rate (R1) of etch stop layer 124 and the polishing rate (R2) of surrounding layers, such as first ILD 88, CESL 87, Gate spacers 86 , gate seal spacers 80 and/or dielectric layer 100 . In some embodiments, R1 is the same as R2, and the planarization process can result in an etch stop layer 124 with a flat top surface, such as shown in Figure 29A. In some embodiments, where R1 is smaller than R2, the planarization process may result in an etch stop layer 124 with a convex or protruding top surface, such as shown in Figure 29B. In some embodiments, where R1 is greater than R2, the planarization process may result in an etch stop layer 124 with a concave or recessed top surface, such as shown in Figure 29C.

第30A圖、第30B圖和第30C圖示出根據一些實施方式在第29A圖、第29B圖和第29C圖的蝕刻停止層124上形成第二ILD 126。第30A圖、第30B圖和第30C圖所示的結構為第21B圖所示的結構的一部分,其中第二ILD 126的上表面對應於下覆的蝕刻停止層124的上表面。第30A圖示出根據一些實施方式在第29A圖中的蝕刻停止層124的平坦頂表面上形成第二ILD 126。第二ILD 126可以具有平坦頂表面和平坦底表面。第30B圖示出根據一些實施方式在第29B圖中的蝕刻停止層124的凸形或突出頂表面上形成第二ILD 126。在蝕刻停止層124上方的第二ILD 126的部分頂表面可以是凸形或突出的,而在蝕刻停止層124上方的第二ILD 126的部分底表面可以是凹形或凹陷的。第30C圖示出根據一些實施方式在第29C圖中的蝕刻停止層124的凹形或凹陷頂表面上形成第二ILD 126。在蝕刻停止層124上方的第二ILD 126的部分頂表面可以是凹形或凹陷的,而在蝕刻停止層124上方的第二ILD 126的部分底表面可以是凸形或突出的。Figures 30A, 30B, and 30C illustrate forming a second ILD 126 on the etch stop layer 124 of Figures 29A, 29B, and 29C, in accordance with some embodiments. The structures shown in Figures 30A, 30B, and 30C are part of the structure shown in Figure 21B, in which the upper surface of the second ILD 126 corresponds to the upper surface of the underlying etch stop layer 124. Figure 30A shows the formation of a second ILD 126 on the flat top surface of the etch stop layer 124 in Figure 29A in accordance with some embodiments. The second ILD 126 may have a flat top surface and a flat bottom surface. Figure 30B illustrates the formation of a second ILD 126 on the convex or protruding top surface of the etch stop layer 124 in Figure 29B in accordance with some embodiments. A portion of the top surface of the second ILD 126 above the etch stop layer 124 may be convex or protruding, while a portion of the bottom surface of the second ILD 126 above the etch stop layer 124 may be concave or recessed. Figure 30C illustrates the formation of a second ILD 126 on the concave or recessed top surface of the etch stop layer 124 in Figure 29C in accordance with some embodiments. A portion of the top surface of the second ILD 126 above the etch stop layer 124 may be concave or recessed, while a portion of the bottom surface of the second ILD 126 above the etch stop layer 124 may be convex or protruding.

第31A圖、第31B圖和第31C圖繪示出在退火製程之後蝕刻停止層124的形狀的各種實施例,退火製程在如前所述的第二ILD 126的沉積之後而執行。第31A圖、第31B圖和第31C圖可以表示例如為第30A圖的退火製程之後的蝕刻停止層124的形狀。在一些實施方式中,如第31A圖所示,蝕刻停止層124和第二ILD 126皆可以在退火製程之後保持平坦的頂表面。在一些情況下,形成具有平坦頂表面的蝕刻停止層124或是形成具有均勻厚度的蝕刻停止層124導致當蝕刻停止層124被蝕刻穿過時更好地控制蝕刻製程,從而導致導電特徵142的更好輪廓,如第26B圖所示。Figures 31A, 31B, and 31C illustrate various embodiments of the shape of the etch stop layer 124 after an annealing process performed after the deposition of the second ILD 126 as previously described. Figures 31A, 31B and 31C may represent, for example, the shape of the etch stop layer 124 after the annealing process of Figure 30A. In some embodiments, as shown in FIG. 31A , both the etch stop layer 124 and the second ILD 126 may maintain a flat top surface after the annealing process. In some cases, forming the etch stop layer 124 with a flat top surface or forming the etch stop layer 124 with a uniform thickness results in better control of the etching process as the etch stop layer 124 is etched through, resulting in better conductive features 142 . Good outline, as shown in Figure 26B.

在一些實施方式中,如第31B圖所示,在退火製程之後,蝕刻停止層124可以具有凸形或突出的頂表面,舉例來說,形成和/或退火第二ILD 126的製程可以使用較高的溫度,諸如在從400ºC至約500ºC的範圍內,並且由於蝕刻停止層124的頂表面上的表面能降低,這些較高的溫度可能導致蝕刻停止層124膨脹。因此,蝕刻停止層124上方的第二ILD 126的部分頂表面可以是凸形或突出的,並且蝕刻停止層124上方的第二ILD 126的部分底表面可以是凹形或凹陷的。換句話說,蝕刻停止層124上方的第二ILD 126的一部分底表面可以延伸至蝕刻停止層124、第一ILD 88、CESL 87、閘極間隔物86、閘密封間隔物80以及介電層100的頂表面上方。In some embodiments, as shown in FIG. 31B , the etch stop layer 124 may have a convex or protruding top surface after the annealing process. For example, the process of forming and/or annealing the second ILD 126 may use a relatively High temperatures, such as in the range from 400ºC to about 500ºC, and these higher temperatures may cause the etch stop layer 124 to expand due to the reduction in surface energy on the top surface of the etch stop layer 124 . Accordingly, a portion of the top surface of the second ILD 126 above the etch stop layer 124 may be convex or protruding, and a portion of the bottom surface of the second ILD 126 above the etch stop layer 124 may be concave or recessed. In other words, a portion of the bottom surface of second ILD 126 above etch stop layer 124 may extend to etch stop layer 124 , first ILD 88 , CESL 87 , gate spacer 86 , gate seal spacer 80 , and dielectric layer 100 above the top surface.

在一些實施方式中,如第31C圖所示,在退火製程之後,蝕刻停止層124可以具有凹面或凹陷的表面。這可能是由於在退火製程期間由金屬晶粒生長或微空隙/雜質移除導致下方的導電特徵122收縮和/或上述的平坦化製程期間的凹陷之結果。因此,蝕刻停止層124上方的第二ILD 126的部分頂表面可以是凹陷的,並且蝕刻停止層124上方的第二ILD 126的部分底表面可以是突出的。換句話說,蝕刻停止層124上方的第二ILD 126的一部分底表面可以保持平坦並且在蝕刻停止層124、第一ILD 88、CESL 87、閘極間隔物86、閘密封間隔物80以及介電層100的頂表面下方延伸。In some embodiments, as shown in FIG. 31C, the etch stop layer 124 may have a concave or recessed surface after the annealing process. This may be the result of shrinkage of the underlying conductive features 122 caused by metal grain growth or microvoids/impurities removal during the annealing process and/or recessing during the planarization process described above. Accordingly, a portion of the top surface of the second ILD 126 above the etch stop layer 124 may be concave, and a portion of the bottom surface of the second ILD 126 above the etch stop layer 124 may be protruding. In other words, a portion of the bottom surface of second ILD 126 above etch stop layer 124 may remain flat and remain flat between etch stop layer 124 , first ILD 88 , CESL 87 , gate spacer 86 , gate seal spacer 80 , and dielectric The layer 100 extends below the top surface.

第32A圖、第32B圖和第32C圖繪示出類似於第26B圖中所示的結構,其中導電特徵142具有不同的寬度。導電特徵122的頂表面的寬度標示為「W1」,且導電特徵142的底表面的寬度標示為「W2」。根據一些實施方式,第32A圖繪示出具有寬度W2的導電特徵142,導電特徵122的寬度W2小於寬度W1。如第32A圖所示,形成具有寬度W2小於寬度W1的導電特徵142可導致在形成導電特徵142之後,部分的蝕刻停止層124保留在導電特徵122上。在一些實施方式中,導電特徵142的一個或所有的相對側壁可以與蝕刻停止層124的側壁物理接觸。舉例來說,在一些實施方式中,導電特徵142的底部可以至少部分地被蝕刻停止層124包圍。在一些情況下,形成具有相對小的寬度W2的導電特徵142可以降低通孔間的洩漏、通孔橋接缺陷「虎牙(tiger-tooth)」缺陷、由微影覆蓋問題導致的缺陷等之風險。Figures 32A, 32B, and 32C illustrate structures similar to those shown in Figure 26B, where the conductive features 142 have different widths. The width of the top surface of conductive features 122 is labeled "W1" and the width of the bottom surface of conductive features 142 is labeled "W2." According to some embodiments, FIG. 32A illustrates conductive features 142 having a width W2 that is less than width W1 of conductive features 122 . As shown in FIG. 32A , forming conductive features 142 having a width W2 that is less than width W1 may result in portions of the etch stop layer 124 remaining on the conductive features 122 after the conductive features 142 are formed. In some implementations, one or all opposing sidewalls of conductive features 142 may be in physical contact with sidewalls of etch stop layer 124 . For example, in some implementations, the bottoms of conductive features 142 may be at least partially surrounded by etch stop layer 124 . In some cases, forming conductive features 142 with a relatively small width W2 can reduce the risk of via-to-via leakage, via-bridging "tiger-tooth" defects, defects caused by lithography coverage issues, and the like.

第32B圖繪示出根據一些實施方式之具有寬度W2的導電特徵142,導電特徵142的寬度W2大約相同於導電特徵122的寬度W1。如第32B圖所示,形成具有與寬度W1大約相同的寬度W2的導電特徵142可導致在剖面示意圖中移除蝕刻停止層124。在一些實施方式中,導電特徵142可以物理接觸第一ILD 88的側壁的頂部。在一些實施方式中,導電特徵142的底部可以至少部分地被第一ILD 88的頂部包圍。在一些情況下,形成具有與寬度W1大約相同的寬度W2的導電特徵142可以增加導電特徵122和導電特徵142之間的接觸面積。以這種方式增加接觸面積可以降低導電特徵122和導電特徵142之間的接觸電阻並改善元件性能。Figure 32B illustrates conductive features 142 having a width W2 that is approximately the same as the width W1 of conductive features 122, according to some embodiments. As shown in Figure 32B, forming conductive features 142 having a width W2 that is approximately the same as width W1 may result in the removal of the etch stop layer 124 in the cross-sectional schematic view. In some implementations, conductive features 142 may physically contact the top of the sidewalls of first ILD 88 . In some implementations, the bottom of conductive features 142 may be at least partially surrounded by the top of first ILD 88 . In some cases, forming conductive features 142 with a width W2 that is approximately the same as width W1 may increase the contact area between conductive features 122 and 142 . Increasing the contact area in this manner may reduce contact resistance between conductive features 122 and 142 and improve device performance.

第32C圖繪示出根據一些實施方式之具有寬度W2的導電特徵142,導電特徵142的寬度W2大於導電特徵122的寬度W1。如第32C圖所示,形成大於寬度W1的寬度W2的導電特徵142可導致在剖面示意圖中移除蝕刻停止層124。在一些實施方式中,導電特徵142可以物理接觸CESL 87的側壁的頂部。在一些實施方式中,導電特徵142的底部可以至少部分地被CESL 87的頂部包圍。Figure 32C illustrates conductive features 142 having a width W2 that is greater than the width W1 of conductive features 122, according to some embodiments. As shown in Figure 32C, forming conductive features 142 with width W2 that is greater than width W1 may result in removal of etch stop layer 124 in the cross-sectional schematic view. In some embodiments, conductive features 142 may physically contact the top of the sidewalls of CESL 87. In some implementations, the bottom of conductive features 142 may be at least partially surrounded by the top of CESL 87.

這裡描述的實施方式具有一些優點。舉例來說,蝕刻停止層可以形成在連接到源極/汲極區域的導電特徵的凹槽,而不是在源極/汲極區域和閘堆疊上方沉積毯式蝕刻停止層。這減少了元件的整體厚度(包含在閘堆疊上方的層的厚度),因此,當形成導電特徵於閘堆疊上方時導致更好地控制蝕刻製程,這導致更好的導電特徵輪廓。如此一來,改善了元件中的電連接。The embodiments described herein have several advantages. For example, instead of depositing a blanket etch stop layer over the source/drain regions and the gate stack, the etch stop layer may be formed in the trenches connected to the conductive features of the source/drain regions. This reduces the overall thickness of the device (including the thickness of the layers above the gate stack) and therefore results in better control of the etching process when forming conductive features above the gate stack, which results in better conductive feature profiles. In this way, the electrical connection in the component is improved.

在一實施方式中,一種半導體元件包含:源極/汲極區域,於基板上;第一導電特徵,於源極/汲極區域上方;第一蝕刻停止層,於第一導電特徵上方;閘極結構,於基板上;第二蝕刻停止層,於閘極結構上方,其中第一蝕刻停止層和第二蝕刻停止層具有不同的厚度;第一介電層,鄰近第一導電特徵、第一蝕刻停止層、閘極結構和第二蝕刻停止層;第二介電層,於第一介電層上方;源極/汲極接觸,延伸穿過第二介電層和第一蝕刻停止層至第一導電特徵;以及閘極接觸,延伸穿過第二介電層和第二蝕刻停止層至閘極結構。在一實施方式中,第一蝕刻停止層和第二蝕刻停止層由不同的材料形成。在一實施方式中,第一蝕刻停止層的厚度介於在1 nm至10 nm之間的範圍內。在一實施方式中,第二蝕刻停止層的厚度介於10 nm至20 nm之間的一範圍內。在一實施方式中,第一蝕刻停止層的厚度小於第二蝕刻停止層的厚度。在一實施方式中,第一介電層在第一蝕刻停止層和第二蝕刻停止層之間延伸。在一實施方式中,第一蝕刻停止層的頂表面與第一介電層的頂表面齊平。在一實施方式中,閘極間隔物沿著閘極結構的側壁延伸,且其中第一蝕刻停止層的頂表面與閘極間隔物的頂表面齊平。In one embodiment, a semiconductor device includes: a source/drain region on a substrate; a first conductive feature above the source/drain region; a first etch stop layer above the first conductive feature; and a gate. a gate structure on the substrate; a second etch stop layer above the gate structure, wherein the first etch stop layer and the second etch stop layer have different thicknesses; a first dielectric layer adjacent to the first conductive feature, the first The etch stop layer, the gate structure and the second etch stop layer; the second dielectric layer above the first dielectric layer; the source/drain contact extending through the second dielectric layer and the first etch stop layer to a first conductive feature; and a gate contact extending through the second dielectric layer and the second etch stop layer to the gate structure. In one embodiment, the first etch stop layer and the second etch stop layer are formed of different materials. In one embodiment, the thickness of the first etch stop layer ranges from 1 nm to 10 nm. In one embodiment, the thickness of the second etch stop layer is in a range between 10 nm and 20 nm. In one embodiment, the thickness of the first etch stop layer is less than the thickness of the second etch stop layer. In one embodiment, the first dielectric layer extends between the first etch stop layer and the second etch stop layer. In one embodiment, the top surface of the first etch stop layer is flush with the top surface of the first dielectric layer. In one embodiment, the gate spacers extend along sidewalls of the gate structure, and wherein a top surface of the first etch stop layer is flush with a top surface of the gate spacers.

在一實施方式中,一種半導體元件包含:源極/汲極區域,於基板上;第一導電特徵,於源極/汲極區域上方;第一蝕刻停止層,於第一導電特徵上方,第一蝕刻停止層包含第一材料;閘極結構,於基板上;第二蝕刻停止層,於閘極結構上方,第二蝕刻停止層包含第二材料,其中第一材料和第二材料是不同的材料;第一介電層,於第一蝕刻停止層和第二蝕刻停止層之間;第二介電層,於第一介電層上方;源極/汲極接觸,延伸穿過第二介電層和第一蝕刻停止層至第一導電特徵;以及閘極接觸,延伸穿過第二介電層和第二蝕刻停止層至閘極結構。在一實施方式中,第一蝕刻停止層包含氧化鋁、氮化鋁、氮化鎢、氧化鉬、氮化鉬、氮化硼或其組合。在一實施方式中,第一導電特徵包含鈷、鎢、釕、銅或其組合。在一實施方式中,第二蝕刻停止層包含氮化矽、碳化矽、碳氮化矽或其組合。在一實施方式中,第一蝕刻停止層的頂表面在第一介電層的頂表面上方延伸。在一實施方式中,第一蝕刻停止層的頂表面在第一介電層的頂表面下方延伸。In one embodiment, a semiconductor device includes: a source/drain region on a substrate; a first conductive feature above the source/drain region; a first etch stop layer above the first conductive feature, An etch stop layer includes a first material; a gate structure on the substrate; a second etch stop layer above the gate structure, the second etch stop layer includes a second material, wherein the first material and the second material are different material; a first dielectric layer between the first etch stop layer and the second etch stop layer; a second dielectric layer above the first dielectric layer; a source/drain contact extending through the second dielectric the electrical layer and the first etch stop layer to the first conductive feature; and the gate contact extending through the second dielectric layer and the second etch stop layer to the gate structure. In one embodiment, the first etch stop layer includes aluminum oxide, aluminum nitride, tungsten nitride, molybdenum oxide, molybdenum nitride, boron nitride, or a combination thereof. In one embodiment, the first conductive feature includes cobalt, tungsten, ruthenium, copper, or combinations thereof. In one embodiment, the second etch stop layer includes silicon nitride, silicon carbide, silicon carbonitride, or a combination thereof. In one embodiment, the top surface of the first etch stop layer extends above the top surface of the first dielectric layer. In one embodiment, the top surface of the first etch stop layer extends below the top surface of the first dielectric layer.

在一實施方式中,一種形成半導體元件的方法包含:形成閘極結構於基板上;形成源極/汲極區域鄰近閘極結構;形成第一介電層於源極/汲極區域;形成延伸穿過第一介電層的接觸插塞以接觸源極/汲極區域;形成介電帽於接觸插塞上,其中介電帽的頂表面與第一介電層的頂表面齊平;形成第二介電層於介電帽和閘極結構上;以及形成穿過第二介電層至接觸插塞的導電特徵。在一實施方式中,形成導電特徵包含執行第一蝕刻製程,以建立開口於第二介電層中,其中介電帽在第一蝕刻製程期間用作蝕刻停止層;以及執行第二蝕刻製程,以移除介電帽的一些部分以暴露接觸插塞。在一實施方式中,導電特徵物理接觸介電帽的複數個側壁。在一實施方式中,導電特徵物理接觸第一介電層的複數個側壁。在一實施方式中,導電特徵電連接閘極結構和源極/汲極區域。在一實施方式中,閘極間隔物沿著閘極結構的側壁,且其中介電帽的頂表面與閘極間隔物的頂表面齊平。In one embodiment, a method of forming a semiconductor device includes: forming a gate structure on a substrate; forming a source/drain region adjacent to the gate structure; forming a first dielectric layer in the source/drain region; forming an extension Contact plugs passing through the first dielectric layer to contact the source/drain regions; forming a dielectric cap on the contact plugs, wherein the top surface of the dielectric cap is flush with the top surface of the first dielectric layer; forming A second dielectric layer over the dielectric cap and gate structure; and forming conductive features through the second dielectric layer to the contact plugs. In one embodiment, forming the conductive features includes performing a first etching process to create an opening in the second dielectric layer, wherein the dielectric cap serves as an etch stop during the first etching process; and performing a second etching process, to remove portions of the dielectric cap to expose the contact plugs. In one embodiment, the conductive features physically contact sidewalls of the dielectric cap. In one implementation, the conductive features physically contact sidewalls of the first dielectric layer. In one embodiment, the conductive features electrically connect the gate structure and the source/drain regions. In one embodiment, the gate spacers are along sidewalls of the gate structure, and wherein the top surface of the dielectric cap is flush with the top surface of the gate spacers.

上文概述多個實施方式的特徵,使得熟習此項技術者可更好地理解本揭示內容的態樣。熟習此項技術者應瞭解,可輕易使用本揭示內容作為設計或修改其他製程及結構的基礎,以便執行本文所介紹的實施方式的相同目的及/或實現相同優點。熟習此項技術者亦應認識到,此類等效構造並未脫離本揭示內容的精神及範疇,且可在不脫離本揭示內容的精神及範疇的情況下產生本文的各種變化、取代及更改。The above summary of features of various embodiments allows those skilled in the art to better understand aspects of the present disclosure. Those skilled in the art should appreciate that the present disclosure may be readily used as a basis for designing or modifying other processes and structures that carry out the same purposes and/or achieve the same advantages of the embodiments described herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the disclosure, and various changes, substitutions and modifications may be made herein without departing from the spirit and scope of the disclosure. .

50:基板 50N:區域 50P:區域 51:分隔物 52:鰭 54:絕緣材料 56:隔離(STI)區域 58:通道區域 60:虛設介電層 62:虛設閘極層 64:遮罩層 72:虛設閘極 74:遮罩 80:閘密封間隔物 82:磊晶源極/汲極區域 86:閘極間隔物 87:接觸蝕刻停止層(CESL) 88:第一層間介電層(ILD) 89:區域 90:凹槽 92:閘極介電層 94:閘極 94A:襯墊層 94B:功函數調整層 94C:填充材料 100:介電層 118:開口 120:矽化物層 122:導電特徵 123:凹槽 124:蝕刻停止層 126:第二介電層 128:第一光阻劑 130:開口 131:開口 132:第二光阻劑 134:開口 135:開口 136:開口 140:導電特徵 142:導電特徵 144:導電特徵 150:導電特徵 151:蝕刻停止層 152:金屬間介電層(IMD) 153:蝕刻停止層 154:導電特徵 155:金屬間介電層(IMD) 160:奈米結構 162:間隔物 W1:寬度 W2:寬度 A-A:橫截面 B-B:橫截面 C-C:橫截面 50:Substrate 50N:Area 50P:Area 51:divider 52:fins 54:Insulating materials 56: Isolation (STI) area 58: Passage area 60: Dummy dielectric layer 62: Dummy gate layer 64: Mask layer 72: Dummy gate 74:Mask 80: Gate seal spacer 82: Epitaxial source/drain region 86: Gate spacer 87: Contact Etch Stop Layer (CESL) 88: First interlayer dielectric layer (ILD) 89:Area 90: Groove 92: Gate dielectric layer 94: Gate 94A:Packing layer 94B: Work function adjustment layer 94C: Filling material 100:Dielectric layer 118:Open your mouth 120: Silicone layer 122: Conductive characteristics 123: Groove 124: Etch stop layer 126: Second dielectric layer 128:The first photoresist 130:Open your mouth 131:Open your mouth 132: Second photoresist 134:Open your mouth 135:Open your mouth 136:Open your mouth 140: Conductive characteristics 142: Conductive characteristics 144: Conductive characteristics 150: Conductive characteristics 151: Etch stop layer 152: Intermetal dielectric layer (IMD) 153: Etch stop layer 154: Conductive characteristics 155: Intermetal dielectric layer (IMD) 160: Nanostructure 162: Spacer W1: Width W2: Width A-A: cross section B-B: cross section C-C: cross section

當結合隨附圖式進行閱讀時,本揭示內容之態樣將能被充分地理解。應注意,根據業界標準實務,各特徵並非按比例繪製且僅用於圖示目的。事實上,出於論述清晰之目的,可任意增加或減小各特徵之尺寸。 第1圖為根據一些實施方式繪示出三維視圖的鰭式場效電晶體(Fin Field-Effect Transistor;FinFET)的一實施例。 第2圖、第3圖、第4圖、第5圖、第6圖、第7圖、第8A圖、第8B圖、第9A圖、第9B圖、第10A圖、第10B圖、第10C圖、第10D圖、第11A圖、第11B圖、第12A圖、第12B圖、第13A圖、第13B圖、第14A圖、第14B圖、第14C圖、第15A圖、第15B圖、第16A圖、第16B圖、第17A圖、第17B圖、第18A圖、第18B圖、第19A圖、第19B圖、第20A圖、第20B圖、第21A圖、第21B圖、第22A圖、第22B圖、第23A圖、第23B圖、第24A圖、第24B圖、第25A圖、第25B圖、第26A圖、第26B圖、第27A圖、第27B圖、第29A圖、第29B圖、第29C圖、第30A圖、第30B圖、第30C圖、第31A圖、第31B圖、第31C圖、第32A圖、第32B圖和第32C圖為根據一些實施方式在製造FinFET元件的中間階段的剖面示意圖。 第28A圖和第28B圖為根據一些實施方式在製造奈米結構場效電晶體(Nanostructure Field-Effect Transistor;NSFET)元件的中間階段的剖面示意圖。 The disclosure will be fully understood when read in conjunction with the accompanying drawings. It should be noted that, in accordance with standard industry practice, features are not drawn to scale and are for illustration purposes only. In fact, the dimensions of various features may be arbitrarily increased or reduced for clarity of discussion. Figure 1 illustrates an example of a Fin Field-Effect Transistor (FinFET) in a three-dimensional view according to some embodiments. Figure 2, Figure 3, Figure 4, Figure 5, Figure 6, Figure 7, Figure 8A, Figure 8B, Figure 9A, Figure 9B, Figure 10A, Figure 10B, Figure 10C Figure, Figure 10D, Figure 11A, Figure 11B, Figure 12A, Figure 12B, Figure 13A, Figure 13B, Figure 14A, Figure 14B, Figure 14C, Figure 15A, Figure 15B, Figure 16A, Figure 16B, Figure 17A, Figure 17B, Figure 18A, Figure 18B, Figure 19A, Figure 19B, Figure 20A, Figure 20B, Figure 21A, Figure 21B, Figure 22A Figure, Figure 22B, Figure 23A, Figure 23B, Figure 24A, Figure 24B, Figure 25A, Figure 25B, Figure 26A, Figure 26B, Figure 27A, Figure 27B, Figure 29A, Figure 29B, Figure 29C, Figure 30A, Figure 30B, Figure 30C, Figure 31A, Figure 31B, Figure 31C, Figure 32A, Figure 32B and Figure 32C are manufactured according to some embodiments. Schematic cross-section of the intermediate stage of a FinFET device. Figures 28A and 28B are schematic cross-sectional views at an intermediate stage of manufacturing a Nanostructure Field-Effect Transistor (NSFET) device according to some embodiments.

國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無 Domestic storage information (please note in order of storage institution, date and number) without Overseas storage information (please note in order of storage country, institution, date, and number) without

50:基板 50:Substrate

52:鰭 52:fins

82:磊晶源極/汲極區域 82: Epitaxial source/drain region

94:閘極 94: Gate

100:介電層 100:Dielectric layer

122:導電特徵 122: Conductive characteristics

124:蝕刻停止層 124: Etch stop layer

126:第二介電層 126: Second dielectric layer

Claims (20)

一種半導體元件,包含: 一源極/汲極區域,於一基板上; 一第一導電特徵,於該源極/汲極區域上方; 一第一蝕刻停止層,於該第一導電特徵上方; 一閘極結構,於該基板上; 一第二蝕刻停止層,於該閘極結構上方,其中該第一蝕刻停止層和該第二蝕刻停止層具有不同的厚度; 一第一介電層,鄰近該第一導電特徵、該第一蝕刻停止層、該閘極結構和該第二蝕刻停止層; 一第二介電層,於該第一介電層上方; 一源極/汲極接觸,延伸穿過該第二介電層和該第一蝕刻停止層至該第一導電特徵;以及 一閘極接觸,延伸穿過該第二介電層和該第二蝕刻停止層至該閘極結構。 A semiconductor component containing: a source/drain region on a substrate; a first conductive feature above the source/drain region; a first etch stop layer above the first conductive feature; a gate structure on the substrate; a second etch stop layer above the gate structure, wherein the first etch stop layer and the second etch stop layer have different thicknesses; a first dielectric layer adjacent the first conductive feature, the first etch stop layer, the gate structure, and the second etch stop layer; a second dielectric layer above the first dielectric layer; a source/drain contact extending through the second dielectric layer and the first etch stop layer to the first conductive feature; and A gate contact extends through the second dielectric layer and the second etch stop layer to the gate structure. 如請求項1所述之半導體元件,其中該第一蝕刻停止層和該第二蝕刻停止層由不同的材料形成。The semiconductor device of claim 1, wherein the first etching stop layer and the second etching stop layer are formed of different materials. 如請求項1所述之半導體元件,其中該第一蝕刻停止層的一厚度介於1 nm至10 nm之間的一範圍內。The semiconductor device according to claim 1, wherein a thickness of the first etching stop layer is in a range between 1 nm and 10 nm. 如請求項1所述之半導體元件,其中該第二蝕刻停止層的一厚度介於10 nm至20 nm之間的一範圍內。The semiconductor device according to claim 1, wherein a thickness of the second etching stop layer is in a range between 10 nm and 20 nm. 如請求項1所述之半導體元件,其中該第一蝕刻停止層的一厚度小於該第二蝕刻停止層的一厚度。The semiconductor device of claim 1, wherein a thickness of the first etching stop layer is less than a thickness of the second etching stop layer. 如請求項1所述之半導體元件,其中該第一介電層在該第一蝕刻停止層和該第二蝕刻停止層之間延伸。The semiconductor device of claim 1, wherein the first dielectric layer extends between the first etch stop layer and the second etch stop layer. 如請求項1所述之半導體元件,其中該第一蝕刻停止層的一頂表面與該第一介電層的一頂表面齊平。The semiconductor device of claim 1, wherein a top surface of the first etch stop layer is flush with a top surface of the first dielectric layer. 如請求項1所述之半導體元件,其中一閘極間隔物沿著該閘極結構的一側壁延伸,且其中該第一蝕刻停止層的一頂表面與該閘極間隔物一頂表面齊平。The semiconductor device of claim 1, wherein a gate spacer extends along a side wall of the gate structure, and wherein a top surface of the first etch stop layer is flush with a top surface of the gate spacer . 一種半導體元件,包含: 一源極/汲極區域,於一基板上; 一第一導電特徵,於該源極/汲極區域上方; 一第一蝕刻停止層,於該第一導電特徵上方,該第一蝕刻停止層包含一第一材料; 一閘極結構,於該基板上; 一第二蝕刻停止層,於該閘極結構上方,該第二蝕刻停止層包含一第二材料,其中該第一材料和該第二材料是不同的材料; 一第一介電層,於該第一蝕刻停止層和該第二蝕刻停止層之間; 一第二介電層,於該第一介電層上方; 一源極/汲極接觸,延伸穿過該第二介電層和該第一蝕刻停止層至該第一導電特徵;以及 一閘極接觸,延伸穿過該第二介電層和該第二蝕刻停止層至該閘極結構。 A semiconductor component containing: a source/drain region on a substrate; a first conductive feature above the source/drain region; a first etch stop layer above the first conductive feature, the first etch stop layer including a first material; a gate structure on the substrate; a second etch stop layer above the gate structure, the second etch stop layer includes a second material, wherein the first material and the second material are different materials; a first dielectric layer between the first etch stop layer and the second etch stop layer; a second dielectric layer above the first dielectric layer; a source/drain contact extending through the second dielectric layer and the first etch stop layer to the first conductive feature; and A gate contact extends through the second dielectric layer and the second etch stop layer to the gate structure. 如請求項9所述之半導體元件,其中該第一蝕刻停止層包含氧化鋁、氮化鋁、氮化鎢、氧化鉬、氮化鉬、氮化硼或其組合。The semiconductor device of claim 9, wherein the first etching stop layer includes aluminum oxide, aluminum nitride, tungsten nitride, molybdenum oxide, molybdenum nitride, boron nitride or a combination thereof. 如請求項9所述之半導體元件,其中該第一導電特徵包含鈷、鎢、釕、銅或其組合。The semiconductor device of claim 9, wherein the first conductive feature includes cobalt, tungsten, ruthenium, copper or a combination thereof. 如請求項9所述之半導體元件,其中該第二蝕刻停止層包含氮化矽、碳化矽、碳氮化矽或其組合。The semiconductor device of claim 9, wherein the second etching stop layer includes silicon nitride, silicon carbide, silicon carbonitride or a combination thereof. 如請求項9所述之半導體元件,其中該第一蝕刻停止層的一頂表面在該第一介電層一頂表面上方延伸。The semiconductor device of claim 9, wherein a top surface of the first etch stop layer extends above a top surface of the first dielectric layer. 如請求項9所述之半導體元件,其中該第一蝕刻停止層的一頂表面在該第一介電層一頂表面下方延伸。The semiconductor device of claim 9, wherein a top surface of the first etch stop layer extends below a top surface of the first dielectric layer. 一種形成半導體元件的方法,包含: 形成一閘極結構於一基板上; 形成一源極/汲極區域鄰近該閘極結構; 形成一第一介電層於該源極/汲極區域上; 形成延伸穿過該第一介電層的一接觸插塞以接觸該源極/汲極區域; 形成一介電帽於該接觸插塞上,其中該介電帽的一頂表面與該第一介電層一頂表面齊平; 形成一第二介電層於該介電帽和該閘極結構上;以及 形成穿過該第二介電層至該接觸插塞的一導電特徵。 A method of forming a semiconductor component, comprising: forming a gate structure on a substrate; forming a source/drain region adjacent the gate structure; forming a first dielectric layer on the source/drain region; forming a contact plug extending through the first dielectric layer to contact the source/drain region; Forming a dielectric cap on the contact plug, wherein a top surface of the dielectric cap is flush with a top surface of the first dielectric layer; forming a second dielectric layer on the dielectric cap and the gate structure; and A conductive feature is formed through the second dielectric layer to the contact plug. 如請求項15所述之方法,其中形成該導電特徵包含: 執行一第一蝕刻製程,以建立一開口於該第二介電層中,其中該介電帽在該第一蝕刻製程期間用作一蝕刻停止層;以及 執行一第二蝕刻製程,以移除該介電帽的一些部分以暴露該接觸插塞。 The method of claim 15, wherein forming the conductive feature includes: performing a first etch process to create an opening in the second dielectric layer, wherein the dielectric cap serves as an etch stop layer during the first etch process; and A second etching process is performed to remove portions of the dielectric cap to expose the contact plug. 如請求項15所述之方法,其中該導電特徵物理接觸該介電帽的複數個側壁。The method of claim 15, wherein the conductive feature physically contacts a plurality of sidewalls of the dielectric cap. 如請求項15所述之方法,其中該導電特徵物理接觸側壁該第一介電層的複數個側壁。The method of claim 15, wherein the conductive feature physically contacts a plurality of sidewalls of the first dielectric layer. 如請求項15所述之方法,其中該導電特徵電連接該閘極結構和該源極/汲極區域。The method of claim 15, wherein the conductive feature electrically connects the gate structure and the source/drain region. 如請求項15所述之方法,其中一閘極間隔物沿著該閘極結構的一側壁延伸,且其中該介電帽的該頂表面與該閘極間隔物的一頂表面齊平。The method of claim 15, wherein a gate spacer extends along a side wall of the gate structure, and wherein the top surface of the dielectric cap is flush with a top surface of the gate spacer.
TW112103551A 2022-03-21 2023-02-02 Semiconductor device and method for forming the same TWI850995B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/699,430 US20230299136A1 (en) 2022-03-21 2022-03-21 Semiconductor device and method
US17/699,430 2022-03-21

Publications (2)

Publication Number Publication Date
TW202339282A true TW202339282A (en) 2023-10-01
TWI850995B TWI850995B (en) 2024-08-01

Family

ID=

Also Published As

Publication number Publication date
CN116454065A (en) 2023-07-18
US20230299136A1 (en) 2023-09-21

Similar Documents

Publication Publication Date Title
US11842965B2 (en) Backside power rail structure and methods of forming same
KR102418726B1 (en) Semiconductor device and method
TWI785589B (en) Semiconductor device and method of forming same
US12015031B2 (en) Semiconductor device and method
TW202213467A (en) Semiconductor device and method of forming same
US12107149B2 (en) Air spacer and method of forming same
TWI807706B (en) Semiconductor device and method of manufacturing same
US20220367187A1 (en) Semiconductor Device and Method of Manufacture
CN112750824A (en) Semiconductor device with a plurality of semiconductor chips
TWI850995B (en) Semiconductor device and method for forming the same
TWI845111B (en) Semiconductor devices and manufacturing methods
TW202339282A (en) Semiconductor device and method for forming the same
TWI821698B (en) Semiconductor device and method of manufacturing the same
TWI844100B (en) Method for forming semiconductor device
KR102546906B1 (en) Finfet device and method
US11557518B2 (en) Gapfill structure and manufacturing methods thereof
US20230043635A1 (en) Semiconductor device and method
US20230155004A1 (en) Transistor source/drain contacts and methods of forming the same
US20230115763A1 (en) Gate Electrode Deposition and Structure Formed Thereby
US20220277994A1 (en) Conductive feature of semiconductor device and method of forming same
TW202425101A (en) Semiconductor device and methods of forming the same