TW202336028A - Method of forming dielectric films, new precursors and their use in the semi-conductor manufacturing - Google Patents

Method of forming dielectric films, new precursors and their use in the semi-conductor manufacturing Download PDF

Info

Publication number
TW202336028A
TW202336028A TW112101751A TW112101751A TW202336028A TW 202336028 A TW202336028 A TW 202336028A TW 112101751 A TW112101751 A TW 112101751A TW 112101751 A TW112101751 A TW 112101751A TW 202336028 A TW202336028 A TW 202336028A
Authority
TW
Taiwan
Prior art keywords
formula
compound
group
represented
metal
Prior art date
Application number
TW112101751A
Other languages
Chinese (zh)
Inventor
金大玹
李柱澔
盧沅泰
Original Assignee
法商液態空氣喬治斯克勞帝方法研究開發股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 filed Critical 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司
Publication of TW202336028A publication Critical patent/TW202336028A/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E60/00Enabling technologies; Technologies with a potential or indirect contribution to GHG emissions mitigation
    • Y02E60/10Energy storage using batteries

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

The purpose of the present invention is to provide a precursor compound which is suitable for the control of the thickness and the chemical composition in the formation of a film by vapor deposition at a high temperature, has high-temperature stability, has a liquid form or a low melting point (50 DEG C or lower under ambient pressure) and contains niobium, vanadium or tantalum. Provided is a compound for use in the formation of a metal-containing film by deposition, the compound being represented by formula (1). (In formula (1), M represents V or Nb, R1, R2 and R3 each independently represent H or a C1-C10 alkyl group, L represents a ligand derived from a C3 or higher alkene structure, a C4 or higher alkadiene structure, a C5 or higher cycloalkadiene structure or a condensed aromatic ring structure each of which is substituted or unsubstituted, and e represents a value of 0 or 1; or M represents Ta, R1, R2 and R3 each independently represent H or a C1-C10 alkyl group, L represents a ligand derived from a C3 or higher alkene structure, a C4 or higher alkadiene structure, a C6 or higher cycloalkadiene structure or a condensed aromatic ring structure each of which is substituted or unsubstituted, and e represents a value of 0 or 1.).

Description

化合物、含金屬膜之形成方法及化合物之製造方法Compound, method for forming metal-containing film, and method for producing compound

本發明係關於一種化合物、含金屬膜之形成方法及化合物之製造方法。The present invention relates to a compound, a method for forming a metal-containing film, and a method for producing the compound.

如氧化鈮(Nb 2O 5)或氧化釩(V 2O 5)之金屬氧化物於各種技術領域中被廣泛地應用。代表性地,該等氧化物被用作絕緣膜之高介電常數(high-k)材料。 Metal oxides such as niobium oxide (Nb 2 O 5 ) or vanadium oxide (V 2 O 5 ) are widely used in various technical fields. Typically, these oxides are used as high-k materials for insulating films.

另一方面,如氮化鈮或氮化釩(NbN x、VN x(兩者之x值均約為1))之金屬氮化物膜近年來被用作微小電子裝置之擴散阻隔層或接著層。 On the other hand, metal nitride films such as niobium nitride or vanadium nitride (NbN x , VN x (both x values are approximately 1)) have been used in recent years as diffusion barriers or adhesive layers for microelectronic devices. .

含有Nb之混合氧化物,例如於全固體電池及Li離子電池中之正極活性物質與電解質之間之薄且具有高離子傳導性之界面層等的儲能用途中受到高度關注。例如,鈮酸鋰由於顯示出明顯較高之離子傳導性,故而作為界面層尤其受到關注。Mixed oxides containing Nb have attracted great attention in energy storage applications, such as forming a thin interface layer with high ion conductivity between the cathode active material and the electrolyte in all-solid-state batteries and Li-ion batteries. For example, lithium niobate is of particular interest as an interface layer because it exhibits significantly higher ionic conductivity.

作為將如上所述之界面層蒸鍍於材料上之可行技術,研究了如原子層沉積之氣相沉積法。作為用以金屬氮化物之氣相沉積之鈮源或釩源、鉭源,提出有各種化合物(Chem. Mater. 1993, 5, 614-619;德國專利申請公開第102006037955號說明書)。 [先前技術文獻] [專利文獻] As a possible technology for evaporating the interface layer as described above on the material, a vapor deposition method such as atomic layer deposition has been studied. Various compounds have been proposed as niobium sources, vanadium sources, and tantalum sources for vapor deposition of metal nitrides (Chem. Mater. 1993, 5, 614-619; German Patent Application Publication No. 102006037955). [Prior technical literature] [Patent Document]

[專利文獻1]德國專利申請公開第102006037955號說明書 [非專利文獻] [Patent Document 1] German Patent Application Publication No. 102006037955 Specification [Non-patent literature]

[非專利文獻1]Chem. Mater. 1993, 5, 614-619[Non-patent document 1] Chem. Mater. 1993, 5, 614-619

[發明所欲解決之課題][Problem to be solved by the invention]

本發明之目的在於提供一種前驅物化合物,其適於在高溫下利用氣相沉積形成膜時控制厚度及組成,且具有高溫穩定性,為液態或低熔點(常壓下為50℃以下),含有鈮、釩或鉭。 [解決課題之技術手段] The object of the present invention is to provide a precursor compound that is suitable for controlling thickness and composition when forming a film by vapor deposition at high temperatures, has high temperature stability, and is liquid or has a low melting point (below 50°C under normal pressure). Contains niobium, vanadium or tantalum. [Technical means to solve the problem]

根據本發明,發現特定之前驅物化合物適合於藉由包括原子層沉積(ALD)之氣相沉積製程來沉積含Nb、V或Ta之薄膜。According to the present invention, specific precursor compounds are found to be suitable for depositing Nb, V or Ta-containing films by vapor deposition processes including atomic layer deposition (ALD).

於一實施形態中,本發明係關於一種由下述式(1)所表示之用以藉由氣相沉積而形成含金屬膜之化合物。 (式中, M為V或Nb, R 1、R 2及R 3分別獨立地為H或C1~C10烷基, L為來自經取代或未經取代之C3以上之烯烴結構、C4以上之二烯烴結構、C5以上之環二烯烴結構或縮合芳香環結構之配位基, e之值為0或1; 或者, M為Ta, R 1、R 2及R 3分別獨立地為H或C1~C10烷基, L為來自經取代或未經取代之C3以上之烯烴結構、C4以上之二烯烴結構、C6以上之環二烯烴結構或縮合芳香環結構之配位基, e之值為0或1)。 In one embodiment, the present invention relates to a compound represented by the following formula (1) for forming a metal-containing film by vapor deposition. (In the formula, M is V or Nb, R 1 , R 2 and R 3 are each independently H or C1 to C10 alkyl, L is from a substituted or unsubstituted olefin structure of C3 or above, C4 or above. For the ligand of an olefin structure, a cyclic diene structure with C5 or above, or a condensed aromatic ring structure, the value of e is 0 or 1; or, M is Ta, and R 1 , R 2 and R 3 are independently H or C1~ C10 alkyl group, L is a ligand derived from a substituted or unsubstituted C3 or above olefin structure, C4 or above diene structure, C6 or above cyclodiene structure or condensed aromatic ring structure, the value of e is 0 or 1).

於一實施形態中,本發明係關於一種含金屬膜之形成方法,其包括如下步驟: 導入步驟:將上述化合物導入至內部配置有基板之反應器;及 沉積步驟:使上述化合物之至少一部分沉積於上述基板上。 In one embodiment, the present invention relates to a method for forming a metal-containing film, which includes the following steps: Introduction step: introduce the above compound into a reactor with a substrate inside; and Deposition step: depositing at least part of the above compound on the above substrate.

於一實施形態中,本發明係關於一種化合物之製造方法,其係上述化合物之製造方法, 包括使下述式(i)所表示之前驅化合物與下述式(α-1)所表示之醇及下述式(α-2)所表示之醇中之至少1種反應之步驟。 (式(i)中, M、R 1、L及e與上述式(1)同義, R a及R b分別獨立地為C1~C5烷基)。 (式(α-1)及(α-2)中,R 2及R 3與上述式(1)同義)。 In one embodiment, the present invention relates to a method for producing a compound, which method includes making a precursor compound represented by the following formula (i) and an alcohol represented by the following formula (α-1) and a step of at least one reaction among the alcohols represented by the following formula (α-2). (In the formula (i), M, R 1 , L and e are synonymous with the above formula (1), and R a and R b are each independently a C1 to C5 alkyl group). (In formulas (α-1) and (α-2), R 2 and R 3 are synonymous with the above formula (1)).

以下,對用語之定義進行說明。The definitions of terms are explained below.

於本說明書中使用來自元素週期表之元素之標準縮寫。因此,元素可用該等縮寫來表示(例如,Nb係指鈮,V係指釩,Ta係指鉭,N係指氮,C係指碳,H係指氫。其他元素亦同)。Standard abbreviations for elements from the periodic table of elements are used in this specification. Therefore, elements can be represented by these abbreviations (for example, Nb refers to niobium, V refers to vanadium, Ta refers to tantalum, N refers to nitrogen, C refers to carbon, and H refers to hydrogen. The same is true for other elements).

於本說明書中進行使用時,「Me」之縮寫係指甲基;「Et」之縮寫係指乙基;「Pr」之縮寫係指丙基;「nPr」之縮寫係指「正」或直鏈丙基;「iPr」之縮寫係指異丙基;「Bu」之縮寫係指丁基;「nBu」之縮寫係指「正」或直鏈丁基;「tBu」之縮寫係指三級丁基,亦稱為1,1-二甲基乙基;「sBu」之縮寫係指二級丁基,亦稱為1-甲基丙基;「iBu」之縮寫係指異丁基,亦稱為2-甲基丙基;「amyl」之用語係指戊基(amyl)或戊基(pentyl);「t-amyl」之用語係指三級戊基,亦稱為1,1-二甲基丙基;「Cp」之縮寫係指環戊二烯基;「amd」之縮寫係指醯胺基。When used in this specification, the abbreviation of "Me" refers to methyl; the abbreviation of "Et" refers to ethyl; the abbreviation of "Pr" refers to propyl; the abbreviation of "nPr" refers to "normal" or straight Chain propyl; the abbreviation of "iPr" refers to isopropyl; the abbreviation of "Bu" refers to butyl; the abbreviation of "nBu" refers to "normal" or straight-chain butyl; the abbreviation of "tBu" refers to tertiary Butyl, also known as 1,1-dimethylethyl; the abbreviation of "sBu" refers to secondary butyl, also known as 1-methylpropyl; the abbreviation of "iBu" refers to isobutyl, also It is called 2-methylpropyl; the term "amyl" refers to amyl (amyl) or pentyl (pentyl); the term "t-amyl" refers to tertiary pentyl, also known as 1,1-di Methylpropyl; the abbreviation of "Cp" refers to cyclopentadienyl; the abbreviation of "amd" refers to amide group.

於本說明書中,關於「C1」等C與數字之組合,係表示構成該基或結構之碳原子之數量。例如,C1烷基表示碳數為1之烷基(即,甲基),C2烷基表示碳數為2之烷基(即,乙基),C3烯烴結構表示碳數為3之烯烴結構(即,丙烯結構)。 [發明之效果] In this specification, the combination of C and numbers such as "C1" means the number of carbon atoms constituting the group or structure. For example, C1 alkyl represents an alkyl group with a carbon number of 1 (i.e., methyl), C2 alkyl represents an alkyl group with a carbon number of 2 (i.e., an ethyl group), and C3 olefin structure represents an olefin structure with a carbon number of 3 ( i.e., propylene structure). [Effects of the invention]

本發明之化合物具有氣相法適宜性、高溫穩定性及低熔點(或液態),因此適合藉由氣相沉積形成含有鈮、釩或鉭之金屬膜。又,本發明之含金屬膜之形成方法由於使用特定之化合物作為氣相沉積法之前驅物,故而能夠高效地形成含金屬膜。The compound of the present invention has suitability for the gas phase method, high temperature stability and low melting point (or liquid state), and is therefore suitable for forming metal films containing niobium, vanadium or tantalum through gas phase deposition. Furthermore, since the method for forming a metal-containing film of the present invention uses a specific compound as a precursor for the vapor deposition method, the metal-containing film can be formed efficiently.

以下對本發明之實施形態進行說明。本發明並不限定於該等實施形態。亦較佳為較佳形態之組合。Embodiments of the present invention will be described below. The present invention is not limited to these embodiments. It is also better to be a combination of better forms.

《化合物》 本實施形態之化合物由下述式(1)表示,用以藉由氣相沉積而形成含金屬膜。該化合物於室溫為液體或具有50℃以下之熔點。又,該化合物由於具有熱穩定性,故而於氣相沉積時,可以氣相或液體之形式直接導入至反應器,並且可提供相對於溫度上升顯示出固定之生長速度之寬幅ALD窗口。 <Compound> The compound of this embodiment is represented by the following formula (1) and is used to form a metal-containing film by vapor deposition. The compound is liquid at room temperature or has a melting point below 50°C. In addition, because this compound has thermal stability, it can be directly introduced into the reactor in the form of gas phase or liquid during vapor deposition, and can provide a wide ALD window that shows a fixed growth rate with respect to temperature rise.

於一實施形態(以下,亦稱為「第1實施形態」)中,上述式(1)中, M為V或Nb, R 1、R 2及R 3分別獨立地為H或C1~C10烷基, L為來自經取代或未經取代之C3以上之烯烴結構、C4以上之二烯烴結構、C5以上之環二烯烴結構或縮合芳香環結構之配位基, e之值為0或1。 In one embodiment (hereinafter, also referred to as "the first embodiment"), in the above formula (1), M is V or Nb, and R 1 , R 2 and R 3 are each independently H or C1 to C10 alkane. group, L is a ligand derived from a substituted or unsubstituted C3 or above olefin structure, C4 or above diene structure, C5 or above cyclic diene structure or condensed aromatic ring structure, and the value of e is 0 or 1.

於另一實施形態(以下,亦稱為「第2實施形態」)中,上述式(1)中, M為Ta, R 1、R 2及R 3分別獨立地為H或C1~C10烷基, L為來自經取代或未經取代之C3以上之烯烴結構、C4以上之二烯烴結構、C6以上之環二烯烴結構或縮合芳香環結構之配位基, e之值為0或1。 In another embodiment (hereinafter, also referred to as the "second embodiment"), in the above formula (1), M is Ta, and R 1 , R 2 and R 3 are each independently H or a C1-C10 alkyl group. , L is a ligand derived from a substituted or unsubstituted C3 or above olefin structure, C4 or above diene structure, C6 or above cyclic diene structure or condensed aromatic ring structure, and the value of e is 0 or 1.

(第1實施形態) 於第1實施形態中,上述式(1)所表示之化合物之中心金屬M為V(釩)或Nb(鈮)。M較佳為Nb。 (First embodiment) In the first embodiment, the central metal M of the compound represented by the above formula (1) is V (vanadium) or Nb (niobium). M is preferably Nb.

作為R 1、R 2及R 3所表示之C1~C10烷基,分別獨立地可舉:甲基、乙基、正丙基、異丙基、正丁基、二級丁基、三級丁基等碳數為1~10之直鏈狀或支鏈狀烷基。作為R 1,較佳為碳數為3~5之支鏈狀烷基,進而較佳為三級丁基。作為R 2及R 3,分別獨立地較佳為碳數為2~5之直鏈狀或支鏈狀烷基,進而較佳為乙基、三級丁基或二級丁基。 As the C1 to C10 alkyl group represented by R 1 , R 2 and R 3 , each independently includes: methyl, ethyl, n-propyl, isopropyl, n-butyl, secondary butyl, tertiary butyl A linear or branched alkyl group with a carbon number of 1 to 10. R 1 is preferably a branched alkyl group having 3 to 5 carbon atoms, and more preferably a tertiary butyl group. R 2 and R 3 are each independently preferably a linear or branched chain alkyl group having 2 to 5 carbon atoms, and more preferably an ethyl group, a tertiary butyl group or a secondary butyl group.

作為提供L所表示之配位基之上述C3以上之烯烴結構,可舉:為直鏈狀或支鏈狀且不飽和鍵之位置無限制之丙烯結構、丁烯結構、戊烯結構、己烯結構等碳數為3~10之烯烴結構。作為C3以上之烯烴結構,較佳為直鏈狀之C3~C6烯烴結構,進而較佳為丙烯。Examples of the above-mentioned C3 or higher olefin structure that provides the ligand represented by L include: propylene structure, butene structure, pentene structure, and hexene which are linear or branched and have no limit on the position of the unsaturated bond. The structure is an olefin structure with a carbon number of 3 to 10. As the C3 or higher olefin structure, a linear C3 to C6 olefin structure is preferred, and propylene is more preferred.

作為上述C4以上之二烯烴結構,可舉:為直鏈狀或支鏈狀且不飽和鍵之位置無限制之丁二烯結構、戊二烯結構、己二烯結構、庚二烯結構等碳數為4~10之二烯烴結構。作為C4以上之二烯烴結構,較佳為直鏈狀之碳數為4~6之二烯烴結構,進而較佳為直鏈狀之丁二烯結構、戊二烯結構。Examples of the above-mentioned C4 or higher diene structure include: butadiene structure, pentadiene structure, hexadiene structure, heptadiene structure, etc. which are linear or branched and have no limit on the position of the unsaturated bond. Diolefin structure with a number of 4 to 10. As the C4 or higher diene structure, a linear diene structure having 4 to 6 carbon atoms is preferred, and a linear butadiene structure or a pentadiene structure is more preferred.

作為上述C5以上之環二烯烴結構,可舉:不飽和鍵之位置無限制之環戊二烯結構、環己二烯結構、環庚二烯結構、環辛二烯結構等碳數為5~10之環二烯烴結構。其中較佳為碳數為5~7之環二烯烴結構,進而較佳為環戊二烯結構。Examples of the above-mentioned cyclodiene structure having C5 or more include: cyclopentadiene structure, cyclohexadiene structure, cycloheptadiene structure, cyclooctadiene structure, etc., with no limit on the position of the unsaturated bond, and the number of carbon atoms is 5 to 10. Cyclodiolefin structure. Among them, a cyclodiene structure having 5 to 7 carbon atoms is preferred, and a cyclopentadiene structure is further preferred.

上述縮合芳香環結構只要包括芳香環與其他環縮合之結構,則無特別限定。縮合係指以相鄰之2個環共用邊(相鄰之2個碳原子間之鍵)之形式構成之多環結構。作為其他環,可為與上述芳香環相同或不同之芳香環,亦可為脂環結構。The above-mentioned condensed aromatic ring structure is not particularly limited as long as it includes a structure in which an aromatic ring is condensed with another ring. Condensation refers to a polycyclic structure formed by two adjacent rings sharing an edge (bond between two adjacent carbon atoms). The other ring may be an aromatic ring that is the same as or different from the above-mentioned aromatic ring, or may be an alicyclic structure.

作為芳香環,可舉環員數為5~30之芳香族烴環或芳香族雜環,例如可舉:苯環、萘環、蒽環、萉環、菲環、芘環、茀環、苝環、蔻環等芳香族烴環;呋喃環、吡咯環、噻吩環、磷雜環戊二烯(phosphole)環、吡唑環、唑環、異唑環、噻唑環、吡啶環、吡環、嘧啶環、嗒環、三環等芳香族雜環;或該等之組合等。Examples of the aromatic ring include aromatic hydrocarbon rings or aromatic heterocycles with 5 to 30 ring members. Examples include benzene ring, naphthalene ring, anthracene ring, pyrene ring, phenanthrene ring, pyrene ring, fluorine ring, and perylene ring. Aromatic hydrocarbon rings such as ring and carbocyclic ring; furan ring, pyrrole ring, thiophene ring, phosphole ring, pyrazole ring, azole ring, iso Azole ring, thiazole ring, pyridine ring, pyridine ring ring, pyrimidine ring, da ring, three Rings and other aromatic heterocycles; or combinations thereof, etc.

作為脂環結構,可舉環員數為5~20之脂肪族環狀烴結構,例如可舉:環戊烷、環己烷等環烷烴;環丙烯、環戊烯、環己烯等環烯烴;降烷、金剛烷、三環癸烷等橋接環飽和烴;降莰烯、三環癸烯等橋接環不飽和烴等。Examples of the alicyclic structure include aliphatic cyclic hydrocarbon structures with 5 to 20 ring members. Examples include: cycloalkanes such as cyclopentane and cyclohexane; and cyclic olefins such as cyclopropene, cyclopentene, and cyclohexene. ;drop Bridged ring saturated hydrocarbons such as alkane, adamantane, and tricyclodecane; bridged ring unsaturated hydrocarbons such as norbornene and tricyclodecene.

作為上述縮合芳香環結構,較佳為環員數為6~12之芳香族烴環結構,進而較佳為茚結構。As the condensed aromatic ring structure, an aromatic hydrocarbon ring structure having 6 to 12 ring members is preferred, and an indene structure is more preferred.

作為取代L所具有之氫原子之一部分或全部之取代基,例如可舉:氟原子、氯原子、溴原子、碘原子等鹵素原子、烷基、烷基矽基、烷基鍺基、烷基醯胺基、烷基矽基醯胺基、羥基、羧基、氰基、硝基、烷氧基、烷氧羰基、烷氧基羰基氧基、醯基、醯氧基等。Examples of the substituent that replaces part or all of the hydrogen atoms of L include halogen atoms such as fluorine atom, chlorine atom, bromine atom, and iodine atom, alkyl group, alkylsilyl group, alkylgermanyl group, and alkyl group. amide group, alkylsilyl amide group, hydroxyl group, carboxyl group, cyano group, nitro group, alkoxy group, alkoxycarbonyl group, alkoxycarbonyloxy group, acyl group, acyloxy group, etc.

e之值較佳為1。The value of e is preferably 1.

(第2實施形態) 於第2實施形態中,上述式(1)所表示之化合物之中心金屬M為Ta(鉭)。於第1實施形態中,提供L所表示之配位基之環二烯烴結構之碳數為5以上(C5以上),與此相對,於第2實施形態中,環二烯烴結構之碳數為6以上(C6以上)。關於其他結構或取代基等,可適當地採用第1實施形態中相對應之結構或取代基等。 (Second embodiment) In the second embodiment, the central metal M of the compound represented by the above formula (1) is Ta (tantalum). In the first embodiment, the carbon number of the cyclodiene structure that provides the ligand represented by L is 5 or more (C5 or more). In contrast, in the second embodiment, the carbon number of the cyclodiene structure is 6 and above (C6 and above). Regarding other structures, substituents, etc., the corresponding structures, substituents, etc. in the first embodiment can be appropriately adopted.

(其他實施形態) 以下,例舉化合物之較佳實施形態。 (Other implementation forms) Below, preferred embodiments of the compounds are exemplified.

上述化合物較佳由下述通式(1-1)表示。 (式(1-1)中, M為V或Nb, R 4、R 5、R 6、R 7及R 8分別獨立地為H、C1~C10烷基、鹵素原子、烷基矽基、烷基鍺基、烷基胺基羰基或烷基矽基醯胺基, R 1、R 2及R 3與上述式(1)同義)。 The above compound is preferably represented by the following general formula (1-1). (In formula (1-1), M is V or Nb, R 4 , R 5 , R 6 , R 7 and R 8 are independently H, C1 to C10 alkyl group, halogen atom, alkylsilyl group, alkyl group, etc. Germanium group, alkylaminocarbonyl group or alkylsilylamide group, R 1 , R 2 and R 3 are synonymous with the above formula (1)).

作為R 4、R 5、R 6、R 7及R 8所表示之C1~C10烷基,可適當地採用上述式(1)之R 1、R 2及R 3所表示之C1~C10烷基。 As the C1 to C10 alkyl group represented by R 4 , R 5 , R 6 , R 7 and R 8 , the C1 to C10 alkyl group represented by R 1 , R 2 and R 3 of the above formula (1) can be suitably used. .

作為上述鹵素原子,可舉氟原子、氯原子、溴原子、碘原子。其中較佳為氟原子。Examples of the halogen atom include a fluorine atom, a chlorine atom, a bromine atom, and an iodine atom. Among them, a fluorine atom is preferred.

作為上述烷基矽基,可舉三甲基矽基、三乙基矽基等。Examples of the alkylsilyl group include trimethylsilyl group, triethylsilyl group, and the like.

作為上述烷基鍺基,可舉三甲基鍺基、三乙基鍺基等。Examples of the alkylgermanium group include trimethylgermanium group, triethylgermanium group, and the like.

作為上述烷基胺基羰基,可舉二甲基胺基羰基、二乙基胺基羰基等。Examples of the alkylaminocarbonyl group include dimethylaminocarbonyl group, diethylaminocarbonyl group, and the like.

作為上述烷基矽基醯胺基,可舉三甲基矽基醯胺基、三乙基矽基醯胺基等。Examples of the alkylsilylamide group include a trimethylsilylamide group, a triethylsilylamide group, and the like.

R 4、R 5、R 6、R 7及R 8較佳為H(氫原子)。 R 4 , R 5 , R 6 , R 7 and R 8 are preferably H (hydrogen atom).

上述化合物較佳由下述通式(1-2)表示。 (式中, M為V、Nb或Ta, R 9、R 10、R 11、R 12、R 13、R 14及R 15分別獨立地為H、C1~C10烷基或鹵素原子, R 1、R 2及R 3與上述式(1)同義)。 The above compound is preferably represented by the following general formula (1-2). (In the formula, M is V, Nb or Ta, R 9 , R 10 , R 11 , R 12 , R 13 , R 14 and R 15 are each independently H, C1~C10 alkyl or halogen atom, R 1 , R 2 and R 3 are synonymous with the above formula (1)).

作為R 9、R 10、R 11、R 12、R 13、R 14及R 15所表示之C1~C10烷基,可適當地採用上述式(1)之R 1、R 2及R 3所表示之C1~C10烷基。 As the C1 to C10 alkyl group represented by R 9 , R 10 , R 11 , R 12 , R 13 , R 14 and R 15 , those represented by R 1 , R 2 and R 3 of the above formula (1) can be suitably used. C1~C10 alkyl.

作為上述鹵素原子,可採用與上述式(1-1)相同之鹵素原子。As the above-mentioned halogen atom, the same halogen atom as in the above-mentioned formula (1-1) can be used.

R 9、R 10、R 11、R 12、R 13、R 14及R 15較佳為H(氫原子)。 R 9 , R 10 , R 11 , R 12 , R 13 , R 14 and R 15 are preferably H (hydrogen atom).

上述化合物較佳由下述通式(1-3)表示。 (式中, M為V、Nb或Ta, R 16及R 17分別獨立地為H、C1~C10烷基或鹵素原子, R 1及R 2與上述式(1)同義)。 The above compound is preferably represented by the following general formula (1-3). (In the formula, M is V, Nb or Ta, R 16 and R 17 are independently H, C1-C10 alkyl or halogen atom, R 1 and R 2 are synonymous with the above formula (1)).

作為R 16及R 17所表示之C1~C10烷基,可適當地採用上述式(1)之R 1、R 2及R 3所表示之C1~C10烷基。 As the C1-C10 alkyl group represented by R16 and R17 , the C1-C10 alkyl group represented by R1 , R2 and R3 of the above formula (1) can be suitably used.

作為上述鹵素原子,可採用與上述式(1-1)相同之鹵素原子。As the above-mentioned halogen atom, the same halogen atom as in the above-mentioned formula (1-1) can be used.

R 16及R 17較佳為H(氫原子)。 R 16 and R 17 are preferably H (hydrogen atom).

上述化合物較佳由下述通式(1-4)表示。 (式中, M為V、Nb或Ta, R 18、R 19及R 20分別獨立地為H、C1~C10烷基或鹵素原子, R 1、R 2及R 3與上述式(1)同義)。 The above compound is preferably represented by the following general formula (1-4). (In the formula, M is V, Nb or Ta, R 18 , R 19 and R 20 are independently H, C1-C10 alkyl or halogen atom, R 1 , R 2 and R 3 are synonymous with the above formula (1) ).

作為R 18、R 19及R 20所表示之C1~C10烷基,可適當地採用上述式(1)之R 1、R 2及R 3所表示之C1~C10烷基。 As the C1-C10 alkyl group represented by R18 , R19 and R20 , the C1-C10 alkyl group represented by R1 , R2 and R3 of the above formula (1) can be suitably used.

作為上述鹵素原子,可採用與上述式(1-1)相同之鹵素原子。As the above-mentioned halogen atom, the same halogen atom as in the above-mentioned formula (1-1) can be used.

R 18、R 19及R 20較佳為H(氫原子)。 R 18 , R 19 and R 20 are preferably H (hydrogen atom).

上述化合物較佳於25℃為液態,或蒸氣壓顯示133.3 Pa之溫度為130℃以下。更佳為蒸氣壓顯示133.3 Pa之溫度為120℃以下。藉此,化合物可於室溫以液態,或以低熔點之固體之形式存在,可有效率地進行用以形成含金屬膜之氣相沉積製程。The above compound is preferably in a liquid state at 25°C, or the temperature at which the vapor pressure shows 133.3 Pa is 130°C or lower. It is more preferable that the temperature at which the vapor pressure shows 133.3 Pa is below 120°C. Thereby, the compound can exist in a liquid state at room temperature or in a solid form with a low melting point, and the vapor deposition process for forming a metal-containing film can be efficiently performed.

於上述化合物之熱重量分析中,較佳存在於150℃以上之溫度下重量損失為20%以下之區域,更佳存在於180℃以上之溫度下重量損失為20%以下之區域,進而較佳存在於200℃以上之溫度下重量損失為20%以下之區域。藉此,該化合物可發揮優異之熱穩定性。In the thermogravimetric analysis of the above compounds, it is preferable to exist in a region where the weight loss is less than 20% at a temperature of 150°C or higher, and more preferably, it exists in a region where the weight loss is less than 20% at a temperature of 180°C or higher, and still more preferably Exists in the area where the weight loss is less than 20% at temperatures above 200°C. Thereby, the compound can exhibit excellent thermal stability.

由於上述特性,上述化合物可適用作為薄膜氣相沉積用。作為較佳之氣相沉積方法之例,並無限定,可舉:原子層沉積(ALD)、電漿增強原子層沉積(PE-ALD)、化學氣相沉積(CVD)、脈衝化學氣相沉積(P-CVD)、低壓化學氣相沉積(LPCVD)中之熱、電漿或者遠距電漿製程,或該等之組合。Due to the above characteristics, the above compounds are suitable for thin film vapor deposition. As examples of better vapor deposition methods, there are no limitations. Examples include: atomic layer deposition (ALD), plasma enhanced atomic layer deposition (PE-ALD), chemical vapor deposition (CVD), pulse chemical vapor deposition ( P-CVD), thermal, plasma or remote plasma processes in low pressure chemical vapor deposition (LPCVD), or a combination of these.

《化合物之製造方法》 上述化合物之製造方法包括如下步驟,即,使下述式(i)所表示之前驅化合物與下述式(α-1)所表示之醇及下述式(α-2)所表示之醇中之至少1種反應。若根據本製造方法,僅進行基於前驅化合物及規定之醇之配位基交換反應,就能夠有效率地製造期望之化合物。 (式(i)中, M、R 1、L及e與上述式(1)同義, R a及R b分別獨立地為C1~C5烷基)。 (式(α-1)及(α-2)中,R 2及R 3與上述式(1)同義)。 "Method for producing a compound" The method for producing the above-mentioned compound includes the steps of making a precursor compound represented by the following formula (i), an alcohol represented by the following formula (α-1) and the following formula (α-2 ) at least one reaction among the alcohols represented by . According to this production method, the desired compound can be efficiently produced by simply performing a ligand exchange reaction based on a precursor compound and a predetermined alcohol. (In the formula (i), M, R 1 , L and e are synonymous with the above formula (1), and R a and R b are each independently a C1 to C5 alkyl group). (In formulas (α-1) and (α-2), R 2 and R 3 are synonymous with the above formula (1)).

作為R a及R b所表示之C1~C5烷基,可舉甲基、乙基等。其中較佳為甲基。 Examples of the C1-C5 alkyl group represented by R a and R b include methyl group, ethyl group, and the like. Among them, methyl is preferred.

前驅化合物例如可藉由美國專利第8460989號說明書所記載之方法合成。The precursor compound can be synthesized, for example, by the method described in US Patent No. 8460989.

將前驅化合物溶解於甲苯等適當之溶劑而製成原料溶液。將原料溶液與醇混合攪拌而進行配位基交換反應,藉此可製造目標化合物。較佳為相對於1莫耳之前驅化合物,混合1~3莫耳之醇。反應溫度可為室溫,亦可加熱至50℃左右。反應時間較佳為1~24小時,進而較佳為2~16小時。The precursor compound is dissolved in an appropriate solvent such as toluene to prepare a raw material solution. The target compound can be produced by mixing and stirring the raw material solution and alcohol to perform a ligand exchange reaction. Preferably, 1 to 3 moles of alcohol are mixed with respect to 1 mole of the precursor compound. The reaction temperature can be room temperature or heated to about 50°C. The reaction time is preferably 1 to 24 hours, and more preferably 2 to 16 hours.

反應後,亦可視需要經過利用蒸發器蒸餾去除溶劑、加熱減壓乾燥、蒸餾、昇華、管柱層析等進行純化。After the reaction, if necessary, the solvent may be removed by distillation using an evaporator, drying by heating and under reduced pressure, distillation, sublimation, column chromatography, etc. for purification.

《含金屬膜之形成方法》 本實施形態之含金屬膜之形成方法包括如下步驟: 導入步驟:將上述化合物導入至內部配置有基板之反應器;及 沉積步驟:使上述化合物之至少一部分沉積於上述基板上。 "Metal-Containing Film Formation Method" The formation method of the metal-containing film in this embodiment includes the following steps: Introduction step: introduce the above compound into a reactor with a substrate inside; and Deposition step: depositing at least part of the above compound on the above substrate.

(導入步驟) 於本步驟中,將上述化合物導入至內部配置有基板之反應器。沉積含金屬膜之基板之種類可根據最終用途作適當選擇。 (Import step) In this step, the above compound is introduced into a reactor with a substrate inside. The type of substrate on which the metal-containing film is deposited can be appropriately selected depending on the end use.

於若干實施形態中,基板選自鋰離子電池裝置或全固體電池裝置中之陰極活性物質或陰極。陰極活性物質係陰極電池胞之組成中之主要要素。陰極材料例如為鈷、鎳、錳,如層結構之結晶結構形成插入有鋰之多金屬氧化物材料。陰極活性物質較佳為「NMC」(鋰鎳錳鈷氧化物)、NCA(鋰鎳鈷鋁氧化物)、LNO(鋰鎳氧化物)、LMNO(鋰錳鎳氧化物)或LFP(磷酸鐵鋰)。例如,陰極活性物質可為NMC622或NMC811。薄界面層可於電極活性物質粉末上、電極活性物質多孔質材料上、不同形狀之電極活性物質上或預先形成之電極沉積,該預先形成之電極其電極活性物質可與導電性碳及/或黏合劑結合,亦可已由電流集流箔支撐。In some embodiments, the substrate is selected from a cathode active material or cathode in a lithium-ion battery device or an all-solid-state battery device. The cathode active material is the main element in the composition of the cathode battery cell. The cathode material is, for example, cobalt, nickel, or manganese. The crystal structure of the layer structure forms a multi-metal oxide material with lithium inserted therein. The preferred cathode active material is "NMC" (lithium nickel manganese cobalt oxide), NCA (lithium nickel cobalt aluminum oxide), LNO (lithium nickel oxide), LMNO (lithium manganese nickel oxide) or LFP (lithium iron phosphate ). For example, the cathode active material may be NMC622 or NMC811. The thin interface layer can be deposited on the electrode active material powder, the electrode active material porous material, the electrode active material of different shapes, or a preformed electrode. The electrode active material of the preformed electrode can be combined with conductive carbon and/or Adhesive bonding may also be supported by current collecting foils.

於若干實施形態中,基板可選自MIM、DRAM或FeRam技術中被使用作為絕緣材料之氧化物(例如HfO 2基體材料、TiO 2基體材料、ZrO 2基體材料、稀土類氧化物基體材料、三元氧化物基體材料等)或選自銅與低介電常數(low-k)膜之間之被使用作為氧阻隔層之氮化物基體膜(例如TaN)。於半導體、光電池、LCD-TFT或平板裝置之製造中,可使用其他基板。作為此種基板之例,並無限定,可舉:含金屬氮化物之基板(例如TaN、TiN、WN、TaCN、TiCN、TaSiN及TiSiN)等實心基板;絕緣體(例如SiO 2、Si 3N 4、SiON、HfO 2、Ta 2O 5、ZrO 2、TiO 2、Al 2O 3及鈦酸鋇鍶);或含有該等材料之某些組合之其他基板。所利用之實際之基板亦可取決於所利用之具體化合物之實施形態。 In some embodiments, the substrate can be selected from oxides used as insulating materials in MIM, DRAM or FeRam technology (such as HfO 2 matrix material, TiO 2 matrix material, ZrO 2 matrix material, rare earth oxide matrix material, three-dimensional oxide matrix material, etc. oxide base material, etc.) or a nitride base film (such as TaN) used as an oxygen barrier layer between copper and a low-k film. In the manufacture of semiconductors, photovoltaics, LCD-TFTs or flat panel devices, other substrates can be used. Examples of such substrates are not limited, but include: solid substrates such as metal nitride-containing substrates (such as TaN, TiN, WN, TaCN, TiCN, TaSiN, and TiSiN); insulators (such as SiO 2 , Si 3 N 4 , SiON, HfO 2 , Ta 2 O 5 , ZrO 2 , TiO 2 , Al 2 O 3 and barium strontium titanate); or other substrates containing some combination of these materials. The actual substrate utilized may also depend on the specific compound implementation utilized.

反應器若為可於內部執行氣相沉積方法之裝置之任意封閉容器或腔室即可。作為具體例,並無限定,可舉:平行板型反應器、冷壁型反應器、熱壁型反應器、片型反應器、多晶圓反應器或其他類型之沉積系統等。The reactor may be any closed container or chamber of a device within which a vapor deposition method can be performed. Specific examples are not limited and include: parallel plate reactors, cold wall reactors, hot wall reactors, sheet reactors, multi-wafer reactors or other types of deposition systems.

繼而,將含有經汽化之上述化合物之氣體導入至上述反應器。純粹之(單一之)化合物或經共混之(數種)化合物亦可以液體之狀態供給至汽化器,於導入至反應器之前在此進行汽化。或者,化合物可藉由使載氣通過容納有該化合物之容器,或藉由使載氣鼓泡於該化合物來進行汽化。繼而,將含有載氣及經汽化之化合物之氣體導入至反應器。若需要,亦可將容器加熱至能夠使化合物具有足夠之蒸氣壓之溫度。作為載氣,並無限定,可舉:Ar、He、N 2及其等之混合物。又,亦可使用直接液體注入(DLI)來使化合物汽化,來代替如上述使用使載氣鼓泡之方法(即,鼓泡方式)。 Then, the gas containing the vaporized compound is introduced into the reactor. Pure (single) compounds or blended (several) compounds can also be supplied to the vaporizer in a liquid state, where they are vaporized before being introduced into the reactor. Alternatively, the compound can be vaporized by passing a carrier gas through a container containing the compound, or by bubbling a carrier gas through the compound. Then, the gas containing the carrier gas and the vaporized compound is introduced into the reactor. If necessary, the container can also be heated to a temperature that allows the compound to have a sufficient vapor pressure. The carrier gas is not limited, and examples include: Ar, He, N 2 and mixtures thereof. Alternatively, direct liquid injection (DLI) may be used to vaporize the compound instead of bubbling the carrier gas as described above (i.e., the bubbling method).

於導入步驟中,亦可進一步將共反應物導入於反應器。作為共反應物,較佳選自由O 2、O 3、H 2O、H 2O 2、NO、N 2O、NO 2、磷酸三甲酯、該等之氧自由基及其等之混合物所組成之群。作為其他共反應物,亦可使用醇、氨、聚胺、肼、二甲基乙基胺基磷酸酯(dimethylethyl phosphoramidate)、硫酸鹽等。 In the introduction step, the co-reactant may be further introduced into the reactor. As a co-reactant, it is preferably selected from the group consisting of O 2 , O 3 , H 2 O, H 2 O 2 , NO, N 2 O, NO 2 , trimethyl phosphate, oxygen radicals thereof, and mixtures thereof form a group. As other co-reactants, alcohol, ammonia, polyamine, hydrazine, dimethylethyl phosphoramidate, sulfate, etc. can also be used.

容器例如可維持在約0℃~約150℃之範圍內之溫度。本領域技術人員知道可藉由周知之方法調節容器之溫度,而控制汽化之化合物之量。For example, the container can be maintained at a temperature in the range of about 0°C to about 150°C. Those skilled in the art know that the temperature of the container can be adjusted by well-known methods to control the amount of vaporized compounds.

化合物可以純粹之形態(例如液體或低熔點固體)或與適當之溶劑共混之形態來供給。作為示例性溶劑,並無限定,可舉:脂肪族烴、芳香族烴、雜環式烴、醚、乙二醇二甲醚、二醇、胺、聚胺、環胺(cyclicamine)、烷基化胺、烷基化聚胺及其等之混合物。作為較佳之溶劑,可舉:乙苯、二乙二醇二甲醚、三乙二醇二甲醚、四乙二醇二甲醚、吡啶、二甲苯、均三甲苯、癸烷、十二烷及其等之混合物。化合物之濃度典型而言處於約0.02~約2.0 M之範圍內,且較佳為處於約0.05~約0.2 M之範圍內。The compounds may be supplied in pure form (eg, liquids or low melting point solids) or in blends with appropriate solvents. Exemplary solvents are not limited and include: aliphatic hydrocarbons, aromatic hydrocarbons, heterocyclic hydrocarbons, ethers, glycol dimethyl ether, glycols, amines, polyamines, cyclic amines, and alkyl groups. amines, alkylated polyamines and mixtures thereof. Preferred solvents include: ethylbenzene, diglyme, triglyme, tetraglyme, pyridine, xylene, mesitylene, decane, and dodecane. and mixtures thereof. The concentration of the compound typically ranges from about 0.02 to about 2.0 M, and preferably ranges from about 0.05 to about 0.2 M.

除了向反應器導入前之化合物與溶劑之任意混合以外,亦可於反應器內將含有經汽化之化合物之氣體與反應物種混合。作為示例性反應物種,並無限定,可舉:金屬前驅物例如含鍶前驅物、含鋇前驅物、含鋁前驅物例如TMA等,以及該等之任意組合。In addition to arbitrary mixing of compounds and solvents before introduction into the reactor, gases containing vaporized compounds can also be mixed with reactant species in the reactor. Exemplary reaction species are not limited and may include metal precursors such as strontium-containing precursors, barium-containing precursors, aluminum-containing precursors such as TMA, and any combination thereof.

反應器可維持在處於約0.5 mTorr~約20 Torr之範圍內之壓力。 此外,反應器內之溫度可處於約50℃~約600℃之範圍內,較佳為處於約80℃~約550℃之範圍內。本領域技術人員可根據經驗將溫度最佳化而達成期望之結果。 The reactor can be maintained at a pressure in the range of about 0.5 mTorr to about 20 Torr. In addition, the temperature in the reactor can be in the range of about 50°C to about 600°C, preferably in the range of about 80°C to about 550°C. Those skilled in the art can empirically optimize the temperature to achieve the desired results.

可將基板加熱至足以以充分之生長速度以及期望之物理狀態及組成獲得期望之含鋰膜的溫度。作為能夠加熱基板之非限定性示例溫度範圍,可舉50℃~500℃。較佳為基板之溫度保持300℃以下。The substrate can be heated to a temperature sufficient to obtain the desired lithium-containing film at a sufficient growth rate and desired physical state and composition. A non-limiting example of a temperature range in which the substrate can be heated is 50°C to 500°C. Preferably, the temperature of the substrate is kept below 300°C.

(沉積步驟) 於本步驟中,使上述化合物之至少一部分沉積於上述基板上。於1個示例性之原子層沉積型製程中,將化合物之氣相導入至反應器,在此使其與適當之基板接觸。其後,過量之化合物可藉由對反應器進行沖洗及/或排氣而自反應器去除。將共反應物導入至反應器,在此其與被吸收之化合物以自停方式反應。過量之共反應物藉由對反應器進行沖洗及/或排氣而自反應器去除。於期望之膜為金屬氧化物膜之情形時,該兩階段製程有時會提供期望之膜厚,亦有時要反覆進行直至獲得具有期望厚度之膜。 (deposition step) In this step, at least part of the above compound is deposited on the above substrate. In an exemplary atomic layer deposition-type process, the vapor phase of a compound is introduced into a reactor where it is brought into contact with a suitable substrate. Thereafter, excess compounds can be removed from the reactor by flushing and/or venting the reactor. The coreactants are introduced into the reactor where they react in a self-stopping manner with the absorbed compounds. Excess coreactants are removed from the reactor by flushing and/or venting the reactor. When the desired film is a metal oxide film, this two-stage process sometimes provides the desired film thickness, and sometimes it is repeated until a film with the desired thickness is obtained.

或者,於期望之膜為金屬氧化物膜之情形時,於上述兩階段製程之後,可繼續向反應器導入金屬前驅物之蒸氣。該金屬前驅物係基於沉積之金屬氧化物之性質進行選擇。導入至反應器之後,化合物與基板接觸。過量之化合物藉由對反應器進行沖洗及/或排氣而自反應器去除。亦可再次將共反應物導入至反應器,而使其與金屬前驅物反應。過量之共反應物藉由對反應器進行沖洗及/或排氣而自反應器去除。若獲得期望之膜厚,就可結束該製程。然而,若期望更厚之膜,則可重複整個4階段製程。藉由交替供給化合物、金屬前驅物及共反應物,可沉積期望之組成及厚度之膜。Alternatively, when the desired film is a metal oxide film, after the above two-stage process, the vapor of the metal precursor can continue to be introduced into the reactor. The metal precursor is selected based on the properties of the deposited metal oxide. After being introduced into the reactor, the compound comes into contact with the substrate. Excess compounds are removed from the reactor by flushing and/or venting the reactor. The co-reactant can also be introduced into the reactor again to react with the metal precursor. Excess coreactants are removed from the reactor by flushing and/or venting the reactor. If the desired film thickness is obtained, the process can be terminated. However, if a thicker film is desired, the entire 4-stage process can be repeated. By alternately supplying compounds, metal precursors, and coreactants, films of desired composition and thickness can be deposited.

根據本實施形態之製造方法獲得之含金屬膜可為LiNbO、LiNbO、LiNb(M)O、NbMO等鈮之三元或四元氧化物膜。M選自由Zr、Ti、Co、W、Ta、V、Sr、Ba、La、Y、Sc、Mn、Ni、Mo所組成之群。本領域技術人員可藉由適當地選擇適當之化合物及反應種來獲得期望之膜組成。The metal-containing film obtained according to the manufacturing method of this embodiment may be a ternary or quaternary oxide film of niobium such as LiNbO, LiNbO, LiNb(M)O, and NbMO. M is selected from the group consisting of Zr, Ti, Co, W, Ta, V, Sr, Ba, La, Y, Sc, Mn, Ni, and Mo. Those skilled in the art can obtain the desired membrane composition by appropriately selecting appropriate compounds and reaction species.

沉積之膜之組成取決於用途。例如,可將含金屬膜用於燃料電池或蓄電池之用途。 [實施例] The composition of the deposited film depends on the application. For example, metal-containing films may be used in fuel cell or battery applications. [Example]

雖為了例示本說明書揭示之應用,而記載以下實施例,但應充分理解並非本說明書所記載之製程之所有優點均可含有於本發明之特定實施形態或實施形態之群組中。以下雖揭示特定實施形態及實施例,但本領域技術人員應當理解,本發明擴展超出包括明顯修改之本發明具體揭示之實施形態及/或用途。因此,應理解所揭示之本發明之範圍不應受以下所記載之特定實施形態的限定。Although the following examples are described to illustrate the applications disclosed in this specification, it should be fully understood that not all advantages of the processes described in this specification may be included in specific embodiments or groups of embodiments of the present invention. Although specific embodiments and examples are disclosed below, those skilled in the art will understand that the present invention extends beyond the specifically disclosed embodiments and/or uses of the invention including obvious modifications. Therefore, it should be understood that the scope of the disclosed invention should not be limited by the specific embodiments described below.

<實施例1> Nb(=NtBu)(Cp)(OEt) 2、雙(乙氧基)(三級丁基醯亞胺基)環戊二烯基鈮之合成 <Example 1> Synthesis of Nb(=NtBu)(Cp)(OEt) 2 and bis(ethoxy)(tertiary butylcarboxylimide)cyclopentadienylniobium

於Nb(=NtBu)Cp(NMe 2) 2(2 g,6.3 mmol)(購自Air Liquide Advanced Materials Inc.)之-78℃之甲苯30 mL之溶液中加入乙醇(0.58 g,12.6 mmol)(Sigma-Aldrich公司製造)。將混合物於室溫(約25℃)攪拌12小時後,於真空下去除溶劑,獲得黃色油狀物。繼而,藉由在25 mTorr進行蒸餾去除直至100℃為止來純化該物質,獲得1.34 g(產率66.6%)黃色油狀物。該產物藉由NMR 1H(π,ppm,C 6D 6):6.18(s,5H)、4.54(q,4H)、1.28(t,6H)、1.16(s,9H)進行了表徵。 To a solution of Nb(=NtBu)Cp(NMe 2 ) 2 (2 g, 6.3 mmol) (purchased from Air Liquide Advanced Materials Inc.) in 30 mL of toluene at -78°C, ethanol (0.58 g, 12.6 mmol) was added ( Manufactured by Sigma-Aldrich Company). After the mixture was stirred at room temperature (about 25°C) for 12 hours, the solvent was removed under vacuum to obtain a yellow oil. This material was then purified by distillation at 25 mTorr up to 100°C to obtain 1.34 g (yield 66.6%) of a yellow oil. The product was characterized by NMR 1 H (π, ppm, C 6 D 6 ): 6.18 (s, 5H), 4.54 (q, 4H), 1.28 (t, 6H), 1.16 (s, 9H).

對於純化產物,在以200 mL/分鐘流通氮氣流之大氣中,以10℃/分鐘之升溫速度進行開杯TGA分析(Mettler Toledo公司製造,利用型號TGA/DSC 1 STARe System進行測定),其結果殘留2.1%之殘餘結塊。將該等結果示於圖1中。圖1係表示溫度上升時之重量百分率之熱重量分析(TGA)曲線圖。藉由產物之示差掃描熱測定(DSC,Mettler Toledo公司製造,型號:DSC 1 STARe System(利用ME-51140728進行測定)),獲得熔解起始溫度(-3.8℃)及分解起始溫度(317.3℃)。將該結果示於圖4中。The purified product was subjected to open cup TGA analysis (manufactured by Mettler Toledo Co., measured using model TGA/DSC 1 STARe System) at a temperature rise rate of 10°C/min in the atmosphere with a nitrogen flow of 200 mL/min. The results were obtained 2.1% residual caking remains. The results are shown in Figure 1 . Figure 1 is a thermogravimetric analysis (TGA) graph showing the weight percentage as the temperature increases. Through differential scanning calorimetry of the product (DSC, manufactured by Mettler Toledo Company, model: DSC 1 STARe System (measured using ME-51140728)), the melting onset temperature (-3.8°C) and decomposition onset temperature (317.3°C) were obtained ). The results are shown in Figure 4 .

圖8示出了實施例1中所生成之化合物即雙(乙氧基)(三級丁基醯亞胺基)環戊二烯基鈮、Nb(=NtBu)(Cp)(OEt) 2之基於臭氧之ALD窗口。進而,圖9係於250℃及300℃沉積Nb(=NtBu)(Cp)(OEt) 2而成之Nb 2O 5膜之圖案化晶圓之膜被覆圖像(SEM測定,日本電子股份有限公司製造,型號:FE-SEM(JEOL-6701F))。Nb 2O 5膜之形成條件係晶圓溫度為250、300℃,製程壓力為1 torr,Ar流量為100 sccm,源材料導入時間/源材料沖洗時間/臭氧導入時間/臭氧沖洗時間為2/60/1/60(秒)。 Figure 8 shows the compound produced in Example 1, that is, bis(ethoxy)(tertiary butylcarboxylimide)cyclopentadienylniobium, Nb(=NtBu)(Cp)(OEt) 2 Ozone based ALD window. Furthermore, Figure 9 is a film coating image of a patterned wafer of an Nb 2 O 5 film formed by depositing Nb (=NtBu) (Cp) (OEt) 2 at 250°C and 300°C (SEM measurement, Japan Electronics Co., Ltd. Manufactured by the company, model: FE-SEM (JEOL-6701F)). The formation conditions of the Nb 2 O 5 film are that the wafer temperature is 250 and 300°C, the process pressure is 1 torr, the Ar flow rate is 100 sccm, the source material introduction time/source material flushing time/ozone introduction time/ozone flushing time is 2/ 60/1/60 (seconds).

<實施例2> Nb(=NtBu)(Cp)(OtBu) 2、雙(三級丁氧基)(三級丁基醯亞胺基)環戊二烯基鈮之合成 <Example 2> Synthesis of Nb(=NtBu)(Cp)(OtBu) 2 and bis(tertiary butyloxy)(tertiary butylcarboxylimide)cyclopentadienyl niobium

於Nb(=NtBu)Cp(NMe 2) 2(2 g,6.3 mmol)(購自Air Liquide Advanced Materials Inc.)之-78℃之甲苯30 mL之溶液加入三級丁醇(0.93 g,12.6 mmol)(Sigma-Aldrich公司製造)。將混合物於室溫(約25℃)攪拌12小時後,於真空下去除溶劑,獲得黃色油狀物。繼而,藉由在25 mTorr進行蒸餾去除直至100℃為止來純化該物質,獲得2.0 g(產率84.6%)黃色油狀物。該產物藉由NMR 1H(π,ppm,C 6D 6):6.17(s,5H)、1.32(s,18H)、1.21(s,9H)進行表徵。 To a solution of Nb(=NtBu)Cp(NMe 2 ) 2 (2 g, 6.3 mmol) (purchased from Air Liquide Advanced Materials Inc.) in 30 mL of toluene at -78°C, tertiary butanol (0.93 g, 12.6 mmol was added ) (manufactured by Sigma-Aldrich Corporation). After the mixture was stirred at room temperature (about 25°C) for 12 hours, the solvent was removed under vacuum to obtain a yellow oil. This material was then purified by distillation at 25 mTorr up to 100°C to obtain 2.0 g (yield 84.6%) of a yellow oil. The product was characterized by NMR 1 H (π, ppm, C 6 D 6 ): 6.17 (s, 5H), 1.32 (s, 18H), 1.21 (s, 9H).

對於純化產物,在以200 mL/分鐘流通氮氣流之大氣中,以10℃/分鐘之升溫速度進行開杯TGA分析(利用Mettler Toledo公司製造之型號TGA/DSC 1 STARe System進行測定),其結果殘留0.6%之殘餘結塊。將該等結果示於圖2中。圖2係表示溫度上升時之重量百分率之熱重量分析(TGA)曲線圖。藉由產物之示差掃描熱測定(DSC,Mettler Toledo公司製造,型號:DSC 1 STARe System(利用ME-51140728進行測定)),獲得熔解起始溫度(34.5℃)及分解起始溫度(285.1℃)。將該結果示於圖5中。The purified product was subjected to open-cup TGA analysis (measured using a model TGA/DSC 1 STARe System manufactured by Mettler Toledo Co., Ltd.) at a temperature rise rate of 10°C/min in the atmosphere with a nitrogen flow of 200 mL/min. The results were obtained 0.6% residual caking remains. The results are shown in Figure 2. Figure 2 is a thermogravimetric analysis (TGA) graph showing the weight percentage as the temperature increases. Through differential scanning calorimetry of the product (DSC, manufactured by Mettler Toledo Company, model: DSC 1 STARe System (measured using ME-51140728)), the melting onset temperature (34.5°C) and decomposition onset temperature (285.1°C) were obtained . The results are shown in Figure 5 .

圖10示出了實施例2中所生成之化合物即雙(三級丁氧基)(三級丁基醯亞胺基)環戊二烯基鈮、Nb(=NtBu)(Cp)(OtBu) 2之基於臭氧之ALD窗口。 Figure 10 shows the compound produced in Example 2, namely bis(tertiary butyloxy)(tertiary butylcarboxylimide)cyclopentadienylniobium, Nb(=NtBu)(Cp)(OtBu) 2. Ozone-based ALD window.

<實施例3> Nb(=NtBu)(Cp)(OsBu) 2、雙(二級丁氧基)(三級丁基醯亞胺基)環戊二烯基鈮之合成 <Example 3> Synthesis of Nb(=NtBu)(Cp)(OsBu) 2 and bis(secondary butoxy)(tertiary butylcarboxylimide)cyclopentadienyl niobium

於Nb(=NtBu)Cp(NMe 2) 2(2 g,6.3 mmol)(購自Air Liquide Advanced Materials Inc.)之-78℃之甲苯30 mL之溶液加入二級丁醇(0.93 g,12.6 mmol)(Sigma-Aldrich公司製造)。將混合物於室溫(約25℃)攪拌12小時後,於真空下去除溶劑,獲得黃色油狀物。繼而,藉由在25 mTorr進行蒸餾去除直至125℃為止來純化該物質,獲得1.75 g(產率74%)黃色油狀物。該產物藉由NMR 1H(π,ppm,C 6D 6):6.19(s,5H)、4.49(m,2H)、1.61(m,2H)、1.49(m,2H)、1.31(d,3H)、1.26(d,3H)、1.18(s,9H)、0.99(t,6H)進行表徵。 To a solution of Nb(=NtBu)Cp(NMe 2 ) 2 (2 g, 6.3 mmol) (purchased from Air Liquide Advanced Materials Inc.) in 30 mL of toluene at -78°C, was added secondary butanol (0.93 g, 12.6 mmol). ) (manufactured by Sigma-Aldrich Corporation). After the mixture was stirred at room temperature (about 25°C) for 12 hours, the solvent was removed under vacuum to obtain a yellow oil. This material was then purified by distillation at 25 mTorr up to 125°C to obtain 1.75 g (yield 74%) of a yellow oil. The product was analyzed by NMR 1 H (π, ppm, C 6 D 6 ): 6.19 (s, 5H), 4.49 (m, 2H), 1.61 (m, 2H), 1.49 (m, 2H), 1.31 (d, 3H), 1.26 (d, 3H), 1.18 (s, 9H), 0.99 (t, 6H) for characterization.

對於純化產物,在以200 mL/分鐘流通氮氣流之大氣中,以10℃/分鐘之升溫速度進行開杯TGA分析(利用Mettler Toledo公司製造之型號TGA/DSC 1 STARe System進行測定),其結果殘留1.3%之殘餘結塊。將該等結果示於圖3中。圖3係表示溫度上升時之重量百分率之熱重量分析(TGA)曲線圖。藉由產物之示差掃描熱測定(DSC,Mettler Toledo公司製造,型號:DSC1 STARe System(利用ME-51140728進行測定)),獲得分解起始溫度(318.6℃)。將該結果示於圖6中。The purified product was subjected to open-cup TGA analysis (measured using a model TGA/DSC 1 STARe System manufactured by Mettler Toledo Co., Ltd.) at a temperature rise rate of 10°C/min in the atmosphere with a nitrogen flow of 200 mL/min. The results were obtained 1.3% residual caking remains. The results are shown in Figure 3. Figure 3 is a thermogravimetric analysis (TGA) graph showing the weight percentage as the temperature increases. Through differential scanning calorimetry of the product (DSC, manufactured by Mettler Toledo Company, model: DSC1 STARe System (measured using ME-51140728)), the decomposition onset temperature (318.6°C) was obtained. The results are shown in Figure 6 .

<合成例1> 化合物V(=NtBu)(Cp)(OEt) 2可藉由以下之方法合成。 <Synthesis Example 1> Compound V(=NtBu)(Cp)(OEt) 2 can be synthesized by the following method.

藉由滴下法,於-78℃之甲苯中之V(=NtBu)(Cp)(NMe 2) 2之溶液加入乙醇。將混合物於室溫攪拌12小時後,於真空下去除溶劑。繼而,藉由蒸餾或昇華對該物質進行純化而獲得最終產物。 By dropping method, ethanol was added to the solution of V(=NtBu)(Cp)(NMe 2 ) 2 in toluene at -78°C. After the mixture was stirred at room temperature for 12 hours, the solvent was removed in vacuo. The material is then purified by distillation or sublimation to obtain the final product.

<合成例2> 化合物Ta(=NtBu)(Cp)(OEt) 2可藉由以下之方法合成。 <Synthesis Example 2> Compound Ta(=NtBu)(Cp)(OEt) 2 can be synthesized by the following method.

藉由滴下法,於-78℃之甲苯中之Ta(=NtBu)(Cp)(NMe 2) 2之溶液加入乙醇。將混合物於室溫攪拌12小時後,於真空下去除溶劑。繼而,藉由蒸餾或昇華對該物質進行純化而獲得最終產物。 By dropping method, ethanol was added to the solution of Ta(=NtBu)(Cp)(NMe 2 ) 2 in toluene at -78°C. After the mixture was stirred at room temperature for 12 hours, the solvent was removed in vacuo. The material is then purified by distillation or sublimation to obtain the final product.

圖7係表示為用以製造實施例1之化合物之起始原料的雙(二甲基醯胺基)(三級丁基醯亞胺基)環戊二烯基鈮、Nb(=NtBu)(Cp)(NMe 2) 2隨著溫度上升之質量百分率之熱重量分析(TGA,利用Mettler Toledo公司製造之型號TGA/DSC 1 STARe System進行測定)曲線圖。 Figure 7 shows bis(dimethylamide)(tertiary butylamide)cyclopentadienylniobium, Nb(=NtBu)( Thermogravimetric analysis (TGA, measured using a model TGA/DSC 1 STARe System manufactured by Mettler Toledo Company) graph of the mass percentage of Cp)(NMe 2 ) 2 as the temperature increases.

以下之表1示出所揭示之化合物與若干現有化合物之蒸氣壓之比較。Table 1 below shows a comparison of the vapor pressures of the disclosed compounds and several existing compounds.

[表1] 化合物 於133.3 Pa之溫度 Nb(=NtBu)Cp(OEt) 2 100℃ Nb(=NtBu)Cp(OtBu) 2 105℃ Nb(=NtBu)Cp(OsBu) 2 119℃ Nb(=NtBu)Cp(NMe 2)(OtBu) 106℃ Nb(=NtBu)Cp(NMe 2) 2 117℃ Nb(Cp) 2(iPr-amd) 2 170℃ [Table 1] compound At a temperature of 133.3 Pa Nb(=NtBu)Cp(OEt) 2 100℃ Nb(=NtBu)Cp(OtBu) 2 105℃ Nb(=NtBu)Cp(OsBu) 2 119℃ Nb(=NtBu)Cp(NMe 2 )(OtBu) 106℃ Nb(=NtBu)Cp(NMe 2 ) 2 117℃ Nb(Cp) 2 (iPr-amd) 2 170℃

without

[圖1]係表示雙(乙氧基)(三級丁基醯亞胺基)環戊二烯基鈮、Nb(=NtBu)(Cp)(OEt) 2隨著溫度上升之重量百分率之熱重量分析(TGA)曲線圖。 [圖2]係表示雙(三級丁氧基)(三級丁基醯亞胺基)環戊二烯基鈮、Nb(=NtBu)(Cp)(OtBu) 2隨著溫度上升之重量百分率之熱重量分析(TGA)曲線圖。 [圖3]係表示雙(二級丁氧基)(三級丁基醯亞胺基)環戊二烯基鈮、Nb(=NtBu)(Cp)(OsBu) 2隨著溫度上升之重量百分率之熱重量分析(TGA)曲線圖。 [圖4]係雙(乙氧基)(三級丁基醯亞胺基)環戊二烯基鈮、Nb(=NtBu)(Cp)(OEt) 2之示差掃描熱測定(DSC)。 [圖5]係雙(三級丁氧基)(三級丁基醯亞胺基)環戊二烯基鈮、Nb(=NtBu)(Cp)(OtBu) 2之示差掃描熱測定(DSC)。 [圖6]係雙(二級丁氧基)(三級丁基醯亞胺基)環戊二烯基鈮、Nb(=NtBu)(Cp)(OsBu) 2之示差掃描熱測定(DSC)。 [圖7]係表示雙(二甲基醯胺基)(三級丁基醯亞胺基)環戊二烯基鈮、Nb(=NtBu)(Cp)(NMe 2) 2隨著溫度上升之質量百分率之熱重量分析(TGA)曲線圖。 [圖8]示出了雙(乙氧基)(三級丁基醯亞胺基)環戊二烯基鈮、Nb(=NtBu)(Cp)(OEt) 2之基於臭氧之ALD窗口。 [圖9]係於250℃及300℃沉積Nb(=NtBu)(Cp)(OEt) 2而成之Nb 2O 5膜之圖案化晶圓之膜被覆圖像(SEM測定)。 [圖10]示出了雙(三級丁氧基)(三級丁基醯亞胺基)環戊二烯基鈮、Nb(=NtBu)(Cp)(OtBu) 2之基於臭氧之ALD窗口。 [Fig. 1] Heat representing the weight percentage of bis(ethoxy)(tertiary butylcarboxylimide)cyclopentadienylniobium and Nb(=NtBu)(Cp)(OEt) 2 as the temperature rises Gravimetric analysis (TGA) graph. [Figure 2] shows the weight percentage of bis(tertiary butyloxy)(tertiary butylcarboxylimide)cyclopentadienylniobium and Nb(=NtBu)(Cp)(OtBu) 2 as the temperature increases Thermogravimetric analysis (TGA) curve graph. [Figure 3] shows the weight percentage of bis(secondary butoxy)(tertiary butylcarboxylimide)cyclopentadienylniobium and Nb(=NtBu)(Cp)(OsBu) 2 as the temperature increases Thermogravimetric analysis (TGA) curve graph. [Figure 4] Differential scanning calorimetry (DSC) of bis(ethoxy)(tertiary butylcarboxylimide)cyclopentadienylniobium, Nb(=NtBu)(Cp)(OEt) 2 . [Figure 5] Differential scanning calorimetry (DSC) of bis(tertiary butyloxy)(tertiary butylcarboxylimide)cyclopentadienylniobium, Nb(=NtBu)(Cp)(OtBu) 2 . [Figure 6] Differential scanning calorimetry (DSC) of bis(secondary butoxy)(tertiary butylcarboxylimide)cyclopentadienylniobium, Nb(=NtBu)(Cp)(OsBu) 2 . [Fig. 7] shows the relationship between bis(dimethylamide)(tertiary butylamide)cyclopentadienylniobium and Nb(=NtBu)(Cp)(NMe 2 ) 2 as the temperature increases. Mass percentage versus thermogravimetric analysis (TGA) graph. [Fig. 8] shows the ozone-based ALD window of bis(ethoxy)(tertiary butylcarboxylimide)cyclopentadienylniobium, Nb(=NtBu)(Cp)(OEt) 2 . [Fig. 9] Film coating images (SEM measurement) of patterned wafers of Nb 2 O 5 films formed by depositing Nb (=NtBu) (Cp) (OEt) 2 at 250°C and 300°C. [Fig. 10] Ozone-based ALD window showing bis(tertiary butyloxy)(tertiary butylcarboxylimide)cyclopentadienylniobium, Nb(=NtBu)(Cp)(OtBu) 2 .

Claims (17)

一種由下述式(1)所表示之用以藉由蒸鍍而形成含金屬膜之化合物: (式(1)中, M為V或Nb, R 1、R 2及R 3分別獨立地為H或C1~C10烷基, L為來自經取代或未經取代之C3以上之烯烴結構、C4以上之二烯烴結構、C5以上之環二烯烴結構或縮合芳香環結構之配位基, e之值為0或1; 或者, M為Ta, R 1、R 2及R 3分別獨立地為H或C1~C10烷基, L為來自經取代或未經取代之C3以上之烯烴結構、C4以上之二烯烴結構、C6以上之環二烯烴結構或縮合芳香環結構之配位基, e之值為0或1)。 A compound represented by the following formula (1) for forming a metal-containing film by evaporation: (In formula (1), M is V or Nb, R 1 , R 2 and R 3 are each independently H or a C1-C10 alkyl group, L is an olefin structure derived from substituted or unsubstituted C3 or above, C4 For the ligands of the above diene structures, cyclic diene structures with C5 or above, or condensed aromatic ring structures, the value of e is 0 or 1; or, M is Ta, and R 1 , R 2 and R 3 are each independently H. Or C1~C10 alkyl group, L is a ligand derived from a substituted or unsubstituted C3 or above olefin structure, C4 or above diene structure, C6 or above cyclodiene structure or condensed aromatic ring structure, the value of e is 0 or 1). 如請求項1之化合物,其中,M為V或Nb,L為來自經取代或未經取代之環戊二烯之配位基。The compound of claim 1, wherein M is V or Nb, and L is a ligand derived from substituted or unsubstituted cyclopentadiene. 如請求項1或2之化合物,其中,e之值為1。For example, the compound of claim 1 or 2, wherein the value of e is 1. 如請求項1至3中任一項之化合物,其中,M為Nb。The compound of any one of claims 1 to 3, wherein M is Nb. 如請求項1至4中任一項之化合物,其中,R 1為三級丁基。 The compound according to any one of claims 1 to 4, wherein R 1 is tertiary butyl. 如請求項1至5中任一項之化合物,其中,R 2及R 3分別獨立地為乙基、三級丁基或二級丁基。 The compound of any one of claims 1 to 5, wherein R 2 and R 3 are independently ethyl, tertiary butyl or secondary butyl. 如請求項1之化合物,其由下述通式(1-1)表示: (式(1-1)中, M為V或Nb, R 4、R 5、R 6、R 7及R 8分別獨立地為H、C1~C10烷基、鹵素原子、烷基矽基、烷基鍺基、烷基醯胺基或烷基矽基醯胺基, R 1、R 2及R 3與該式(1)同義)。 For example, the compound of claim 1 is represented by the following general formula (1-1): (In formula (1-1), M is V or Nb, R 4 , R 5 , R 6 , R 7 and R 8 are independently H, C1 to C10 alkyl group, halogen atom, alkylsilyl group, alkyl group, etc. Germanium group, alkyl amide group or alkyl silyl amide group, R 1 , R 2 and R 3 are synonymous with the formula (1)). 如請求項1之化合物,其由下述通式(1-2)表示: (式(1-2)中, M為V、Nb或Ta, R 9、R 10、R 11、R 12、R 13、R 14及R 15分別獨立地為H、C1~C10烷基或鹵素原子, R 1、R 2及R 3與該式(1)同義)。 For example, the compound of claim 1 is represented by the following general formula (1-2): (In formula (1-2), M is V, Nb or Ta, R 9 , R 10 , R 11 , R 12 , R 13 , R 14 and R 15 are each independently H, C1 to C10 alkyl or halogen atoms, R 1 , R 2 and R 3 are synonymous with the formula (1)). 如請求項1之化合物,其由下述通式(1-3)表示: (式(1-3)中, M為V、Nb或Ta, R 16及R 17分別獨立地為H、C1~C10烷基或鹵素原子, R 1及R 2與該式(1)同義)。 For example, the compound of claim 1 is represented by the following general formula (1-3): (In formula (1-3), M is V, Nb or Ta, R 16 and R 17 are independently H, C1 to C10 alkyl or halogen atom, R 1 and R 2 are synonymous with the formula (1)) . 如請求項1之化合物,其由下述通式(1-4)表示: (式(1-4)中, M為V、Nb或Ta, R 18、R 19及R 20分別獨立地為H、C1~C10烷基或鹵素原子, R 1、R 2及R 3與該式(1)同義)。 For example, the compound of claim 1 is represented by the following general formula (1-4): (In formula (1-4), M is V, Nb or Ta, R 18 , R 19 and R 20 are independently H, C1 to C10 alkyl or halogen atom, R 1 , R 2 and R 3 are the same as the Synonymous with formula (1)). 一種含金屬膜之形成方法,其包括如下步驟: 導入步驟:將如請求項1至10中任一項之化合物導入至內部配置有基板之反應器;及 沉積步驟:使該化合物之至少一部分沉積於該基板上。 A method for forming a metal-containing film, which includes the following steps: Introduction step: introducing the compound according to any one of claims 1 to 10 into a reactor with a substrate inside; and Depositing step: depositing at least part of the compound on the substrate. 如請求項11之含金屬膜之形成方法,其中,於該導入步驟中,進一步將共反應物導入於該反應器。The method for forming a metal-containing film according to claim 11, wherein in the introducing step, a co-reactant is further introduced into the reactor. 如請求項12之含金屬膜之形成方法,其中,該共反應物選自由O 2、O 3、H 2O、H 2O 2、NO、N 2O、NO 2、磷酸三甲酯、該等之氧自由基及其等之混合物所組成之群。 The method for forming a metal-containing film of claim 12, wherein the co-reactant is selected from the group consisting of O 2 , O 3 , H 2 O, H 2 O 2 , NO, N 2 O, NO 2 , trimethyl phosphate, the A group composed of oxygen free radicals and their mixtures. 如請求項11至13中任一項之含金屬膜之形成方法,其係藉由原子層沉積法進行該沉積步驟。In the method for forming a metal-containing film according to any one of claims 11 to 13, the deposition step is performed by an atomic layer deposition method. 如請求項11至14中任一項之含金屬膜之形成方法,其中,該基板含有陰極活性物質粉末。The method for forming a metal-containing film according to any one of claims 11 to 14, wherein the substrate contains cathode active material powder. 如請求項11至14中任一項之含金屬膜之形成方法,其中,該基板含有陰極活性物質粉末、導電性碳及黏合劑材料。The method for forming a metal-containing film according to any one of claims 11 to 14, wherein the substrate contains cathode active material powder, conductive carbon and binder material. 一種如請求項1之化合物之製造方法, 包括使下述式(i)所表示之前驅化合物與下述式(α-1)所表示之醇及下述式(α-2)所表示之醇中之至少1種反應之步驟: (式(i)中, M、R 1、L及e與該式(1)同義, R a及R b分別獨立地為C1~C5烷基); (式(α-1)及(α-2)中,R 2及R 3與該式(1)同義)。 A method for producing a compound according to claim 1, which includes mixing a precursor compound represented by the following formula (i) with an alcohol represented by the following formula (α-1) and an alcohol represented by the following formula (α-2) Steps for at least one of the reactions: (In the formula (i), M, R 1 , L and e are synonymous with the formula (1), and R a and R b are each independently a C1 to C5 alkyl group); (In the formulas (α-1) and (α-2), R 2 and R 3 are synonymous with the formula (1)).
TW112101751A 2022-01-24 2023-01-16 Method of forming dielectric films, new precursors and their use in the semi-conductor manufacturing TW202336028A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2022008360A JP2023107274A (en) 2022-01-24 2022-01-24 Compound, method for forming metal-containing film, and method for producing compound
JP2022-008360 2022-01-24

Publications (1)

Publication Number Publication Date
TW202336028A true TW202336028A (en) 2023-09-16

Family

ID=87348331

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112101751A TW202336028A (en) 2022-01-24 2023-01-16 Method of forming dielectric films, new precursors and their use in the semi-conductor manufacturing

Country Status (3)

Country Link
JP (1) JP2023107274A (en)
TW (1) TW202336028A (en)
WO (1) WO2023140351A1 (en)

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102005033102A1 (en) * 2005-07-15 2007-01-25 H.C. Starck Gmbh New tantalum- or niobium compounds useful as precursors for tantalum nitride- and niobium nitride layering by means of chemical vapor deposition procedure
EP1916253A1 (en) * 2006-10-26 2008-04-30 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude New group V metal containing precursors and their use for metal containing film deposition
EP2174942B1 (en) * 2008-10-07 2011-11-30 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium and vanadium organometallic precursors for thin film deposition
KR20130049020A (en) * 2011-11-03 2013-05-13 솔브레인씨그마알드리치 유한회사 Tantalum precursor compound and method for preparing the same
JP6337116B2 (en) * 2013-11-13 2018-06-06 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Group 5 transition metal-containing compound for depositing a Group 5 transition metal-containing film
KR102530814B1 (en) * 2020-06-30 2023-05-10 주식회사 이지티엠 Group 5 metal compound for thin film deposition and method of forming group 5 metal containing thin film using the same
US20230313375A1 (en) * 2020-07-28 2023-10-05 L'Air Liquide Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude Method of forming dielectric films, new precursors and their use in the semi-conductor manufacturing

Also Published As

Publication number Publication date
WO2023140351A1 (en) 2023-07-27
JP2023107274A (en) 2023-08-03

Similar Documents

Publication Publication Date Title
JP6500014B2 (en) Vapor deposition precursor and method for producing the same
TWI463032B (en) Preparation of lanthanide-containing precursors and deposition of lanthanide-containing films
KR101659725B1 (en) Volatile dihydropyrazinyl and dihydropyrazine metal complexes
US10174423B2 (en) Niobium-containing film forming compositions and vapor deposition of Niobium-containing films
JP2016540038A (en) Metal complexes containing amidoimine ligands
US10023462B2 (en) Niobium-Nitride film forming compositions and vapor deposition of Niobium-Nitride films
US20120145953A1 (en) LITHIUM PRECURSORS FOR LixMyOz MATERIALS FOR BATTERIES
JP2020504903A (en) Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using them
US20170117142A1 (en) Organic Germanium Amine Compound and Method for Depositing Thin Film Using the Same
EP4041934A1 (en) Lithium precursors for deposition of lithium-containing layers, islets or clusters
KR20210058370A (en) Tungsten Compound, Method for Preparation of the Same, and Tungsten-Containing Thin Film, Method of Manufacturing the Same
TW202336028A (en) Method of forming dielectric films, new precursors and their use in the semi-conductor manufacturing
TW202212345A (en) Method of forming dielectric films, new precursors and their use in the semi-conductor manufacturing
JP7153094B2 (en) Compound and method for producing lithium-containing membrane
US20210193459A1 (en) Organic metal compound, composition for depositing thin film comprising the organic metal compound, manufacturing method for thin film using the composition, thin film manufactured from the composition, and semiconductor device including the thin film
WO2022009945A1 (en) Titanium complex, method for producing same, and method for producing titanium-containing thin film
KR20140075024A (en) Alkali metal diazabutadiene compounds and their use for alkali metal-containing film depositions
TWI761257B (en) Organometallic compound, precursor composition including the same, and method of manufacturing thin film using the same
TWI831079B (en) Rare earth precursor, method of preparing the same, and method of forming thin film using the same
TWI593820B (en) Preparation of lanthanide-containing precursors and deposition of lanthanide-containing films
TW202334479A (en) Molybdenum precursor compound, method for preparing the same, and method for forming a molybdenum-containing film using the same