TW202334173A - Blended composition and uses thereof - Google Patents

Blended composition and uses thereof Download PDF

Info

Publication number
TW202334173A
TW202334173A TW112117452A TW112117452A TW202334173A TW 202334173 A TW202334173 A TW 202334173A TW 112117452 A TW112117452 A TW 112117452A TW 112117452 A TW112117452 A TW 112117452A TW 202334173 A TW202334173 A TW 202334173A
Authority
TW
Taiwan
Prior art keywords
tin
reaction
ligand
carbon
group
Prior art date
Application number
TW112117452A
Other languages
Chinese (zh)
Inventor
喬瑟夫B 埃德森
布萊恩J 卡迪尼奧
威廉 厄爾雷
基斯特 凱爾拉 卉卉
托瑪斯J 拉姆金
羅伯特E 伊列克
Original Assignee
美商英培雅股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英培雅股份有限公司 filed Critical 美商英培雅股份有限公司
Publication of TW202334173A publication Critical patent/TW202334173A/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/22Tin compounds
    • C07F7/2284Compounds with one or more Sn-N linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/22Tin compounds
    • C07F7/2224Compounds having one or more tin-oxygen linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/22Tin compounds
    • C07F7/2208Compounds having tin linked only to carbon, hydrogen and/or halogen

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Abstract

Synthesis reactions are described to efficiently and specifically form compounds of the structure RSnZ3, where R is an organic ligand to the tin, and Z is hydrolysable ligand or a hydrolysis product thereof. The synthesis is effective for a broad range of R ligands. The synthesis is based on the use of alkali metal ions and optionally alkaline earth (pseudo-alkaline earth) metal ions. Compounds are formed of the structures represented by the formulas RSn(C ≡ CSiR'3)3, R'R"ACSnL3, where A is a halogen atom (F, Cl, Br or I) or an aromatic ring with at least one halogen substituent, R'R"(R'"O)CSnZ3 or R'R"(N ≡ C)CSnZ3.

Description

摻合組合物及其應用Blend compositions and their applications

本發明是關於生產單有機錫三胺(mono-organotin triamide)及單有機錫三乙炔化物的改進方法,其中有機基團定義為具有或不具有一個或多個雜原子的烴基。The present invention relates to an improved method for producing mono-organotin triamide and mono-organotin triacetylide, wherein the organic group is defined as a hydrocarbon group with or without one or more heteroatoms.

有機金屬化合物以溶液可處理形式及氣相形式為薄膜沉積提供金屬離子。有機錫化合物提供輻射敏感的Sn-C鍵,其可用於以微影方式圖案化薄膜。以不斷縮小的尺寸製造半導體裝置需要新的通用材料來實現所需的圖案化解析度;有機錫化合物有望提供所需的圖案化優勢。Organometallic compounds provide metal ions for film deposition in solution-processable and gas-phase forms. Organotin compounds provide radiation-sensitive Sn-C bonds that can be used to pattern films lithographically. Fabricating semiconductor devices at ever-shrinking dimensions requires new versatile materials to achieve the required patterning resolution; organotin compounds are expected to provide the required patterning advantages.

於一方案中,本發明是關於一種溶液,該溶液包含有機溶劑;及溶解於溶劑中之有機金屬組合物。該有機金屬組合物包含鹼金屬離子、錫離子、及與錫鍵合為-SnL 3的有機配位基,其中該等有機配位基(L)由-NR' 2、-C≡CR s、或其混合物表示,其中R s為SiR" 3或R',三個R"獨立為H或R',且R'獨立為具有1至31個碳原子及視需要之不飽和碳-碳鍵、視需要之芳族基團、及視需要之雜原子的烴基。於一些實施態樣中,該有機金屬組合物更包含鹼土金屬離子(Be (+2)、Mg (+2)、Ca (+2)、Sr (+2)、Ba (+2))或假鹼土(pseudo-alkaline earth)金屬離子(Zn (+2)、Cd (+2)、或Hg (+2/+1))。 In one aspect, the invention relates to a solution comprising an organic solvent; and an organometallic composition dissolved in the solvent. The organic metal composition includes alkali metal ions, tin ions, and organic ligands bonded to tin as -SnL 3 , wherein the organic ligands (L) are composed of -NR' 2 , -C≡CR s , Or a mixture thereof, wherein R s is SiR" or R', the three R" are independently H or R', and R' is independently having 1 to 31 carbon atoms and optional unsaturated carbon-carbon bonds, optional aromatic groups, and optionally heteroatom hydrocarbon groups. In some embodiments, the organic metal composition further includes alkaline earth metal ions (Be (+2), Mg (+2), Ca (+2), Sr (+2), Ba (+2)) or pseudo Alkaline earth (pseudo-alkaline earth) metal ions (Zn (+2), Cd (+2), or Hg (+2/+1)).

於另一方案中,本發明是關於一種形成鹼金屬錫組合物的方法,其中該方法包括使ML、鹵化錫(II)(SnX 2,X=F、Cl、Br、I、或其混合物)、及視需要之M'OR 0在有機溶劑中反應,其中M為Li、Na、K、Cs、或其組合,M'為Na、K、Cs、或其組合,且L為二烷基胺(dialkylamide)(-NR' 2)或乙炔化物(-C≡CR s),以形成具有SnL 3部分的對應有機金屬組合物,該SnL 3部分為錫三胺(Sn(NR' 2) 3)或錫三乙炔化物(Sn(C≡CR s) 3),與所結合的金屬陽離子M"一起存在,其中若 M'存在則M"為M',或者若M'不存在則M"為M,R 0為具有1至31個碳原子及視需要之不飽和碳-碳鍵、視需要之芳族基團、及視需要之雜原子的烴基,R s為SiR" 3或R',三個R"獨立為H或R',且R'獨立為具有1至31個碳原子及視需要之不飽和碳-碳鍵、視需要之芳族基團、及視需要之雜原子的烴基,以形成鹼金屬錫組合物。於一些實施態樣中,該方法更包括使(鹼土/假鹼土)金屬鹵化物(M"'X 2,X=F、Cl、Br、I、或其混合物)與該鹼金屬錫組合物反應,以形成鹼金屬(鹼土/假鹼土)金屬錫組合物,其中該鹼土/假鹼土金屬為鈹、鎂、鈣、鍶、鋇、鋅、鎘、汞、或其組合。 In another aspect, the invention is directed to a method of forming an alkali metal tin composition, wherein the method includes making ML, tin(II) halide (SnX 2 , X=F, Cl, Br, I, or mixtures thereof) , and optionally M'OR 0 is reacted in an organic solvent, wherein M is Li, Na, K, Cs, or a combination thereof, M' is Na, K, Cs, or a combination thereof, and L is a dialkylamine (dialkylamide) ( -NR ' 2 ) or acetylide (-C≡CR s ) to form corresponding organometallic compositions with a SnL 3 moiety, which is tin triamine (Sn(NR' 2 ) 3 ) or tin triacetylide (Sn(C≡CR s ) 3 ), present with an associated metal cation M", where M" is M' if M' is present, or M" is M if M' is not present , R 0 is a hydrocarbon group having 1 to 31 carbon atoms and optional unsaturated carbon-carbon bonds, optional aromatic groups, and optional heteroatoms, R s is SiR" 3 or R', three R" is independently H or R', and R' is independently a hydrocarbon group having 1 to 31 carbon atoms and optionally an unsaturated carbon-carbon bond, an optional aromatic group, and an optional heteroatom, To form an alkali metal tin composition. In some embodiments, the method further includes making an (alkaline earth/pseudo-alkaline earth) metal halide (M"'X 2 , X=F, Cl, Br, I, or a mixture thereof) React with the alkali metal tin composition to form an alkali metal (alkaline earth/pseudo-alkaline earth) metal tin composition, wherein the alkaline earth/pseudo-alkaline earth metal is beryllium, magnesium, calcium, strontium, barium, zinc, cadmium, mercury, or other combination.

於又另一方案中,本發明是關於一種合成單烴基錫化合物的方法,其中該方法包括使一級鹵化烴(primary halide hydrocarbyl)化合物(R-X,其中X為鹵原子(halide atom))與有機金屬組合物反應,該有機金屬組合物包含與金屬陽離子M結合的SnL 3部分,其中M為鹼金屬、鹼土金屬、及/或假鹼土金屬(Zn、Cd或Hg),且L為產生鹼金屬錫三胺化合物的胺配位基、或產生鹼金屬錫三乙炔化物的乙炔化物配位基,以對應地形成單烴基錫三胺(RSn(NR' 2) 3)或單烴基錫三乙炔化物(RSn(C≡CL s) 3),其中該單烴基配位基(R)為具有1至31個碳原子及視需要之不飽和碳-碳鍵、視需要之芳族基團、及視需要之雜原子的烴基,L s為SiR" 3或R',三個R"獨立為H或R',且R'獨立為具有1至31個碳原子及視需要之不飽和碳-碳鍵、視需要之芳族基團、及視需要之雜原子的烴基,以形成鹼金屬錫組合物。 In yet another aspect, the present invention relates to a method for synthesizing a monoalkyl tin compound, wherein the method includes making a primary halide hydrocarbyl compound (RX, where X is a halide atom) and an organometallic The organometallic composition includes a SnL 3 moiety combined with a metal cation M, wherein M is an alkali metal, an alkaline earth metal, and/or a pseudoalkaline earth metal (Zn, Cd, or Hg), and L is an alkali metal tin that produces The amine ligand of a triamine compound, or the acetylide ligand producing an alkali metal tin triacetylide, correspondingly forms a monoalkyltin triamine (RSn(NR' 2 ) 3 ) or a monoalkyltin triacetylide ( RSn(C≡CL s ) 3 ), wherein the monohydrocarbyl ligand (R) has 1 to 31 carbon atoms and optionally an unsaturated carbon-carbon bond, an optional aromatic group, and an optional The hydrocarbon group of the heteroatom, L s is SiR" 3 or R', the three R" are independently H or R', and R' is independently having 1 to 31 carbon atoms and unsaturated carbon-carbon bonds as needed, Optionally aromatic groups, and optionally heteroatom hydrocarbon groups to form an alkali metal tin composition.

於另外之方案中,本發明是關於由式RSn(C≡CSiR' 3) 3表示的化合物,其中R'及R獨立為具有1至31個碳原子及視需要之不飽和碳-碳鍵、視需要之芳族基團、及視需要之雜原子的烴基。 In another aspect, the invention relates to compounds represented by the formula RSn(C≡CSiR' 3 ) 3 , wherein R' and R independently have 1 to 31 carbon atoms and optionally unsaturated carbon-carbon bonds, optional aromatic groups, and optionally heteroatom hydrocarbon groups.

此外,本發明是關於由式R'R"ACSnZ 3表示的鹵化烴基錫化合物,其中A為鹵素原子(halogen atom)(F、Cl、Br、或I)或具有至少一個鹵素取代基的芳環,其中R'及R"獨立為H、鹵素、或具有1至15個碳原子及視需要之不飽和碳-碳鍵、視需要之芳族基團、及視需要之雜原子的烴基,且Z為L,其中L為可水解的配位基,或者O x(OH) 3-x,0<x<3。 Furthermore, the present invention relates to halogenated alkyltin compounds represented by the formula R'R" ACSnZ3 , wherein A is a halogen atom (F, Cl, Br, or I) or an aromatic ring having at least one halogen substituent , wherein R' and R" are independently H, halogen, or a hydrocarbon group having 1 to 15 carbon atoms and optionally unsaturated carbon-carbon bonds, optional aromatic groups, and optional heteroatoms, and Z is L, where L is a hydrolyzable ligand, or O x (OH) 3-x , 0<x<3.

此外,本發明是關於由式R'R"(R'"O)CSnZ 3表示的烴基錫化合物,其中R'、R"及R'"獨立為氫或具有1至15個碳原子及視需要之不飽和碳-碳鍵、視需要之芳族基團、及視需要之雜原子的烴基,且Z為L,其中L為可水解的配位基,或O x(OH) 3-x,0<x<3。 Furthermore, the present invention relates to an alkyl tin compound represented by the formula R'R"(R'"O) CSnZ3 , wherein R', R" and R'" are independently hydrogen or have 1 to 15 carbon atoms and optionally An unsaturated carbon-carbon bond, an optional aromatic group, and an optional hydrocarbon group of a heteroatom, and Z is L, where L is a hydrolyzable ligand, or O x (OH) 3-x , 0<x<3.

於一些方案中,本發明是關於由式R'R"(N≡C)CSnZ 3表示的烴基錫化合物,其中R'及R"獨立為氫或具有1至15個碳原子及視需要之不飽和碳-碳鍵、視需要之芳族基團、及視需要之雜原子的烴基,且Z為L,其中L為可水解的配位基,或O x(OH) 3-x,0<x<3。 In some embodiments, the invention relates to alkyltin compounds represented by the formula R'R"(N≡C) CSnZ3 , wherein R' and R" are independently hydrogen or have 1 to 15 carbon atoms and optionally A saturated carbon-carbon bond, an optional aromatic group, and an optional hydrocarbon group of a heteroatom, and Z is L, where L is a hydrolyzable ligand, or O x (OH) 3-x , 0<x<3.

闡述用於合成單烴基錫化合物的更通用及有效的技術,其係基於涉及如下化合物的反應:有機鹼金屬化合物、鹵化亞錫(SnX 2,X為鹵化物)、有機鹵化物,其用於藉由(sp 3)碳-錫鍵將用於配位基的R基團貢獻給錫、視需要之另一金屬化合物、以及胺或乙炔化物,其用於將三個可水解的配位基貢獻給錫(NR' 2或-C≡CR')。該改進之合成係基於作為合成單烴基錫化合物的中間體的鹼金屬(及/或鹼土金屬或假鹼土金屬,如下所述)錫組合物之推定形成,但各種金屬錫組合物在其他情形下可能是有用的中間體。然後,中間體金屬錫組合物與有機鹵化物反應,以形成R-SnL 3結構,其中R形成C-Sn鍵,且L代表可水解的配位基。已發現金屬錫化合物於溶液中是穩定且可表徵的,但它們的分離至今仍難以達成。該等金屬錫組合物藉由金屬(鹼金屬及/或鹼土金屬及/或假鹼土金屬)之替代,為形成碳錫鍵提供方便的前驅物,具有良好的產率且對多種有機配位基具有良好的專一性,此可能是由於反應之能量學所致。然後,該等合成方法可擴展至藉由可水解配位基的取代而由三胺或三乙炔化物合成有機錫三烷氧化物(三有機氧化物),或者擴展至藉由配位基之水解而合成氧/氫氧化合物。單有機錫化合物,即具有對水解穩定的C-Sn鍵的化合物,可直接合成且產生的多-有機污染非常低,且已經發現單有機錫化合物更適合作為圖案化應用的輻射敏感組合物。雖然單有機錫三胺可直接用作輻射圖案化組合物的前驅物,但是已經發現三烷氧化物是可輻射圖案化塗層之溶液或氣相沉積的特別有用的前驅物。合成技術有助於引入經雜原子取代的有機配位基,例如鹵化及官能化的有機配位基,例如包含氰基或醚基的配位基,此在使用其他已知的合成技術時可能不可行。已發現合成技術對於有效形成多種有機配位基是有效的,並且闡述及舉例說明具有高輻射吸收的鹵化配位基,尤其是碘化配位基。該等種類的化合物在提供可輻射圖案化的塗層方面非常出色。 Describes a more general and efficient technique for the synthesis of monoalkyl tin compounds, based on reactions involving organic alkali metal compounds, stannous halides (SnX 2 , X is a halide), organic halides, which are used for The R group for the ligand is donated via a (sp 3 ) carbon-tin bond to tin, optionally another metal compound, and an amine or acetylide, which serves to combine the three hydrolyzable ligands Contribute to tin (NR' 2 or -C≡CR'). This improved synthesis is based on the putative formation of alkali metal (and/or alkaline earth metal or pseudo-alkaline earth metal, as described below) tin compositions as intermediates in the synthesis of monoalkyl tin compounds, but various metallic tin compositions in other contexts May be useful intermediates. Then, the intermediate metal tin composition reacts with an organic halide to form an R- SnL3 structure, where R forms a C-Sn bond and L represents a hydrolyzable ligand. Metallic tin compounds have been found to be stable and characterizable in solution, but their separation has so far remained elusive. These metal tin compositions provide convenient precursors for the formation of carbon-tin bonds by replacing metals (alkali metals and/or alkaline earth metals and/or pseudo-alkaline earth metals), have good yields, and are compatible with a variety of organic ligands. There is good specificity, which may be due to the energetics of the reaction. These synthetic methods can then be extended to the synthesis of organotin trialkoxides (triorganoxides) from triamines or triacetylides by substitution of hydrolyzable ligands, or to hydrolysis of ligands And synthesize oxygen/hydroxide compounds. Single organotin compounds, ie compounds with C-Sn bonds that are stable to hydrolysis, can be synthesized directly and produce very low poly-organic contamination, and have been found to be more suitable as radiation-sensitive compositions for patterning applications. Although single organotin triamines can be used directly as precursors for radiation patternable compositions, trialkoxides have been found to be particularly useful precursors for solution or vapor deposition of radiation patternable coatings. Synthetic techniques facilitate the introduction of heteroatom-substituted organic ligands, such as halogenated and functionalized organic ligands, such as those containing cyano or ether groups, which is possible when using other known synthetic techniques Not feasible. Synthetic techniques have been found to be effective in the efficient formation of a variety of organic ligands, and halogenated ligands, particularly iodinated ligands, with high radiation absorption are described and exemplified. This class of compounds is excellent at providing radiation patternable coatings.

如本文所用,且一般與本領域中之用法一致,「單烷基」可與「單有機基」或「單烴基」互換使用,其中「烷基」配位基表示以碳結合至錫以形成一般不可藉由與水接觸而水解的鍵,此將涉及sp 3或sp 2混成碳,而「烷基」基團可具有內部不飽和鍵及雜原子,即不同於碳及氫的原子,其不參與到與錫的結合。本文所述的新合成方法以高產率產生具有低(非錫)金屬及多烷基(即多烴基)污染物的單烴基錫三胺(三烴基胺)及單烴基錫三乙炔化物(三烴基乙炔化物)。該合成方法適合於有效地放大對應的有成本效益的產品之商業生產。藉由本文所述的改進的合成方法,採用更多樣的有機官能基來結合至錫及/或胺或乙炔化物可水解配位基內變得更加有效。使用反應性物質形成所需化合物涉及吸熱反應。雖然不希望受到理論的限制,但據信本文選擇的反應物改變了反應,可能減慢了反應,以容許所產生的熱量消散及/或減少產生的熱量,從而容許形成較不穩定的R-Sn鍵及/或提供更高的產物產率。本段第一句中闡述之替代性術語適用於整個說明書,但藉由採用更直接地反映常用配位基種類範圍的術語,可能會進一步明確。因此,採用術語烴基來闡述具有與錫鍵合之sp 3或sp 2碳的配位基,但該基團不一定具有氫原子。具有與錫鍵合的sp碳的乙炔化物配位基形成可水解的鍵,因此其很容易區分。 As used herein, and generally consistent with usage in the art, "monoalkyl" is used interchangeably with "monorgano" or "monohydrocarbyl," where the "alkyl" ligand means carbon bonded to tin to form Bonds that are generally not hydrolyzable by contact with water, which would involve sp 3 or sp 2 mixed into carbon, and "alkyl" groups can have internal unsaturated bonds and heteroatoms, i.e. atoms other than carbon and hydrogen, which Not involved in bonding with tin. The new synthetic method described herein produces monoalkyltin triamines (trialkylamines) and monoalkyltin triacetylides (trihydrocarbyl acetylide). This synthetic method is suitable for efficient scale-up for commercial production of corresponding cost-effective products. With the improved synthetic methods described herein, it becomes more efficient to employ a greater variety of organic functional groups incorporated into the tin and/or amine or acetylide hydrolyzable ligands. The use of reactive species to form the desired compound involves an endothermic reaction. While not wishing to be bound by theory, it is believed that the reactants selected herein alter the reaction, possibly slowing it down, allowing the heat generated to dissipate and/or reducing the heat generated, thereby allowing the formation of less stable R- Sn bonds and/or provide higher product yields. The alternative terminology set forth in the first sentence of this paragraph applies throughout the specification, but further clarification may be gained by adopting terminology that more directly reflects the range of commonly used ligand species. Therefore, the term hydrocarbyl is used to describe a ligand having an sp 3 or sp 2 carbon bonded to tin, but the group does not necessarily have a hydrogen atom. Acetylide ligands with sp carbons bonded to tin form hydrolyzable bonds, so they are easily distinguished.

烷基金屬配位化合物在高效能輻射系圖案化組合物中的應用闡述於,例如,授予邁耶斯(Meyers)等人且標題為「基於有機金屬溶液之高解析度圖案化組合物(Organometallic Solution Based High Resolution Patterning Compositions)」之美國專利9,310,684中,該美國專利以引用方式併入本文中。用於圖案化之該等有機金屬組合物之改進闡述於授予邁耶斯等人且標題為「基於有機金屬溶液之高解析度圖案化組合物及對應之方法(Organometallic Solution Based High Resolution Patterning Compositions and Corresponding Methods)」之美國專利10,642,153及授予邁耶斯等人且標題為「有機錫氧化物氫氧化物圖案化組合物、前驅物及圖案化(Organotin Oxide Hydroxide Patterning Compositions, Precursors, and Patterning)」之美國專利10,228,618(以下稱為'618專利)中,該二美國專利以引用方式併入本文中。The use of alkyl metal coordination compounds in high-efficiency radiation-based patterning compositions is described, for example, in Meyers et al. entitled "High-resolution patterning compositions based on organometallic solutions". Solution Based High Resolution Patterning Compositions" in U.S. Patent 9,310,684, which is incorporated herein by reference. Improvements in these organometallic compositions for patterning are described in a paper issued to Meyers et al. titled "Organometallic Solution Based High Resolution Patterning Compositions and Corresponding Methods" Corresponding Methods" and U.S. Patent 10,642,153 issued to Meyers et al. and entitled "Organotin Oxide Hydroxide Patterning Compositions, Precursors, and Patterning" U.S. Patent 10,228,618 (hereinafter referred to as the '618 patent), both of which are incorporated herein by reference.

本文合成的組合物可為形成烷基錫氧-氫氧組合物的有效前驅物,烷基錫氧-氫氧組合物有利於高解析度圖案化,例如在極紫外線(extreme ultraviolet;EUV)、紫外線(ultraviolet;UV)、電子束微影中。烷基錫前驅物組合物包含可在適當條件下用水或其他合適試劑水解以形成單烴基錫氧-氫氧圖案化組合物的基團,當完全水解時,其可由式RSnO (1.5-(x 2))(OH) x表示,其中0 < x ≤ 3。進行水解以原位(in situ)形成氧-氫氧組合物可以是方便的,例如在沉積期間及/或初始塗層形成之後。雖然本文所述的三胺及三乙炔化物可在水解條件下用於形成供圖案化的輻射敏感塗層,但可期望形成用於形成塗層的另外的中間體烴基錫三烷氧化物(三烴基氧化物)。本文闡述形成烴基錫三烷氧化物的處理。具有可水解配位基的各種前驅物化合物一般藉由該製程將R-配位基轉移至錫上,並以此觀點進行合成。 The composition synthesized herein can be an effective precursor for forming alkyltin oxide-oxyhydrogen compositions, which are beneficial to high-resolution patterning, such as in extreme ultraviolet (EUV), Ultraviolet (ultraviolet; UV), electron beam lithography. The alkyltin precursor composition contains groups that can be hydrolyzed with water or other suitable reagents under appropriate conditions to form a monoalkyltin oxide-hydroxide patterning composition, which, when fully hydrolyzed, can be formed by the formula RSnO (1.5-(x 2)) (OH) x represents, where 0 < x ≤ 3. It may be convenient to perform hydrolysis to form the oxygen-oxyhydrogen composition in situ, such as during deposition and/or after formation of the initial coating. While the triamines and triacetylides described herein can be used under hydrolytic conditions to form radiation-sensitive coatings for patterning, it may be desirable to form additional intermediate hydrocarbyltin trialkoxides (triacetylides) used to form coatings. Hydrocarbyl oxides). This article describes processes for forming alkyltin trialkoxides. Various precursor compounds with hydrolyzable ligands are generally synthesized from the perspective of transferring the R-ligand to tin through this process.

關於具有可水解配位基的前驅物,可轉化具有可水解Sn-X基團的組合物的代表性水解及縮合反應在以下反應中表示出: RSnL 3+ 3 H 2O → RSn(OH) 3+ 3 HL, RSn(OH) 3→ RSnO x 2OH 3-x+ (x/2) H 2O。 若水解產物HL具有足夠的揮發性,則在基板塗覆過程中可用水蒸氣進行原位水解,但是水解反應亦可在溶液中進行,以形成烷基錫氧-氫氧組合物。在‘618專利中進一步闡述該等處理選項。形成可輻射圖案化塗層的整個合成製程包括用三個可水解的配位基形成所需的R-Sn(C-Sn)鍵,可能在合適的條件下交換可水解的配位基,同時保持該R配位基。 Regarding precursors with hydrolyzable ligands, representative hydrolysis and condensation reactions that can transform compositions with hydrolyzable Sn-X groups are represented in the following reactions: RSnL 3 + 3 H 2 O → RSn(OH) 3 + 3 HL, RSn(OH) 3 → RSnO x / 2 OH 3-x + (x / 2) H 2 O. If the hydrolysis product HL is sufficiently volatile, it can be hydrolyzed in situ with water vapor during substrate coating, but the hydrolysis reaction can also be carried out in solution to form an alkyl tin oxide-hydroxide composition. These processing options are further described in the '618 patent. The overall synthetic process to form a radiation-patternable coating involves forming the required R-Sn (C-Sn) bonds with three hydrolyzable ligands, possibly exchanging the hydrolyzable ligands under appropriate conditions, and Maintain this R ligand.

R形成碳-錫鍵,其中與錫結合的碳為sp 3或sp 2混成的,且R可包含不為碳或氫的雜原子。如上所述,為了方便以及此項技術中之一致性,R可互換地稱為烷基配位基、有機配位基或烴基配位基。於一些實施態樣中,烷基配位基對於一些圖案化組合物可能是理想的,其中化合物一般可表示為R 1R 2R 3CSn O (2-(z 2)-(x 2))(OH) x,其中R 1、R 2及R 3獨立為氫或具有1至10個碳原子的烷基。類似地,烷基配位基R之此種表示類似地適用於一般具有R 1R 2R 3CSn(L) 3的其他實施態樣,其中L對應於可水解的配位基,例如烷氧化物(烴基氧化物)、乙炔化物或胺部分。於一些實施態樣中,R 1及R 2可形成環狀烷基部分,且R 3亦可接合環狀部分中之其他基團。合適之支鏈烷基配位基可為例如異丙基(R 1及R 2係甲基,且R 3係氫)、三級丁基(R 1、R 2及R 3係甲基)、三級戊基(R 1及R 2係甲基,且R 3係-CH 2CH 3)、二級丁基(R 1係甲基,R 2係-CH 2CH 3,且R 3係氫)、新戊基(R 1及R 2係氫,且R 3係-C(CH 3) 3)、環己基、環戊基、環丁基、及環丙基。合適之環狀基團之實例包括,例如1-金剛烷基(-C(CH 2) 3(CH) 3(CH 2) 3或於三級碳處鍵合至金屬之三環(3.3.1.13,7)癸烷)及2-金剛烷基(-CH(CH) 2(CH 2) 4(CH) 2(CH 2)或於二級碳處鍵合至金屬之三環(3.3.1.13,7)癸烷)。於其他實施態樣中,烴基可包括芳基或烯基,例如苄基、烯丙基或炔基。於其他實施態樣中,烴基配位基R可包括僅由C及H組成且包含1至31個碳原子之任何基團。概括而言,與錫鍵合之合適烷基的一些實例包括,例如,直鏈或支鏈烷基(異丙基((CH 3) 2CH-)、三級丁基((CH 3) 3C-))、甲基(CH 3-)、正丁基(CH 3CH 2CH 2CH 2-))、環烷基(環丙基、環丁基、環戊基)、烯族(烯基、芳基、烯丙基)、或炔基、或其組合。於進一步之實施態樣中,合適之R基團可包括經雜原子官能基取代之烴基,該等雜原子官能基包括氰基、硫基、矽烷基(及鍺類似物)、醚基、酮基、酯基、或鹵化基團或其組合。如此項技術中常規的,烴基可被稱為烷基,即使該基團可具有不飽和鍵、芳基、雜原子等。帶有鹵素原子的R基於下文中舉例說明,並針對具體結構進行更詳細的闡述。帶有氰基或醚基的R基亦於下文中舉例說明。具有矽烷基部分的R基闡述於授予伊列克(Jilek)等人且標題為「有機錫圖案化材料:組合物及方法(Organotin Patterning Materials: Compositions and Methods)」的共同待審查臨時申請案63/210,769中,該申請案以引用方式併入本文中。具有氘化部分的R基闡述於授予伊列克等人且標題為「氘化有機錫化合物(Deuterated Organotin Compounds)」的共同待審查美國臨時專利申請案63/215,720中,該申請案以引用方式併入本文中。 R forms a carbon-tin bond where the carbon bonded to the tin is sp3 or sp2 mixed, and R may contain heteroatoms that are not carbon or hydrogen. As noted above, for convenience and consistency in the art, R is interchangeably referred to as an alkyl ligand, an organic ligand, or a hydrocarbyl ligand. In some embodiments, alkyl ligands may be desirable for some patterning compositions, where the compound may generally be represented by R 1 R 2 R 3 CSn O (2-(z / 2)-(x / 2 )) (OH) x , wherein R 1 , R 2 and R 3 are independently hydrogen or an alkyl group having 1 to 10 carbon atoms. Analogously, this representation of the alkyl ligand R applies analogously to other embodiments generally having R 1 R 2 R 3 CSn(L) 3 , where L corresponds to a hydrolyzable ligand, for example an alkoxylated (hydrocarbyl oxide), acetylide or amine moiety. In some embodiments, R 1 and R 2 can form a cyclic alkyl moiety, and R 3 can also be bonded to other groups in the cyclic moiety. Suitable branched alkyl ligands may be, for example, isopropyl (R 1 and R 2 are methyl, and R 3 is hydrogen), tertiary butyl (R 1 , R 2 and R 3 are methyl), Tertiary pentyl (R 1 and R 2 are methyl, and R 3 is -CH 2 CH 3 ), secondary butyl (R 1 is methyl, R 2 is -CH 2 CH 3 , and R 3 is hydrogen ), neopentyl (R 1 and R 2 are hydrogen, and R 3 is -C(CH 3 ) 3 ), cyclohexyl, cyclopentyl, cyclobutyl, and cyclopropyl. Examples of suitable cyclic groups include, for example, 1-adamantyl (-C(CH 2 ) 3 (CH) 3 (CH 2 ) 3 or tricyclic (3.3.1.13) bonded to a metal at the tertiary carbon ,7) Decane) and 2-adamantyl (-CH(CH) 2 (CH 2 ) 4 (CH) 2 (CH 2 ) or tricycle bonded to the metal at the secondary carbon (3.3.1.13, 7) Decane). In other embodiments, the hydrocarbyl group may include an aryl or alkenyl group, such as benzyl, allyl, or alkynyl. In other embodiments, the hydrocarbyl ligand R may include any group consisting solely of C and H and containing 1 to 31 carbon atoms. In summary, some examples of suitable alkyl groups bonded to tin include, for example, linear or branched alkyl (isopropyl ((CH 3 ) 2 CH-), tertiary butyl ((CH 3 ) 3 C-)), methyl (CH 3 -), n-butyl (CH 3 CH 2 CH 2 CH 2 -)), cycloalkyl (cyclopropyl, cyclobutyl, cyclopentyl), alkenyl (ene group, aryl, allyl), or alkynyl, or a combination thereof. In further embodiments, suitable R groups may include hydrocarbyl groups substituted with heteroatom functional groups, including cyano groups, thio groups, silyl groups (and germanium analogs), ether groups, and ketone groups. group, ester group, or halogenated group or a combination thereof. As is conventional in the art, a hydrocarbyl group may be referred to as an alkyl group, even though the group may have unsaturated bonds, aryl groups, heteroatoms, and the like. R with a halogen atom is based on the examples below and is explained in more detail for specific structures. R groups bearing cyano or ether groups are also exemplified below. R groups with silane moieties are described in co-pending provisional application 63 entitled "Organotin Patterning Materials: Compositions and Methods" issued to Jilek et al. /210,769, which application is incorporated herein by reference. R groups with deuterated moieties are described in co-pending U.S. Provisional Patent Application No. 63/215,720 entitled "Deuterated Organotin Compounds" issued to Ilek et al., which application is incorporated by reference incorporated herein.

前驅物組合物可用於形成有機錫氧/氫氧塗層組合物,其整合入常見氧/氫氧網絡中。前驅物組合物可包含一種或多種可溶性有機錫氧/氫氧化合物,或具有水解及/或縮合時形成氧及/或氫氧配位基的可水解配位基的對應化合物。對於具有多種化合物的前驅物組合物,該等化合物可具有帶有金屬-碳鍵的不同有機配位基,以及相同或不同的可水解配位基。因此,形成輻射敏感塗層的前驅物組合物一般可包含一種或多種由RSnL 3表示的化合物的溶液及其混合物,其中R係具有1至31個碳原子的烴基,如上所述,並且L係具有可水解的M-L鍵的配位基。對於本文所述的組合物,二烷基胺及烷基乙炔化物(-C≡CR 0)係可水解配位基之實例。二烷基胺及烷基乙炔化物可用作配位基,該等配位基可容易地被取代及/或反應以製備其他有機錫組合物,例如有機錫羧酸鹽、有機錫烷氧化物、有機錫氧化氫氧化物等,其可有利於進一步處理。有機錫羧酸鹽可很容易藉由與羧酸反應形成。有機錫烷氧化物之製備闡述於下文的實例中,以及有機錫氧化氫氧化物之形成概述如下。有機錫烷氧化物包含烷氧基配位基(-OR 0),其中R 0基團可為與上文針對R所述相同的部分的其中之一,使得它們可具有雜原子及不飽和碳-碳鍵。特別地,有機錫三烷氧化物組合物可由式RSn(OR 0) 3表示。此外,有機錫三二烷基胺組合物可由式RSn(NR aR b) 3表示,其中R a及R b基團可獨立為與上文針對R所述相同的部分的其中之一,且烴基錫三烷基乙炔化物可由式RSn(C≡CR 0) 3表示。與R配位基一樣,可水解的配位基係指烷基胺或烷基乙炔化物,同樣,它們在此項技術中已知不局限於嚴格的有機化學意義上的烷基,而是可等同地闡述為有機基團或烴基。但是對於該等配位基而言,該術語可能很快變得更加難以理解,因此對於可水解的配位基而言,烷基保持不變,要理解的是,該表達應該被廣泛地理解為此項技術中通常的表達,並且舉例說明可水解的配位基中之矽烷基衍生物。於一些實施態樣中,R a、R b、R 0可獨立為直鏈或支鏈烷基(-C nH 2n+1,n係1至5)。 The precursor composition can be used to form organotin oxy/hydrogen coating compositions that integrate into common oxygen/hydrogen networks. The precursor composition may comprise one or more soluble organotin oxygen/hydroxide compounds, or corresponding compounds having hydrolyzable ligands that upon hydrolysis and/or condensation form oxygen and/or hydroxyl ligands. For precursor compositions with multiple compounds, the compounds may have different organic ligands with metal-carbon bonds, as well as the same or different hydrolyzable ligands. Accordingly, the precursor composition for forming the radiation-sensitive coating may generally comprise solutions of one or more compounds represented by RSnL3 and mixtures thereof, wherein R is a hydrocarbon group having 1 to 31 carbon atoms, as described above, and L is A ligand with a hydrolyzable ML bond. For the compositions described herein, dialkylamines and alkyl acetylides ( -C≡CR0 ) are examples of hydrolyzable ligands. Dialkylamines and alkyl acetylides can be used as ligands, which can be readily substituted and/or reacted to prepare other organotin compositions, such as organotin carboxylates, organotin alkoxides , organotin oxide hydroxide, etc., which can facilitate further processing. Organotin carboxylates can be readily formed by reaction with carboxylic acids. The preparation of organotin alkoxides is illustrated in the examples below, and the formation of organotin oxyhydroxides is summarized below. Organotin alkoxides contain an alkoxy ligand (-OR 0 ), where the R 0 group can be one of the same moieties described above for R, such that they can have heteroatoms as well as unsaturated carbon -Carbon bonds. In particular, the organotin trialkoxide composition may be represented by the formula RSn(OR 0 ) 3 . Additionally, the organotin trialkylamine composition may be represented by the formula RSn(NR a R b ) 3 , wherein the R a and R b groups may independently be one of the same moieties as described above for R, and The alkyltin trialkyl acetylide can be represented by the formula RSn(C≡CR 0 ) 3 . Like the R ligands, the hydrolyzable ligands refer to alkylamines or alkyl acetylides, which are likewise known in the art to be not limited to alkyl groups in the strict sense of organic chemistry, but can Equivalently stated as organic or hydrocarbyl groups. But for such ligands the term can quickly become more difficult to understand, so for hydrolyzable ligands the alkyl group remains the same, it is understood that this expression should be understood broadly This is a common expression in the art and exemplifies silyl derivatives among hydrolyzable ligands. In some embodiments, R a , R b , and R 0 can independently be linear or branched alkyl (-C n H 2n+1 , n ranges from 1 to 5).

具有可水解配位基的單烴基錫組合物一般可由式RSn(L) 3表示,其中R係在藉由sp 3或sp 2碳形成碳-錫鍵的上下文中如上文所定義,且可認為上文對R的廣泛討論在此處被完全詳細地重複。關於可水解的配位基,L可為-OR'、NR' 2、或-C≡CR'。一般而言,R'可為任何上文針對R所闡述相同的物質,如同R一樣具有與相鄰原子鍵合的sp 3或sp 2碳,且具體而言可視需要包括不飽和碳-碳鍵、芳族部分及雜原子。矽雜原子具體例示如下。與R一樣,術語「烷基」或「烷(alk)」,如在「烷氧基」中一樣,並不意味著限於不含雜原子的飽和烴,因此另一選擇為,它可被稱為烴基或有機基團。於一些實施態樣中,R'可包含≤10個碳原子,且可為例如甲基、乙基、丙基、異丙基、丁基、三級丁基、異丁基、或三級戊基。R基團可為直鏈、支鏈(即在金屬鍵合的碳原子處為二級或三級)、或環狀基團。其中R'包含矽原子的實施態樣將於下文進一步闡述並舉例說明。本文闡述基於以下一般概念的各種合成實施態樣:首先合成由式MSnL 3表示的中間體混合物,其中M為一種或多種(+1)或(+2)金屬離子,且L為可水解的配位基,特別是二烷基胺或烷基乙炔化物。一般而言,中間體之形成濃度基於錫含量為約0.005 M至約2 M,於進一步之實施態樣中為約0.01 M至約1.75 M,且於其他實施態樣中為約1.5 M至約0.025 M。此項技術中具有通常知識者將認識到,在該等明確範圍內之其他濃度範圍係被設想到且落入本揭露之範圍內。該中間體與RX(其中X為鹵原子)反應形成RSnL 3,其可根據需要進一步使用。於一些實施態樣中,M可為Li。於其他實施態樣中,M可為另一種鹼金屬,例如Na、K、Rb、或Cs。於一些實施態樣中,除了鹼金屬之外,M可更包括鹼土金屬,例如Mg、Ca、Sr、或Ba。於進一步之實施態樣中,除鹼金屬以外,M可更包括假鹼土金屬離子,例如Zn、Cd、或Hg。於一些實施態樣中,M可為任何前述鹼金屬、鹼土金屬、或假鹼土金屬的混合物。M之適當選擇可由熱力學因素及/或動力學因素驅動,例如M與Sn之間的電負性差異,其容許期望的烷基化(即,Sn-C鍵之形成)反應以合適的產率及純度進行。影響選擇合適M的其他因素可為物理考量,如反應物/產物帶來的危害(例如自燃性、毒性)及反應物/產物之物理性質。於任何情形下,已經發現,在某些情況下,藉由使用替代鋰或除鋰之外另外加入的替代性鹼金屬離子,或者藉由除鋰或其他鹼金屬離子之外引入鹼土或假鹼土離子,可獲得更好的產率及更純的產品。中間體係穩定的(例如,不形成沉澱),但是由於它們的反應性及由此產生的分離它們的困難,中間體之結構係自可獲得的測量結果及對合理替代品的審查中推測出來。因此,理想化的式MSnL 3可更準確地理解為複雜的中間體混合物,其中M可包括一種或多種如上所述的金屬。 Monohydrocarbyl tin compositions with hydrolyzable ligands may generally be represented by the formula RSn(L), where R is as defined above in the context of formation of a carbon-tin bond by sp or sp carbon, and may be considered The extensive discussion of R above is repeated here in full detail. Regarding hydrolyzable ligands, L can be -OR', NR' 2 , or -C≡CR'. In general, R' may be any of the same substances as set forth above for R, having as R a sp 3 or sp 2 carbon bonded to an adjacent atom, and in particular including unsaturated carbon-carbon bonds if desired , aromatic parts and heteroatoms. Specific examples of silicon heteroatoms are as follows. As with R, the term "alkyl" or "alk", as in "alkoxy", is not meant to be restricted to saturated hydrocarbons containing no heteroatoms, so alternatively it may be called It is a hydrocarbon group or an organic group. In some embodiments, R' may contain ≤10 carbon atoms, and may be, for example, methyl, ethyl, propyl, isopropyl, butyl, tertiary butyl, isobutyl, or tertiary pentyl. base. The R groups may be straight chain, branched (ie, secondary or tertiary at the metal-bonded carbon atom), or cyclic. Implementations in which R′ includes silicon atoms will be further described and illustrated below. This article describes various synthetic implementations based on the following general concept: First, an intermediate mixture represented by the formula MSnL 3 is synthesized, where M is one or more (+1) or (+2) metal ions, and L is a hydrolyzable complex. radicals, especially dialkylamines or alkyl acetylides. Generally, the intermediate is formed at a concentration of about 0.005 M to about 2 M based on the tin content, in further embodiments about 0.01 M to about 1.75 M, and in other embodiments about 1.5 M to about 0.025M. One of ordinary skill in the art will recognize that other concentration ranges within these explicit ranges are contemplated and fall within the scope of this disclosure. This intermediate reacts with RX (where X is a halogen atom) to form RSnL 3 , which can be further used as desired. In some implementations, M may be Li. In other embodiments, M can be another alkali metal, such as Na, K, Rb, or Cs. In some embodiments, in addition to alkali metals, M may further include alkaline earth metals, such as Mg, Ca, Sr, or Ba. In further embodiments, in addition to alkali metals, M may further include pseudo-alkaline earth metal ions, such as Zn, Cd, or Hg. In some embodiments, M can be a mixture of any of the aforementioned alkali metals, alkaline earth metals, or pseudo-alkaline earth metals. Appropriate selection of M can be driven by thermodynamic and/or kinetic factors, such as the electronegativity difference between M and Sn, which allows the desired alkylation (i.e., Sn-C bond formation) reaction to occur in suitable yields and purity. Other factors that influence the selection of an appropriate M may be physical considerations, such as the hazards posed by the reactants/products (e.g., pyrophoricity, toxicity) and the physical properties of the reactants/products. In any case, it has been found that, in some cases, by using alternative alkali metal ions instead of or in addition to lithium, or by introducing alkaline earths or pseudo-alkaline earths in addition to lithium or other alkali metal ions ions, better yields and purer products can be obtained. Intermediate systems are stable (e.g., do not form precipitates), but due to their reactivity and the resulting difficulty in isolating them, the structures of the intermediates are inferred from available measurements and a review of reasonable alternatives. Therefore, the idealized formula MSnL3 can be more accurately understood as a complex mixture of intermediates, where M can include one or more metals as described above.

雖然不希望受到理論的限制,但據信M之適當選擇會受到親核試劑MSnL 3中間體與親電試劑RX之間的烷基化反應之反應性的影響。對於更具反應性的R基團,例如具有低C-Sn鍵離解能及/或具有高親電性的基團,烷基化反應產生的能量釋放被認為有助於Sn-C鍵之分解,導致不良的產物產率。因此,為了減少親電子烷基鹵化物與親核MSnL 3中間體反應時釋放的能量,可能希望M包括電負性更高(即,電正性更低)的金屬。相反地,對於反應性較低的R基團,可能希望選擇電負性較低(即,電正性更高)的金屬,以增加所需RSn鍵之產率。 While not wishing to be bound by theory, it is believed that the appropriate choice of M will be affected by the reactivity of the alkylation reaction between the nucleophile MSnL3 intermediate and the electrophile RX. For more reactive R groups, such as those with low C-Sn bond dissociation energy and/or with high electrophilicity, the energy release from the alkylation reaction is thought to contribute to the dissociation of the Sn-C bond. , leading to poor product yields. Therefore, to reduce the energy released when electrophilic alkyl halides react with nucleophilic MSnL intermediates , it may be desirable for M to include a more electronegative (i.e., less electropositive) metal. Conversely, for less reactive R groups, it may be desirable to select a less electronegative (i.e., more electropositive) metal to increase the yield of the desired RSn bond.

合成方法利用鹵化錫(II)(SnX 2,如SnCl 2)、二級胺或乙炔化物、烷基金屬(MR")及烷基鹵化物(RX),其中R"及R係有機基團,M係鹼金屬(Li、Na、K、Rb、及/或Cs),且X係鹵化物。二級胺可用式HNR' 2表示,且乙炔化物用R'C≡CH表示,其中R'係有機基團,即烴基。對於其中M為非鋰鹼金屬的實施態樣,將鹼金屬作為MOR 0引入使得M結合入鹼金屬-錫中間體中可能是方便及更有效的。尚未確定LiR"是否轉化為MR",乃因M可以對應於錫莫耳量的較低化學計量的量引入,而非可水解配位基之化學計量。然而,非鋰鹼金屬之引入可導致更有效的總反應及提高的產率,即使此種取代可能增加反應複雜性並增加額外之反應物。合成中的中間體被認為係MSnL 3組合物,其中L係-NR' 2或-C≡CR'。此鹼金屬錫化合物亦可作為用於合成其他化合物的有用中間體。 The synthesis method utilizes tin (II) halide (SnX 2 , such as SnCl 2 ), secondary amine or acetylide, alkyl metal (MR") and alkyl halide (RX), where R" and R are organic groups, M is an alkali metal (Li, Na, K, Rb, and/or Cs), and X is a halide. The secondary amine can be represented by the formula HNR' 2 , and the acetylide is represented by R'C≡CH, where R' is an organic group, that is, a hydrocarbon group. For embodiments where M is a non-lithium alkali metal, it may be convenient and more efficient to introduce the alkali metal as MOR 0 so that M is incorporated into the alkali metal-tin intermediate. It has not been determined whether LiR "converts to MR" since M can be introduced in a lower stoichiometric amount corresponding to the tinmol amount, rather than the stoichiometric amount of the hydrolyzable ligand. However, the introduction of non-lithium alkali metals can lead to a more efficient overall reaction and improved yields, even though such substitutions may increase reaction complexity and add additional reactants. The intermediates in the synthesis are considered to be MSnL 3 compositions, where L is -NR' 2 or -C≡CR'. This alkali metal tin compound can also serve as a useful intermediate for the synthesis of other compounds.

除了鹼金屬(一般是鋰)及視需要使用的非鋰鹼金屬之外,還可引入鹼土金屬離子(Be、Mg、Ca、Sr、Ba)或假鹼土金屬離子(Zn、Cd、Hg)或其混合物,此對於一些反應而言可有助於形成具有更高產率及/或更高純度的產品。該等+2金屬離子可作為鹵化物鹽MX 2引入,其中X為鹵化物。已知鹼土金屬(如鎂)與親核配位基(包括烷基配位基)在例如格任亞試劑(Grignard reagent)中形成組合物之平衡混合物。若與結合至親核配位基上的鋰化合物混合,鹼土金屬預計會與鋰組合物形成平衡組合物。假鹼土金屬係指元素週期表第12族的金屬(鋅、鎘、汞),其展現與第2族之鹼土金屬類似的化學性質。鋅於下文在合成反應中舉例說明。可設想到組合物係於溶液中形成,例如Q(Sn(L 3) 2及Li(Q(Sn(L 3) 3),其中Q為鹼土金屬或假鹼土金屬,但是不直接評估精確的組合物,並且可能存在相對複雜的平衡。雖然不希望受到理論的限制,但是鹼土金屬及/或假鹼土金屬之引入藉由改進反應途徑及/或中間體而促進錫-碳鍵之後續形成,使得反應過程中釋放的能量促進Sn-C鍵形成。鹼土金屬或假鹼土金屬可以或不以化學計量的量加入,但可經選擇為所得MSnL 3中間體提供所需的親核性。 In addition to alkali metals (generally lithium) and optionally non-lithium alkali metals, alkaline earth metal ions (Be, Mg, Ca, Sr, Ba) or pseudo-alkaline earth metal ions (Zn, Cd, Hg) or Mixtures thereof, which for some reactions may help to form products with higher yields and/or higher purity. These +2 metal ions can be introduced as halide salts MX2 , where X is a halide. It is known that alkaline earth metals (such as magnesium) form equilibrium mixtures of compositions with nucleophilic ligands (including alkyl ligands) in, for example, Grignard reagents. If mixed with a lithium compound bound to a nucleophilic ligand, the alkaline earth metal is expected to form an equilibrium composition with the lithium composition. Pseudo-alkaline earth metals refer to metals in Group 12 of the periodic table of elements (zinc, cadmium, mercury), which exhibit similar chemical properties to the alkaline earth metals in Group 2. Zinc is exemplified below in the synthesis reaction. Compositions formed in solution are conceivable, such as Q(Sn(L 3 ) 2 and Li(Q(Sn(L 3 ) 3 ), where Q is an alkaline earth metal or a pseudoalkaline earth metal, but the precise combination is not directly assessed species, and relatively complex equilibria may exist. While not wishing to be bound by theory, the introduction of alkaline earth metals and/or pseudo-alkaline earth metals promotes the subsequent formation of tin-carbon bonds by improving reaction pathways and/or intermediates, such that The energy released during the reaction promotes Sn-C bond formation. Alkaline earth metals or pseudo-alkaline earth metals may or may not be added in stoichiometric amounts but can be selected to provide the desired nucleophilicity of the resulting MSnL 3 intermediate.

單烷基錫組合物通常由以下類型的再分配反應產生的單烷基三氯化錫製備 R 4Sn  +  3 SnX 4→  4 RSnX 3R 2SnX 2+  SnX 4→  2 RSnX 3其中R為烷基,且X為鹵化物,一般為氯化物。蘭格(Langer)等人報道由涉及(CH 3) 2SnCl 2及SnCl 4於熱的二甲基亞碸(dimethylsulfoxide;DMSO)中之再分配反應形成CH 3SnCl 3。(四面體快報(Tetrahedron Letters),1967,1,43-47;美國專利第3,454,610號,1969,二者皆以引用方式併入本文中)。DMSO與單烷基錫產品形成加合物(adduct),此有助於產物分離及純化。 Monoalkyltin compositions are typically prepared from monoalkyltin trichloride produced by a redistribution reaction of the following type R 4 Sn + 3 SnX 4 → 4 RSnX 3 R 2 SnX 2 + SnX 4 → 2 RSnX 3 where R is an alkyl base, and X is a halide, usually a chloride. Langer et al. reported the formation of CH 3 SnCl 3 by a redistribution reaction involving (CH 3 ) 2 SnCl 2 and SnCl 4 in hot dimethylsulfoxide (DMSO). (Tetrahedron Letters, 1967, 1, 43-47; U.S. Patent No. 3,454,610, 1969, both of which are incorporated herein by reference). DMSO forms an adduct with the monoalkyl tin product, which facilitates product isolation and purification.

催化劑可用於生產單烷基衍生物,否則該等衍生物很難或不可能製備。磷-鹵素化合物以五氧化二磷與鹽酸之混合物的形式作為催化劑之用途闡述於例如授予諾依曼(Neumann)等人且標題為「烷基三鹵化錫之生產方法(Process for the production of alkyltin trihalides)」的美國專利3,459,779中,該專利以引用方式併入本文中。使用四鹵化錫的二烷基二鹵化錫或四烷基錫組合物之再分佈亦可於高於150℃的溫度下被四級銨鹽催化(T. G.庫格勒(T. G. Kugele)及D. H.帕克(D. H. Parker),美國專利3,867,198,「烷基鹵化錫催化再分佈(Catalyzed redistribution of alkyltin halides)」,以引用方式併入本文中)。已發現SnF 2催化再分配反應(布休夫(Buschhoff)及諾依曼(Neumann),美國專利4,604,475,「製備有機錫鹵化物的方法(Method for making organotin halides)」,以引用方式併入本文中)。托寧(Thoonen)等人於標題為「單烷基三鹵化錫之生產方法(Process for the production of monoalkyl tin trihalides)」的美國專利6,768,017中闡述使用過渡金屬催化再分配反應,該專利以引用方式併入本文中。 Catalysts can be used to produce monoalkyl derivatives that would otherwise be difficult or impossible to prepare. The use of phosphorus-halogen compounds in the form of mixtures of phosphorus pentoxide and hydrochloric acid as catalysts is described, for example, in Neumann et al. under the title "Process for the production of alkyltin trihalides"trihalides)", which is incorporated herein by reference. Redistribution of dialkyltin dihalides or tetraalkyltin compositions using tin tetrahalides can also be catalyzed by quaternary ammonium salts at temperatures above 150°C (TG Kugele and DH Parker) DH Parker, U.S. Patent 3,867,198, "Catalyzed redistribution of alkyltin halides", incorporated herein by reference). SnF 2 has been discovered to catalyze the redistribution reaction (Buschhoff and Neumann), U.S. Patent 4,604,475, "Method for making organotin halides", incorporated herein by reference middle). Thoonen et al. describe the use of transition metal catalyzed redistribution reactions in U.S. Patent 6,768,017 titled "Process for the production of monoalkyl tin trihalides", which patent is incorporated by reference. incorporated herein.

博埃勒(Boele)等人於標題為「單烷基三鹵化錫及二烷基二鹵化錫之製備方法(Process for the Preparation of Monoalkyl Tin Trihalides and Dialkyl Dihalides)」的美國專利7,592,472中闡述烯烴、二鹵化錫及鹵化氫在過渡金屬催化劑存在下反應以生產單烷基三鹵化錫,該專利以引用方式併入本文中。德爾曼(Deelman)等人於標題為「高純度單烷基錫化合物及其用途(High Purity Monoalkyltin Compounds and Uses Thereof)」的美國專利8,198,352中闡述了單烷基三氯化錫之純化以及藉由用巰基乙酸鹽替代氯化物將其轉化為衍生物組合物,該專利以引用方式併入本文中。Boele et al. describe olefins, Tin dihalide and hydrogen halide are reacted in the presence of a transition metal catalyst to produce monoalkyltin trihalide, which patent is incorporated herein by reference. Deelman et al. describe the purification of monoalkyltin trichloride and its purification by This was converted into a derivative composition by replacing the chloride with thioglycolate, which patent is incorporated herein by reference.

雖然有數種方法可用於製備單烷基錫組合物,但它們的適用性通常因實際問題而限於特定烷基。此外,所報道的反應亦可能產生低產物產率及多種單烷基產物及多烷基產物,該等產物參與隨後分離所需化合物的純化步驟。產物中殘留之催化劑亦可能損害需要極低濃度痕量金屬的應用。While several methods are available for preparing monoalkyltin compositions, their applicability is often limited to specific alkyl groups due to practical issues. In addition, the reported reactions may also produce low product yields and multiple mono- and polyalkyl products that are involved in subsequent purification steps to isolate the desired compounds. Residual catalyst in the product may also harm applications requiring trace metals at very low concentrations.

愛德森等人於已公開的美國專利申請案2019/0315781A1中闡述合成單烴基錫三胺及單烴基錫三烷氧化物的改進方法,該專利申請案標題為「具有低多烷基污染之單烷基錫化合物、其組合物及方法(Monoalkyl Tin Compounds with Low Polyalkyl Contamination, Their Compositions and Methods)」,以引用方式併入本文中。三胺係藉由烷基鋅或烷基鎂試劑與錫四胺之間的取代反應來製備。然後藉由分餾純化產物三胺以除去多烷基雜質。然後,藉由胺與化學計量的量的醇反應,三胺可轉化為三烷氧化物。此項工作包括自最初的反應中產生少量多烷基雜質,但是使用分餾來進一步降低雜質程度。Edelson et al. describe improved methods for the synthesis of monoalkyltin triamines and monoalkyltin trialkoxides in published U.S. patent application 2019/0315781A1, which is titled "Methods with Low Polyalkyl Contamination" "Monoalkyl Tin Compounds with Low Polyalkyl Contamination, Their Compositions and Methods" is incorporated herein by reference. Triamines are prepared by substitution reactions between alkyl zinc or alkyl magnesium reagents and tin tetramines. The product triamine is then purified by fractional distillation to remove polyalkyl impurities. The triamine can then be converted to the trialkoxide by reacting the amine with a stoichiometric amount of alcohol. This work involves generating small amounts of polyalkyl impurities from the initial reaction, but using fractionation to further reduce impurity levels.

製備單烷基錫三胺的方法先前係使用鋰試劑將錫四胺轉化為所需的三胺。舉例而言,三級丁基三(二乙基胺基)錫(t-BuSn(NEt 2) 3),可根據以下文獻中之方法用鋰試劑合成:漢斯根D.(Hänssgen, D.);普夫H.(Puff, H.);貝克曼N.(Beckerman, N.),有機金屬化學雜誌(J. Organomet. Chem.)1985,293,191,以引用方式併入本文中。然而,該等使用鋰試劑的方法可產生單烷基錫產物與二烷基錫產物之混合物。所報道的製備含有二級烷基的單烷基錫三胺的方法產生富含單、二、及三烷基錫產物的混合物。 Methods for preparing monoalkyltin triamines have previously used lithium reagents to convert tin tetramines to the desired triamines. For example, tertiary butyl tris(diethylamino)tin (t-BuSn(NEt 2 ) 3 ) can be synthesized using lithium reagents according to the method in the following literature: Hänssgen, D. ); Puff, H.; Beckerman, N., J. Organomet. Chem. 1985, 293, 191, incorporated herein by reference. However, these methods using lithium reagents can produce mixtures of monoalkyltin products and dialkyltin products. The reported method for preparing monoalkyltin triamines containing secondary alkyl groups produces a mixture rich in mono-, di-, and trialkyltin products.

本文所述之方法著重於蒸餾前具有低多烷基濃度的單烷基錫三胺及單烷基錫三乙炔化物之合成。該等方法亦可用於合成包含烴基的單烷基錫產品,該等產品不能藉由此項技術中已知的其他方法容易地以純形式製備。於本合成反應中,烴基配位基係由有機鹵化物反應物生成。有機鹵化物反應物可容易地用於各種化合物以提供配位基。雖然其他合成技術一般可用於各種烴基配位基的合成,但是對於引入配位基的反應物組成以及產率、反應時間、合適溶劑之選擇可能存在實際限制及存在潛在的其他實際限制。鹼金屬錫化合物之合成提供一種於其他情形下亦可能有用的有效的中間體。The methods described herein focus on the synthesis of monoalkyltin triamines and monoalkyltin triacetylides with low polyalkyl concentrations prior to distillation. These methods can also be used to synthesize monoalkyltin products containing hydrocarbyl groups that cannot be readily prepared in pure form by other methods known in the art. In this synthesis reaction, the hydrocarbyl ligand is generated from the organic halide reactant. Organohalide reactants can be readily used in a variety of compounds to provide ligands. Although other synthetic techniques can generally be used for the synthesis of various hydrocarbyl ligands, there may be practical limitations on the composition of the reactants to introduce the ligands, as well as the selection of yields, reaction times, and appropriate solvents, and there may be other potential practical limitations. The synthesis of alkali metal tin compounds provides a useful intermediate that may also be useful in other situations.

關於設計改進的EUV圖案化組合物,調整烴基配位基以包含具有高EUV吸收的原子(例如碘)以提高圖案化製程之效率可能是有利的。於本文所述之製程中,有機鹵化物反應物可為由式R IX表示的碘化有機鹵化物,其中R I為具有1至30個碳原子的烴基(烷基、環烷基、烯基、炔基、芳基),其中至少1個氫原子被碘原子取代。X為鹵化物,包括碘化物、氯化物、及溴化物。於一些實施態樣中,可類似地引入其他鹵化物基團作為碘化基團的替代物,因此R I亦可被認為具有其他鹵化物來替代碘。一般而言,反應物具有多個鹵原子,乃因一個鹵原子因親核取代被替代而形成錫-碳鍵,而其他鹵原子則保留於鹵化配位基中。當鹵化物不等量時,直接鍵合至鹵化物上的碳原子將具有不同的親電性,此端視鹵化物之身份而定,因此藉由確保合適的鹵化物在所需的碳上,以此方式就有可能將反應導向正確的產物。一般而言,對於較小的鹵化物,碳更具有親電性,但總有其他考量。一般而言,該反應可高度選擇性地加入更親電的碳。 Regarding designing improved EUV patterning compositions, it may be advantageous to tailor the hydrocarbyl ligands to include atoms with high EUV absorption (eg, iodine) to increase the efficiency of the patterning process. In the processes described herein, the organic halide reactant may be an iodinated organic halide represented by the formula R I radical, alkynyl, aryl), in which at least one hydrogen atom is replaced by an iodine atom. X is a halide, including iodide, chloride, and bromide. In some embodiments, other halide groups can be similarly introduced as replacements for the iodide groups, so R I can also be considered to have other halides instead of iodine. Generally speaking, the reactants have multiple halogen atoms because one halogen atom is replaced by nucleophilic substitution to form a tin-carbon bond, while the other halogen atoms remain in the halogenated ligand. When halides are present in unequal amounts, the carbon atoms bonded directly to the halide will have different electrophilicities depending on the identity of the halide, so by ensuring that the appropriate halide is on the desired carbon , in this way it is possible to direct the reaction to the correct product. Generally speaking, for smaller halides, carbon is more electrophilic, but there are always other considerations. In general, this reaction allows for the highly selective addition of more electrophilic carbons.

視情形而定,R I亦可具有其他雜原子取代,例如N、O、P、S、或其他鹵原子。碘化有機鹵化物反應物之非限制性實例包括2,2-二碘丙烷及3-碘苄基溴。其他可設想到之碘化有機鹵化物反應物於烴基中具有2或3個或更多個碘原子。亦可設想到完全碘化的芳基鹵化物。碘化有機鹵化物反應物可用於合成包含碘化烴基配位基的單烷基錫三胺及單烷基錫乙炔化物產品。碘化烴基錫三烷氧化物可更如本文中針對非碘化烴基錫三烷氧化物所述形成。出於本揭露之目的,涉及RX或RSnL 3化合物的反應被認為是可與R IX或R ISnL 3化合物互換的。於一些期望的實施態樣中,鹵化配位基具有由式R 1R 2XC-表示的結構,其中R 1及R 2獨立為H或任何其他與上述R之廣義定義一致的有機部分,條件是R及R'二者皆不為H,且X為鹵化物,即F、Cl、Br、或I。因此,於該等化合物中,鹵素原子直接鍵合至形成錫鍵的碳上。合成完成後,具有視需要之水解及/或縮合的產物化合物可由式R 1R 2XCSnZ 3表示,其中Z係L,其中L係可水解的配位基,或O x(OH) 3-x,0<x<3。於其他期望的實施態樣中,鹵化配位基由式AR 1R 2C-表示,其中A係具有至少一個鹵素取代基的芳環,R 1及R 2獨立為H或與上述R之廣義定義一致的任何其他有機部分。A可為C 6H 4X、C 6H 3X 2、C 6X 5、或任何其他合理的芳環,其中X為鹵化物,即F、Cl、Br或I。合成完成後,具有視需要之水解及/或縮合的產物化合物可由式AR 1R 2CSnZ 3表示,且Z係L,其中L係可水解的配位基或O x(OH) 3-x,0<x<3。特別地,碘有很大的EUV吸收。儘管其他鹵素具有較不明顯的EUV吸收,但是由於氫具有不顯著的EUV吸收,所以它們取代氫仍然是有利的。 Depending on the situation, R I may also have other heteroatom substitutions, such as N, O, P, S, or other halogen atoms. Non-limiting examples of iodinated organohalide reactants include 2,2-diiodopropane and 3-iodobenzyl bromide. Other conceivable iodinated organohalide reactants have 2 or 3 or more iodine atoms in the hydrocarbyl group. Fully iodinated aryl halides are also contemplated. The iodinated organic halide reactant can be used to synthesize monoalkyltin triamine and monoalkyltin acetylide products containing iodinated hydrocarbyl ligands. The iodinated alkyltin trialkoxides may be formed further as described herein for non-iodinated alkyltin trialkoxides. For the purposes of this disclosure, reactions involving RX or RSnL compounds are considered interchangeable with RIX or RI SnL compounds. In some desirable embodiments, the halogenated ligand has a structure represented by the formula R 1 R 2 XC-, wherein R 1 and R 2 are independently H or any other organic moiety consistent with the broad definition of R above, provided that That is, neither R nor R' is H, and X is a halide, that is, F, Cl, Br, or I. Therefore, in these compounds, the halogen atoms are bonded directly to the carbon forming the tin bond. After the synthesis is completed, the product compound with optional hydrolysis and/or condensation can be represented by the formula R 1 R 2 XCSnZ 3 , where Z is L, where L is a hydrolyzable ligand, or O x (OH) 3-x ,0<x<3. In other desirable embodiments, the halogenated ligand is represented by the formula AR 1 R 2 C-, where A is an aromatic ring with at least one halogen substituent, and R 1 and R 2 are independently H or a generalized version of the above R Any other organic part that is consistently defined. A can be C 6 H 4 X, C 6 H 3 X 2 , C 6 X 5 , or any other reasonable aromatic ring, where After the synthesis is completed, the product compound with optional hydrolysis and/or condensation can be represented by the formula AR 1 R 2 CSnZ 3 , and Z is L, where L is a hydrolyzable ligand or O x (OH) 3-x , 0<x<3. In particular, iodine has large EUV absorption. Although other halogens have less significant EUV absorption, it is still advantageous for them to replace hydrogen since hydrogen has less significant EUV absorption.

例示性的前驅物化合物亦包括帶有氰基(亦稱為腈基)或醚基的R基團。氰基化合物可具有式R'R"(N≡C)CSnZ 3,其中R'及R"獨立為具有1至15個碳原子及視需要之不飽和碳-碳鍵、視需要之芳族基團、及視需要之雜原子的烴基,且Z係L,其中L係可水解的配位基,或者O x(OH) 3-x,0<x<3。於一些實施態樣中,R'及R"相同且R'為直鏈或支鏈烷基(-C nH 2n+1,n係1至5)。具有醚基的化合物可具有式R'R"(R'"O)CSnL 3,其中R'、R''及R'"獨立為氫或具有1至15個碳原子及視需要之不飽和碳-碳鍵、視需要之芳族基團、及視需要之雜原子的烴基,且Z為L,其中L為可水解的配位基,或O x(OH) 3-x,0<x<3 Exemplary precursor compounds also include R groups bearing cyano (also known as nitrile) or ether groups. The cyano compound may have the formula R'R"(N≡C)CSnZ 3 , wherein R' and R" independently have 1 to 15 carbon atoms and optionally unsaturated carbon-carbon bonds, optionally aromatic groups group, and optionally a hydrocarbon group of heteroatoms, and Z is L, wherein L is a hydrolyzable ligand, or O x (OH) 3-x , 0<x<3. In some embodiments, R' and R" are the same and R' is a linear or branched alkyl group (-C n H 2n+1 , n is 1 to 5). The compound with an ether group can have the formula R'R"(R'"O)CSnL 3 , wherein R', R'' and R'" are independently hydrogen or have 1 to 15 carbon atoms and optional unsaturated carbon-carbon bonds, optional aromatic groups group, and optionally a hydrocarbon group of heteroatoms, and Z is L, where L is a hydrolyzable ligand, or O x (OH) 3-x , 0<x<3

雖然總合成可被認為是二個總步驟,但是更一般而言,本合成可被認為是多步驟製程,但步驟之數目可能是主觀的,因為中間體一般沒有被分離及純化。雖然不希望受到理論的限制,但是就概念上,考量整個合成製程包括二個步驟是有用的,其中第一步驟包括形成具有三個胺/乙炔化物配位基及金屬-錫鍵(金屬為鹼金屬及/或鹼土金屬/假鹼土金屬)的四配位錫,其可同時具有離子特徵及共價特徵,但該概念不受結構理論的限制。於第二步驟中,金屬-錫相互作用被涉及sp 3或sp 2碳-錫鍵的連接至錫的烴基配位基取代。總反應涉及錫(II)氧化成錫(IV),以及二個鹵化物配位基對應地交換成三個胺/乙炔化物配位基及碳-錫鍵。形成金屬錫三胺/乙炔化物的第一步驟一般從概念上可根據特定的原料分為多個步驟。 Although the overall synthesis can be thought of as two overall steps, more generally the synthesis can be thought of as a multi-step process, but the number of steps may be subjective since the intermediates are generally not isolated and purified. While not wishing to be bound by theory, conceptually it is useful to consider that the overall synthesis process consists of two steps, the first of which involves the formation of a metal-tin bond with three amine/acetylide ligands (the metal is a base). Metals and/or alkaline earth metals/pseudo-alkaline earth metals) four-coordinated tin can have both ionic and covalent characteristics, but this concept is not limited by structural theory. In a second step, the metal-tin interaction is replaced by a hydrocarbyl ligand connected to the tin involving an sp 3 or sp 2 carbon-tin bond. The overall reaction involves the oxidation of tin(II) to tin(IV) and the corresponding exchange of two halide ligands into three amine/acetylide ligands and a carbon-tin bond. The first step in forming the metallic tin triamine/acetylide can generally be conceptually divided into multiple steps depending on the specific feedstock.

如所例示,單烴基錫三胺及單烴基錫三乙炔化物可藉由以下總反應製備: 3 HNR' 2+ 3 MR" (+ M'Z) + SnX 2+ RX → RSn(NR' 2) 3+ 副產物,或 3 R'CCH + 3 MR" (+ M'Z) + SnX 2+ RX→ RSn(CCR') 3+ 副產物。(1) 於該等反應中,M一般為鋰,但鋰可用其他鹼金屬替代,即用鈉、鉀、銣、及銫替代。括號中之M'Z代表視需要之反應物M"OR"或M'"X 2,其中M"為鹼金屬離子,OR"為保持惰性的烷氧化物,且M'"為鹼土/假鹼土金屬離子,作為鹵化物提供,其中X為鹵離子。自一些目標產物的實際角度來看,若於反應之第一步驟中加入鹼金屬烷氧化物(MOR 0),則反應會獲得更好的產率及反應速率。此外,對於此種處理,可更容易獲得期望的反應物。然而,對於一些有機配位基,引入非鋰鹼金屬化合物可獲得更好的產率。因此,涉及鉀的另一些例示性實施態樣具有以下總反應: 3 HNR' 2+ 3 LiR" + KOR 0+ SnX 2+ RX → RSn(NR' 2) 3+ 副產物,或 3 R'CCH + 3 LiR" + KOR 0+ SnX 2+ RX → RSn(CCR') 3+ 副產物,(2) 於該等反應式所代表的反應中,鉀(K)可被其他非鋰鹼金屬離子所替代。實例7闡述藉由根據反應(2)的反應合成由式(CH 3) 2ICSn(N(CH 2) 2) 3表示的碘化烷基錫三胺。合成包括正丁基鋰及三級丁氧化鉀二者以及碘化烷基鹵化物。實例8闡述了藉由根據反應(2)的反應合成由(C 6H 5I)CH 2Sn(CCSi(CH 3) 3)表示的碘化芳基錫三乙炔化物。合成涉及正丁基鋰及三級丁氧化鉀二者以及碘化芳基鹵化物。於反應(1)及(2)中,X為鹵化物,且R'一般為≤10個碳原子的烴基。R"被結合入副產物中,一般為HR",因此其身份一般沒有特別的限制或重要性,且其一般可基於可獲得性、低成本、除去副產物的容易性、及良好的反應性而選擇。R'基團為產物組合物之對應配位基提供取代基。反應式(2)中,鉀可以用於形成鉀錫組合物的相對於錫的化學計量之量引入,而非係以用於引入胺配位基的化學計量之量引入,此係該反應中對於鋰的情形。於額外或替代性實施態樣中,KOR 0可被M"X 2(例如ZnCl 2)替代或補充,其中M"為鹼土金屬離子或假鹼土金屬離子。 As illustrated, monoalkyltin triamines and monoalkyltin triacetylides can be prepared by the following overall reaction: 3 HNR' 2 + 3 MR" (+ M'Z) + SnX 2 + RX → RSn(NR' 2 ) 3 + by-product, or 3 R'CCH + 3 MR" (+ M'Z) + SnX 2 + RX→ RSn(CCR') 3 + by-product. (1) In these reactions, M is generally lithium, but lithium can be replaced by other alkali metals, that is, sodium, potassium, rubidium, and cesium. M'Z in parentheses represents the optional reactant M"OR" or M'" Metal ions, provided as halides, where X is a halide ion. From a practical point of view of some target products, if alkali metal alkoxide (MOR 0 ) is added in the first step of the reaction, the reaction will obtain better yield and reaction rate. Furthermore, for such processing, the desired reactants can be obtained more easily. However, for some organic ligands, better yields can be obtained by introducing non-lithium alkali metal compounds. Thus, other exemplary embodiments involving potassium have the following overall reaction: 3 HNR' 2 + 3 LiR" + KOR 0 + SnX 2 + RX → RSn(NR' 2 ) 3 + by-product, or 3 R'CCH + 3 LiR" + KOR 0 + SnX 2 + RX → RSn(CCR') 3 + by-product, (2) In the reactions represented by these reaction formulas, potassium (K) can be replaced by other non-lithium alkali metal ions substitute. Example 7 illustrates the synthesis of alkyltin triamine iodide represented by the formula (CH 3 ) 2 ICSn(N(CH 2 ) 2 ) 3 by reaction according to reaction (2). The synthesis includes both n-butyllithium and tertiary potassium butoxide as well as iodinated alkyl halides. Example 8 illustrates the synthesis of aryltin triacetylide iodide represented by (C 6 H 5 I)CH 2 Sn (CCSi(CH 3 ) 3 ) by reaction according to reaction (2). The synthesis involves both n-butyllithium and tertiary potassium butoxide as well as an iodinated aryl halide. In reactions (1) and (2), X is a halide, and R' is generally a hydrocarbon group of ≤10 carbon atoms. R" is incorporated into a by-product, typically HR", so its identity is generally of no particular limitation or importance, and can generally be based on availability, low cost, ease of removal of by-products, and good reactivity And choose. The R' group provides a substituent for the corresponding ligand of the product composition. In reaction formula (2), potassium can be introduced in a stoichiometric amount relative to the tin used to form the potassium-tin composition, rather than in a stoichiometric amount used to introduce the amine ligand. This is the reason why in this reaction In the case of lithium. In additional or alternative embodiments, KOR 0 may be replaced or supplemented by M"X 2 (eg, ZnCl 2 ), where M" is an alkaline earth metal ion or a pseudoalkaline earth metal ion.

於一些實施態樣中,於如三(2-胺基乙基)胺(tris(2-aminoethyl)amine;TREN)之合適的添加劑存在下進行上述反應可能是有益的,如愛德森(Edson)等人於公開的美國專利申請案2019/0315781(以下稱為‘781申請案)中所述,該申請案標題為「具有低多烷基污染的單烷基錫化合物、其組合物及方法(Monoalykyl Tin Compounds with Low Polyalkyl Contamination, Their Compositions and Methods)」,以引用方式併入本文中。此種添加劑可提高產品純度,降低反應步驟之活化能,催化反應步驟等。上述反應可於合適的溶劑中進行,該溶劑係根據例如合適的反應物及產物的溶劑化、毒性、可燃性等各種性質選擇。於製備改進的光敏組合物後,若需要,可進一步純化該組合物。於一些實施態樣中,如‘781申請案中所述,可有效地使用分餾方法。In some embodiments, it may be beneficial to perform the above reaction in the presence of a suitable additive such as tris(2-aminoethyl)amine (TREN), such as Edson ) and others in published U.S. patent application 2019/0315781 (hereinafter referred to as the '781 application), the application is titled "Monoalkyltin compounds with low polyalkyl contamination, compositions and methods thereof (Monoalykyl Tin Compounds with Low Polyalkyl Contamination, Their Compositions and Methods)", incorporated herein by reference. This kind of additive can improve product purity, reduce the activation energy of reaction steps, catalyze reaction steps, etc. The above reaction can be carried out in a suitable solvent, which is selected based on various properties such as suitable reactants and products, such as solvation, toxicity, and flammability. After preparing the improved photosensitive composition, the composition can be further purified if necessary. In some implementations, as described in the '781 application, fractionation methods may be effectively used.

選擇RX有機鹵化物以為單有機錫產物提供所需的有機配位基。RX化合物作為反應物的廣泛可獲得性以及該等化合物於對應反應中之廣泛反應性使得能夠以實用的產量及合理的反應時間將各種有機配位基引入產品單有機錫產物中。所例示之產品在一定程度上顯示其通用性。The RX organohalide was chosen to provide the required organic ligands for the single organotin product. The wide availability of RX compounds as reactants and the broad reactivity of these compounds in corresponding reactions enable the introduction of various organic ligands into single organotin products with practical yields and reasonable reaction times. The products illustrated demonstrate their versatility to a certain extent.

如下文進一步闡述,總反應可被認為係二或更多個連續反應的結果,但一般不進行中間體之分離或純化。第一反應涉及鹼金屬胺或鹼金屬乙炔化物之合成,例如鋰胺或鋰乙炔化物。雖然鋰胺及鋰乙炔化物為習知的化合物,並且一些可以某種形式購得,但是該等化合物為高度反應性的及自燃的,因此作為總反應之一部分的該等化合物之原位合成是方便及有利的。關於非鋰鹼金屬胺或非鋰鹼金屬乙炔化物,該等化合物可類似地合成。然而,於一些實施態樣中,非鋰鹼金屬可以類似於錫的化學計量的量引入,而不是對應於胺/乙炔化物配位基的該量的三倍。非鋰金屬離子可更方便地以鹼金屬烷氧化物化合物及/或鹼土/假鹼土金屬二鹵化物的形式提供,其中鹼金屬烷氧化物化合物較其他鹼金屬前驅物化合物更容易獲得。二鹵化錫,例如二氯化錫,與鹼金屬胺反應以形成鹼金屬錫三胺或鹼金屬錫三乙炔化物。As explained further below, the overall reaction can be considered to be the result of two or more consecutive reactions, but isolation or purification of intermediates is generally not performed. The first reaction involves the synthesis of an alkali metal amine or alkali metal acetylide, such as lithium amine or lithium acetylide. Although lithium amine and lithium acetylide are well-known compounds, and some are commercially available in some form, these compounds are highly reactive and pyrophoric, so in situ synthesis of these compounds as part of the overall reaction is Convenient and beneficial. Regarding non-lithium alkali metal amines or non-lithium alkali metal acetylides, these compounds can be synthesized analogously. However, in some embodiments, the non-lithium alkali metal may be introduced in a stoichiometric amount similar to tin, rather than three times the amount corresponding to the amine/acetylide ligand. Non-lithium metal ions may be more conveniently provided in the form of alkali metal alkoxide compounds and/or alkaline earth/pseudo-alkaline earth metal dichalcogenides, where alkali metal alkoxide compounds are more readily available than other alkali metal precursor compounds. Tin dihalides, such as tin dichloride, react with alkali metal amines to form alkali metal tin triamine or alkali metal tin triacetylide.

目前,鹼金屬錫三胺或鹼金屬錫三乙炔化物之分離尚未實現。改進之合成技術並不取決於中間體之確切身份,且本文的一般性討論著重於總起始原料以及可分離及表徵的最終產物。然而,假定的中間體身份係基於所存在物質推斷的強有力的假設。於所用的特定溶劑中,金屬離子預計不會很好地溶劑化。然而,組合物仍保留於溶液中,因此未觀察到大的團簇形成及凝膠化。雖然不希望受到理論的限制,但是已知有機金屬試劑,例如烷基鋰、烷基鎂(格任亞試劑)、及三級丁氧化鉀會形成具有金屬-金屬鍵的簇,例如四聚體、六聚體及立方烷,因此於溶液中形成迄今為止難以表徵的可能之複雜平衡混合物形式的類似物質是合理的。於是,已知物質之相對穩定性提示可能存在何種中間體物質,但是不需要精確的結構表徵來理解它們在反應中的基本化學參與。物質之反應性與不能除去溶劑來分離物質是一致的。At present, the separation of alkali metal tin triamine or alkali metal tin triacetylide has not been realized. Improved synthetic techniques do not depend on the exact identities of intermediates, and the general discussion herein focuses on the total starting materials and final products that can be isolated and characterized. However, the identities of the putative intermediates are based on strong assumptions inferred from the substances present. Metal ions are not expected to be well solvated in the particular solvent used. However, the composition remained in solution and therefore no large cluster formation and gelation was observed. While not wishing to be bound by theory, organometallic reagents such as alkyllithiums, alkylmagnesiums (Grenya reagents), and potassium tertiary butoxides are known to form clusters with metal-metal bonds, such as tetramers , hexamers and cubanes, it is therefore reasonable to form similar substances in solution in the form of complex equilibrium mixtures of possible complex equilibrium mixtures that have been difficult to characterize so far. Thus, the relative stabilities of known substances suggest what intermediate species may be present, but precise structural characterization is not required to understand their basic chemical involvement in the reaction. Reactivity of a substance is consistent with the inability to remove the solvent to separate the substance.

雖然上文給出總反應,但是該等反應係以多個步驟進行。由於反應物之一為二鹵化錫,例如二氯化錫,因此溶劑選擇的考量包括二鹵化錫之適當溶解度。其他初始反應物如二烴基胺及單烷基鋰(或一般為單烷基鹼金屬)可溶於不同的溶劑。反應一般於乾燥的有機溶劑中,於無氧或缺氧的氣氛下進行,例如氮氣吹掃(nitrogen purged)的氣氛。可選擇溶劑來產生各種組分之溶解。由於溶劑與金屬離子的相互作用,溶劑之選擇可至少部分基於在所選溶劑中之反應速率,此可根據經驗進行評估。若選擇不同的溶劑,它們一般係可混溶的。非質子極性溶劑一般是有用的,例如醚(例如,二甲醚、二乙醚)、四氫呋喃(tetrahydrofuran;THF)、丙酮、及其混合物。溶劑一般應選擇為對於反應物、中間體及產物呈惰性的。若使用多種溶劑,例如以引入不同的反應物,則該等溶劑一般應該相互混溶。第一反應可被認為係合成MSnL 3中間體,其中L為二烷基胺(二烴基胺)或烷基乙炔化物(烴基乙炔化物),但具體結構尚未得到驗證。自反應物及反應條件來看,證據確實顯示形成了錫-配位基鍵,因此SnL 3部分之存在似乎係可能的,且金屬陽離子似乎可能與錫部分結合以加以穩定,但是特定的結構可能存在於複雜的平衡混合物中。若需要,該第一反應可被認為係二個獨立的反應,其中第一子反應涉及金屬配位基組合物(ML)之形成,且隨後的子反應涉及SnCl 2或其他二鹵化錫。如所詳細闡述,M可為鹼金屬、鹼土金屬及/或假鹼土金屬。一般而言,對於第一反應,溶液被冷卻,一般低於10℃,且於一些實施態樣中為0℃,此對於冰浴之使用而言是方便的溫度,但對於非水溶液而言,該溫度沒有什麼特別的。冷卻容許期望的反應之控制,同時保持合理的反應速率。第一子反應可被執行可行的一段時間,且沒有特別的限制。可容許第一子反應持續至少約30秒,於其他實施態樣中至少約2分鐘,於一些實施態樣中1分鐘至5小時,且於一些實施態樣中約2分鐘至約3小時。於一些實施態樣中,二個子反應可組合並基本上作為單個反應進行,有效地,此對於第一子反應而言為零時間,或者對於第一子反應而言為短時間。若引入非鋰鹼金屬烷氧化物及/或鹼土金屬(或假鹼土金屬)二鹵化物作為反應物,則就概念上而言該化合物可作為第一子反應或第二子反應的一部分添加,或潛在地於第一子反應與第二子反應之間之第三子反應中加入。此項技術中之通常知識者將認識到,於上述明確範圍內的其他時間及溫度範圍係被設想到且落入本揭露之範圍內。 Although the overall reaction is given above, the reactions are carried out in multiple steps. Since one of the reactants is a tin dihalide, such as tin dichloride, solvent selection considerations include appropriate solubility of the tin dihalide. Other initial reactants such as dialkyl amines and monoalkyl lithiums (or generally monoalkyl alkali metals) are soluble in different solvents. The reaction is generally carried out in a dry organic solvent in an oxygen-free or oxygen-deficient atmosphere, such as a nitrogen purged atmosphere. Solvents can be selected to produce dissolution of the various components. Due to the interaction of the solvent with the metal ions, the selection of the solvent can be based at least in part on the reaction rate in the selected solvent, which can be evaluated empirically. If different solvents are chosen, they are generally miscible. Aprotic polar solvents are generally useful, such as ethers (eg, dimethyl ether, diethyl ether), tetrahydrofuran (THF), acetone, and mixtures thereof. Solvents should generally be selected to be inert toward the reactants, intermediates, and products. If multiple solvents are used, for example to introduce different reactants, the solvents should generally be miscible with each other. The first reaction can be considered to be the synthesis of MSnL 3 intermediate, where L is dialkylamine (dialkylamine) or alkyl acetylide (alkyl acetylide), but the specific structure has not been verified. Looking at the reactants and reaction conditions, the evidence does show that a tin-ligand bond is formed, so the presence of the SnL 3 moiety seems possible, and it seems possible that the metal cation binds to the tin moiety to stabilize it, but the specific structure may Exists in complex balanced mixtures. If desired, this first reaction can be considered as two separate reactions, where the first sub-reaction involves the formation of the metal ligand composition (ML), and the subsequent sub-reaction involves SnCl2 or other tin dihalides. As specified, M can be an alkali metal, an alkaline earth metal, and/or a pseudo-alkaline earth metal. Generally speaking, for the first reaction, the solution is cooled, usually below 10°C, and in some embodiments to 0°C, which is a convenient temperature for the use of an ice bath, but for non-aqueous solutions, There is nothing special about the temperature. Cooling allows control of the desired reaction while maintaining a reasonable reaction rate. The first sub-reaction can be carried out for a feasible period of time without particular restrictions. The first sub-reaction may be allowed to last for at least about 30 seconds, in other embodiments at least about 2 minutes, in some embodiments from 1 minute to about 5 hours, and in some embodiments from about 2 minutes to about 3 hours. In some embodiments, the two sub-reactions can be combined and performed essentially as a single reaction, effectively this is zero time for the first sub-reaction, or a short time for the first sub-reaction. If non-lithium alkali metal alkoxides and/or alkaline earth metal (or pseudo-alkaline earth metal) dihalides are introduced as reactants, then conceptually the compounds can be added as part of the first subreaction or the second subreaction, Or potentially added in a third sub-reaction between the first sub-reaction and the second sub-reaction. One of ordinary skill in the art will recognize that other time and temperature ranges within the explicit ranges set forth above are contemplated and fall within the scope of the present disclosure.

一般而言,烷基鋰反應物及胺/乙炔反應物為大致化學計量的量,儘管一般使用少量至中等過量的胺/乙炔反應物,例如可使用約1莫耳百分比(莫耳%)至約50莫耳%的胺/乙炔反應物。若使用非鋰烷基鹼金屬化合物,可使用類似化學計量的量的配位基前驅物(二烷基胺或烷基乙炔)。一般而言,相對於Sn之莫耳量,期望具有3:1之比率的ML組合物,以為每一錫添加三個配位基。若非鋰金屬烷氧基鹼金屬化合物與烷基鋰一起使用,則烷基鋰可具有基於胺/乙炔反應物的莫耳當量的量,而非鹼金屬化合物可具有與待添加之錫化合物相等的莫耳量,但若需要則可使用更大量的金屬(鹼金屬或鹼土金屬或假鹼土金屬),只要不形成額外量的ML。對於對應的實施態樣,錫反應物可以對於貢獻ML配位基之反應物的近似莫耳當量(1:3)添加,以對於每個錫原子形成三個配位基錫鍵。低含量之具有1、2或4個配位基的污染物型錫產物證實了控制錫與ML反應物之莫耳比的有效性。反應物溶液中之金屬濃度一般為約0.025 M至約2 M,且於進一步之實施態樣中約0.5 M至約 1.5 M。此項技術中具有通常知識者將認識到,在上述明確範圍內的濃度範圍及容許的化學計量比係被設想到且落入本揭露之範圍內。Generally speaking, the alkyllithium reactant and the amine/acetylene reactant are in approximately stoichiometric amounts, although a small to moderate excess of the amine/acetylene reactant is typically used, for example, about 1 molar percent (mol%) to Approximately 50 mole % of amine/acetylene reactant. If non-lithium alkyl alkali metal compounds are used, similar stoichiometric amounts of ligand precursors (dialkylamines or alkyl acetylenes) can be used. Generally speaking, a ML composition with a ratio of 3:1 relative to the molar amount of Sn is desired, adding three ligands for each tin. If a non-lithium metal alkoxide alkali metal compound is used with an alkyl lithium, the alkyl lithium can have an amount based on molar equivalents of the amine/acetylene reactant, and the non-alkali metal compound can have an amount equal to the tin compound to be added. Molar amounts, but larger amounts of metal (alkali or alkaline earth metals or pseudo-alkaline earth metals) may be used if desired, as long as additional amounts of ML are not formed. For corresponding embodiments, tin reactants may be added in approximate molar equivalents (1:3) of reactants contributing ML ligands to form three ligand tin bonds per tin atom. Low levels of contaminant tin products with 1, 2 or 4 ligands demonstrate the effectiveness of controlling the molar ratio of tin to ML reactants. The metal concentration in the reactant solution is generally about 0.025 M to about 2 M, and in further embodiments, about 0.5 M to about 1.5 M. One of ordinary skill in the art will recognize that concentration ranges and allowable stoichiometric ratios within the above-mentioned explicit ranges are contemplated and fall within the scope of the present disclosure.

第二反應涉及碳-錫鍵之引入以及與錫結合的有機配位基之形成。碳-錫鍵就概念上而言取代金屬-錫鍵,其中金屬為鹼金屬、鹼土金屬及/或假鹼土金屬。與錫結合的有機配位基係由與有機鹵化物RX的反應產生。一般而言,引入至少約化學計量的量的有機鹵化物來形成碳-錫鍵,但是可引入過量的有機鹵化物。於一些實施態樣中,於反應中可使用高達三倍莫耳過量的有機鹵化物,且於進一步之實施態樣中,可使用相對於錫之莫耳數約1至約2莫耳當量的RX。溶劑可與用於第一反應者相同,或選自相同的可用溶劑及其混合物。第一反應之產物一般於進行第二反應之前不被純化,但若方便則可除去副產物。金屬濃度一般類似於第一反應步驟之濃度,但由於稀釋而通常略小。考量到反應之放熱本質,第二反應一般但不一定於低溫下開始,例如約0℃或更一般約-78.5℃至約10℃,但於一些實施態樣中,反應物可於室溫下混合。於混合用於第二反應的反應物之後,可容許反應於相同的溫度下繼續,或者容許反應逐漸升溫至約20℃至約50℃或室溫(20至24℃)的溫度。反應可進行至少約15分鐘,於一些實施態樣中為約15分鐘至約24小時,且於一些實施態樣中為約30分鐘至約15小時,但若需要則可使用更長的反應時間。此項技術中之通常知識者將認識到,上文給出的第二反應的濃度、莫耳比、溫度及時間之其他範圍係被設想到且落入本揭露之範圍內。The second reaction involves the introduction of carbon-tin bonds and the formation of organic ligands that bind the tin. Carbon-tin bonds conceptually replace metal-tin bonds, where the metals are alkali metals, alkaline earth metals and/or pseudo-alkaline earth metals. The organic ligand bound to tin is produced by reaction with the organic halide RX. Generally, the organic halide is introduced in at least about a stoichiometric amount to form a carbon-tin bond, but an excess of the organic halide can be introduced. In some embodiments, up to a threefold molar excess of the organic halide may be used in the reaction, and in further embodiments, about 1 to about 2 molar equivalents relative to the moles of tin may be used. RX. The solvent may be the same as that used for the first reactant, or may be selected from the same available solvents and mixtures thereof. The product of the first reaction is generally not purified before carrying out the second reaction, but by-products can be removed if convenient. The metal concentration is generally similar to that of the first reaction step, but is usually slightly smaller due to dilution. Considering the exothermic nature of the reaction, the second reaction generally but not necessarily starts at a low temperature, such as about 0°C or more generally about -78.5°C to about 10°C, but in some embodiments, the reactants can be at room temperature. mix. After mixing the reactants for the second reaction, the reaction may be allowed to continue at the same temperature, or the reaction may be allowed to gradually warm to a temperature of about 20°C to about 50°C or room temperature (20 to 24°C). The reaction can proceed for at least about 15 minutes, in some embodiments from about 15 minutes to about 24 hours, and in some embodiments from about 30 minutes to about 15 hours, although longer reaction times can be used if desired. . One of ordinary skill in the art will recognize that other ranges of concentrations, molar ratios, temperatures, and times for the second reaction given above are contemplated and fall within the scope of the present disclosure.

由於本文所述反應之放熱本質,改變合成的各種參數,例如反應物之量、反應溫度、試劑添加時間、反應時間等,可能係有益的。此等考量係此項技術中具有通常知識者已知的。用於分析反應並告知從業者合適的製程條件的有用分析技術為反應量熱法(calorimetry)。量熱數據對於給定反應可提供有用的熱力學變量。具體而言,可測量期望反應之規模相關變量(例如焓熱),並用於在更大規模下適當地進行反應。以此方式,可針對不同規模的反應適當地控制製程變量。反應量熱數據被包括於下文的一些實例中。於上文給出的指導方針以及下文實例之指導下,此項技術中具有通常知識者將認識到,可調整用於特定反應的具體參數以提供期望的結果。基於該等教示,具有通常知識者可對多種產品組成使用常規實驗進行優化。舉例說明的反應產生良好的產率及對產物組成的高專一性。Due to the exothermic nature of the reactions described herein, it may be beneficial to vary various parameters of the synthesis, such as amounts of reactants, reaction temperature, reagent addition times, reaction times, etc. Such considerations are known to those of ordinary skill in the art. A useful analytical technique for analyzing reactions and informing practitioners of appropriate process conditions is reaction calorimetry. Calorimetric data can provide useful thermodynamic variables for a given reaction. Specifically, scale-dependent variables of a desired reaction (eg, enthalpy) can be measured and used to appropriately conduct the reaction at larger scales. In this way, process variables can be appropriately controlled for reactions of different scales. Reaction calorimetric data are included in some examples below. In light of the guidelines given above and the examples below, one of ordinary skill in the art will recognize that the specific parameters for a particular reaction can be adjusted to provide the desired results. Based on these teachings, one with ordinary knowledge can optimize a variety of product compositions using routine experimentation. The illustrated reactions yield good yields and high specificity for product composition.

一旦產物形成,可對有機錫三(二烴基胺/烴基乙炔化物)進行純化。純化端視產物之本質而定,但一般涉及將所需的產品從副產物及潛在的任何未反應試劑分離。純化一般可藉由此項技術中已知的方法實現。典型的純化方法可包括過濾、再結晶、萃取、蒸餾、其組合等。通常對粗產物混合物進行過濾,以自含有所需產物的溶液中除去不溶性污染物及/或副產物,例如金屬鹵化物鹽,如LiCl。再結晶方法可用於藉由經加熱形成飽和溶液,然後冷卻來純化固體化合物。萃取技術可包括例如液-液萃取,其中使用二種不同密度的不混溶溶劑,根據它們的相對溶解度分離所需的化合物。純化亦可包括藉由乾燥或暴露於真空而自產物混合物中除去任何揮發性化合物,包括溶劑。對於具有顯著蒸氣壓的產品,可能為理想的是藉由真空蒸餾或者若需要則藉由旨在獲得高純度的分餾來純化產物。參見授予克拉克(Clark)等人的公開的美國專利申請案2020/0241413,該申請案標題為「具有低金屬污染及/或顆粒污染的單烷基錫三烷氧化物及/或單烷基錫三胺以及對應的方法(Monoalkyl Tin Trialkoxides and/or Monoalkyl Tin Triamides With Low Metal Contamination and/or Particulate Contamination and Corresponding Methods)」,以引用方式併入本文中。產物亦可反應形成衍生物,例如有機錫三烷氧化物,其可藉由上述技術及此項技術中已知的其他方法進一步純化。Once the product is formed, the organotin tris(dialkylamine/alkyl acetylide) can be purified. The purification end depends on the nature of the product, but generally involves separating the desired product from by-products and potentially any unreacted reagents. Purification can generally be accomplished by methods known in the art. Typical purification methods may include filtration, recrystallization, extraction, distillation, combinations thereof, etc. The crude product mixture is typically filtered to remove insoluble contaminants and/or by-products, such as metal halide salts such as LiCl, from the solution containing the desired product. Recrystallization methods can be used to purify solid compounds by heating to form a saturated solution and then cooling. Extraction techniques may include, for example, liquid-liquid extraction, in which two immiscible solvents of different densities are used to separate the desired compounds based on their relative solubilities. Purification may also include removal of any volatile compounds, including solvents, from the product mixture by drying or exposure to vacuum. For products with significant vapor pressure, it may be desirable to purify the product by vacuum distillation or, if necessary, by fractional distillation aimed at obtaining high purity. See published U.S. Patent Application 2020/0241413 to Clark et al., entitled "Monoalkyltin trialkoxides and/or monoalkyltin with low metal contamination and/or particle contamination. Triamines and Corresponding Methods (Monoalkyl Tin Trialkoxides and/or Monoalkyl Tin Triamides With Low Metal Contamination and/or Particulate Contamination and Corresponding Methods)," are incorporated herein by reference. The products may also react to form derivatives, such as organotin trialkoxides, which may be further purified by the techniques described above and other methods known in the art.

本文所述的有機錫前驅物組合物可有效用於輻射圖案化,尤其是EUV圖案化。對於配位基選擇具有更大靈活性的能力容許圖案化結果的進一步改進,以及設計對特定應用特別有效的配位基。一般而言,可使用任何合適的塗覆製程來將前驅物溶液輸送至基板上。合適的塗覆方法可包括,舉例而言,溶液沉積技術,例如旋塗、噴塗、浸塗、刀口塗覆,印刷,例如噴墨印刷及絲網印刷等。許多前驅物亦適用於氣相沉積於基板上,如上文引用的‘618專利中所討論。對於一些R配位基組合物及/或特定的製程考量,氣相沉積可用於製備輻射敏感塗層。The organotin precursor compositions described herein are effective for radiation patterning, especially EUV patterning. The ability to have greater flexibility in ligand selection allows for further improvements in patterning results, as well as the design of ligands that are particularly effective for specific applications. In general, any suitable coating process can be used to deliver the precursor solution to the substrate. Suitable coating methods may include, for example, solution deposition techniques such as spin coating, spray coating, dip coating, knife edge coating, printing such as inkjet printing and screen printing, and the like. Many precursors are also suitable for vapor deposition on substrates, as discussed in the '618 patent cited above. For some R-ligand compositions and/or specific process considerations, vapor deposition can be used to prepare radiation-sensitive coatings.

對於用於溶液沉積的圖案化組合物,可能希望將產物轉化為有機錫三烷氧化物。該反應一般於蒸餾純化後藉由與對應的醇反應來進行。可使用或不使用額外之溶劑。為了更好地控制反應,可首先冷卻反應,例如冷卻至冰浴溫度,然後讓其升溫至室溫。產品有機錫三烷氧化物一般為可藉由蒸餾純化的油。以下實例概述該等步驟。形成塗層前驅物不需要將前驅物組合物轉化成三烷氧化物,但是有機錫三烷氧化物對於沉積可為方便的前驅物,因為於水解及塗層形成之後具有良性的揮發性產物,例如醇。For patterning compositions used for solution deposition, it may be desirable to convert the product to an organotin trialkoxide. This reaction is generally carried out by reacting with the corresponding alcohol after distillation and purification. May be used with or without additional solvents. To better control the reaction, the reaction can first be cooled, for example to ice bath temperature, and then allowed to warm to room temperature. The product organotin trialkoxides are generally oils that can be purified by distillation. The following examples outline these steps. Forming the coating precursor does not require conversion of the precursor composition to a trialkoxide, but organotin trialkoxides can be convenient precursors for deposition because of the benign volatile products following hydrolysis and coating formation. For example, alcohol.

於製備所需的有機錫前驅物之後,可將前驅物溶解於合適的溶劑中以製備前驅物溶液,例如有機溶劑,例如醇、芳烴及脂肪烴、酯或其組合。具體而言,合適的溶劑包括例如芳族化合物(例如二甲苯、甲苯)、醚(茴香醚、四氫呋喃)、酯(丙二醇單甲醚乙酸酯、乙酸乙酯、乳酸乙酯)、醇(例如4-甲基-2-戊醇、1-丁醇、甲醇、異丙醇、1-丙醇)、酮(例如甲基乙基酮)、其混合物等。一般而言,有機溶劑之選擇會受到溶解度參數、揮發性、易燃性、毒性、黏度以及與其他處理材料的潛在化學相互作用的影響。於溶液之組分溶解並結合後,由於部分原位水解、水合及/或縮合,物質之特性可能會改變。After preparing the desired organotin precursor, the precursor can be dissolved in a suitable solvent to prepare a precursor solution, such as an organic solvent, such as alcohols, aromatic and aliphatic hydrocarbons, esters, or combinations thereof. In particular, suitable solvents include, for example, aromatic compounds (e.g. xylene, toluene), ethers (e.g. anisole, tetrahydrofuran), esters (propylene glycol monomethyl ether acetate, ethyl acetate, ethyl lactate), alcohols (e.g. 4-methyl-2-pentanol, 1-butanol, methanol, isopropanol, 1-propanol), ketones (such as methyl ethyl ketone), mixtures thereof, etc. In general, the choice of organic solvent will be influenced by solubility parameters, volatility, flammability, toxicity, viscosity, and potential chemical interactions with other process materials. After the components of a solution dissolve and combine, the properties of the substance may change due to partial in-situ hydrolysis, hydration and/or condensation.

有機錫前驅物可以一定的濃度溶解於溶劑中,以提供適合形成具適用於處理之厚度的塗層的錫濃度。可選擇前驅物溶液中物質之濃度,以獲得所需的溶液物理性質。具體而言,較低的濃度總體上可導致某些塗覆方法(例如旋塗)所期望的溶液性質,該些塗覆方法可使用合理的塗覆參數實現較薄的塗層。可能期望使用較薄的塗層來實現超細圖案化以及降低材料成本。一般而言,可選擇濃度以適合所選塗層方法。塗層性能將於下文進一步闡述。一般而言,錫濃度包括自約0.005 M至約1.4 M,於進一步之實施態樣中約0.02 M至約1.2 M,且於額外之實施態樣中約0.1 M至約1.0 M。此項技術中具有通常知識者將認識到,於上述明確範圍內之其他錫濃度之範圍係被設想到且落入本揭露之範圍內。The organotin precursor can be dissolved in the solvent at a concentration that provides a tin concentration suitable for forming a coating with a thickness suitable for processing. The concentration of substances in the precursor solution can be selected to obtain the desired physical properties of the solution. Specifically, lower concentrations can generally result in solution properties that are desirable for certain coating methods, such as spin coating, that can achieve thinner coatings using reasonable coating parameters. It may be desirable to use thinner coatings to achieve ultrafine patterning and reduce material costs. In general, the concentration can be chosen to suit the chosen coating method. Coating properties are further explained below. Generally, tin concentrations include from about 0.005 M to about 1.4 M, in further embodiments from about 0.02 M to about 1.2 M, and in additional embodiments from about 0.1 M to about 1.0 M. One of ordinary skill in the art will recognize that other tin concentration ranges within the explicit ranges set forth above are contemplated and fall within the scope of the present disclosure.

於一些實施態樣中,改進之光敏前驅物組合物可存在於與一種或多種有機錫組合物(如R nSnX 4-n及其水解產物)的混合溶液中,其中R選自本文詳細闡述及上文明確闡述的各種部分。此等混合溶液可進行調整,以優化各種性能考量,例如溶液穩定性、塗層均勻性及圖案化性能。於一些實施態樣中,改進之光敏組合物可包含至少1莫耳%錫的混合溶液中之所需組分,於進一步之實施態樣中混合溶液之至少10%莫耳錫,於進一步之實施態樣中為混合溶液之至少20莫耳%錫,且於進一步之實施態樣中至少50莫耳%錫的混合溶液中之特定所需成分。於混合溶液的明確範圍內之改進光敏組合物之其他莫耳%範圍係被設想到且亦落入本揭露之範圍內。 In some embodiments, the improved photosensitive precursor composition may be present in a mixed solution with one or more organotin compositions (such as R n SnX 4-n and its hydrolyzate), wherein R is selected from the group consisting of those described in detail herein and the various parts expressly set out above. These mixed solutions can be adjusted to optimize various performance considerations such as solution stability, coating uniformity and patterning performance. In some embodiments, the improved photosensitive composition may comprise the required components in a mixed solution of at least 1 mol% tin, in a further embodiment the mixed solution is at least 10% molten tin, in a further embodiment In one embodiment, the mixed solution contains at least 20 mol% tin, and in a further embodiment, the mixed solution contains at least 50 mol% tin. Other molar % ranges of improved photosensitive compositions within the specified range of the mixed solution are contemplated and are within the scope of this disclosure.

一般由於有機錫組合物的高蒸氣壓,本文所述之有機錫組合物可用作藉由氣相沉積形成塗層的前驅物。氣相沉積方法一般包括化學氣相沉積(chemical vapor deposition;CVD)、物理氣相沉積(physical vapor deposition;PVD)、原子層沉積(atomic layer deposition;ALD)、及其改進方法。於典型的氣相沉積製程中,有機錫組合物可與小分子氣相試劑反應,例如H 2O、O 2、H 2O 2、O 3、CH 3OH、HCOOH、CH 3COOH等,它們用作生產輻射敏感性有機錫氧化物及氧化氫氧化物塗層的O源及H源。吳(Wu)等人於PCT申請案PCT/US2019/031618中闡述可輻射圖案化有機錫塗層之氣相沉積,該申請案標題為「製造可EUV圖案化硬光罩的方法(Methods for Making EUV Patternable Hard Masks)」,以引用方式併入本文中。輻射敏感有機錫塗層之生產一般可藉由揮發性有機錫前驅物RSnL 3與小的氣相分子反應來實現。反應可包括有機錫前驅物之水解/縮合,以水解可水解的配位基,同時保持Sn-C鍵實質上不變。 The organotin compositions described herein may be used as precursors for forming coatings by vapor deposition, generally due to their high vapor pressure. Vapor deposition methods generally include chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), and improved methods thereof. In a typical vapor deposition process, the organotin composition can react with small molecule gas phase reagents, such as H 2 O, O 2 , H 2 O 2 , O 3 , CH 3 OH, HCOOH, CH 3 COOH, etc., which Used as O source and H source for the production of radiation-sensitive organic tin oxide and oxyhydroxide coatings. Wu et al. describe the vapor deposition of radiation-patternable organic tin coatings in PCT application PCT/US2019/031618, which is titled "Methods for Making EUV-Patternable Hard Masks" EUV Patternable Hard Masks)", incorporated herein by reference. The production of radiation-sensitive organotin coatings is generally achieved by reacting the volatile organotin precursor RSnL 3 with small gas phase molecules. The reaction may include hydrolysis/condensation of the organotin precursor to hydrolyze the hydrolyzable ligand while leaving the Sn-C bond substantially unchanged.

關於基於輻射的圖案化的代表性製程之概述,例如極紫外(EUV)微影製程,光阻材料作為薄膜沉積或塗覆於基板上,曝光前烘烤,用輻射圖案曝光以產生潛像,曝光後烘烤,然後用液體(一般是有機溶劑)顯影,以產生光阻之顯影圖案。若需要,可使用更少的步驟,並且可使用額外步驟來去除殘留物以提高圖案保真度。An overview of representative processes for radiation-based patterning, such as extreme ultraviolet (EUV) lithography processes, in which photoresist materials are deposited or coated as a thin film on a substrate, baked before exposure, and patterned with radiation to create a latent image, After exposure, it is baked and then developed with a liquid (usually an organic solvent) to produce a developed pattern of the photoresist. If desired, fewer steps can be used, and additional steps can be used to remove residue to increase pattern fidelity.

可輻射圖案化塗層之厚度可端視所需的製程而定。對於在單圖案化EUV微影中使用,塗層厚度一般被選擇成產生具有低缺陷率及圖案化再現性的圖案。於一些實施態樣中,合適的塗層厚度可在0.1奈米與100奈米之間,於進一步之實施態樣中約1奈米至50奈米,且於進一步之實施態樣中約2奈米至25奈米。此項技術中之通常知識者將理解,其他塗層厚度範圍係被設想到且落入本揭露之範圍內。The thickness of the radiation patternable coating may depend on the required manufacturing process. For use in single-patterned EUV lithography, the coating thickness is generally selected to produce patterns with low defectivity and patterning reproducibility. In some embodiments, a suitable coating thickness may be between 0.1 nanometer and 100 nanometers, in further embodiments about 1 nanometer to 50 nanometers, and in further embodiments about 2 nanometers. nanometer to 25 nanometer. One of ordinary skill in the art will understand that other coating thickness ranges are contemplated and fall within the scope of this disclosure.

藉由氣相沉積技術製備的可輻射圖案化塗層的塗層厚度一般可藉由適當選擇製程之反應時間或循環次數來控制。可輻射圖案化塗層之厚度可端視所需的製程而定。對於在單圖案化EUV微影中使用,塗層厚度一般被選擇成產生具有低缺陷及圖案再現性的圖案。於一些實施態樣中,合適的塗層厚度可在0.1奈米及100奈米之間,於進一步之實施態樣中約1奈米至50奈米,於進一步之實施態樣中約2奈米至25奈米。此項技術中之通常知識者將理解,其他塗層厚度範圍係被設想到且落入本揭露之範圍內。The coating thickness of a radiation patternable coating prepared by vapor deposition technology can generally be controlled by appropriately selecting the reaction time or cycle number of the process. The thickness of the radiation patternable coating may depend on the required manufacturing process. For use in single-patterned EUV lithography, the coating thickness is generally selected to produce patterns with low defects and pattern reproducibility. In some implementations, a suitable coating thickness may be between 0.1 nanometer and 100 nanometers, in further implementations about 1 nanometer to 50 nanometers, in further implementations about 2 nanometers. meters to 25 nm. One of ordinary skill in the art will understand that other coating thickness ranges are contemplated and fall within the scope of this disclosure.

基板一般具有塗層材料可沉積於其上的表面,且它可包括多個層,其中該表面與最上層相關。基板並無特別限制,且可包括任何合理的材料,例如矽、二氧化矽、其他無機材料(例如陶瓷)、及聚合物材料。The substrate generally has a surface on which the coating material can be deposited, and it can include multiple layers, with the surface being associated with the uppermost layer. The substrate is not particularly limited and may include any reasonable material, such as silicon, silicon dioxide, other inorganic materials (such as ceramics), and polymer materials.

於可輻射圖案化之塗層沉積及形成之後,可於輻射曝光之前進行進一步的處理。於一些實施態樣中,塗層可在30℃與300℃之間,於進一步之實施態樣中在50℃與200℃之間,且於進一步之實施態樣中在80℃與150℃之間加熱。加熱可於一些實施態樣中進行約10秒至約10分鐘,於進一步之實施態樣中約30秒至約5分鐘,且於進一步之實施態樣中約45秒至約2分鐘。在上述明確範圍內的其他溫度及加熱持續時間範圍係可預見及設想到的。After deposition and formation of the radiation patternable coating, further processing can be performed prior to radiation exposure. In some embodiments, the coating can be between 30°C and 300°C, in further embodiments between 50°C and 200°C, and in further embodiments between 80°C and 150°C. Heating time. Heating may occur in some embodiments from about 10 seconds to about 10 minutes, in further embodiments from about 30 seconds to about 5 minutes, and in further embodiments from about 45 seconds to about 2 minutes. Other temperature and heating duration ranges within the explicit ranges above are foreseeable and contemplated.

組合物之圖案化:Patterning of compositions:

輻射一般可經由光罩被引導至被塗覆基板,或者輻射束可被可控地掃描越過基板。一般而言,輻射可包括電磁輻射、電子束(β輻射)、或其他合適之輻射。一般而言,電磁輻射可具有期望波長或波長範圍,例如可見光輻射、紫外線輻射、或X射線輻射。輻射圖案可達成之解析度一般取決於輻射波長,且較高解析度之圖案一般可用較短波長之輻射來達成。因此,可期望使用紫外光、X射線輻射、或電子束來獲得特別高解析度圖案。Radiation can generally be directed to the substrate being coated via a photomask, or the radiation beam can be controllably scanned across the substrate. Generally speaking, radiation may include electromagnetic radiation, electron beam (beta radiation), or other suitable radiation. Generally speaking, electromagnetic radiation may have a desired wavelength or range of wavelengths, such as visible radiation, ultraviolet radiation, or X-ray radiation. The resolution that can be achieved with a radiation pattern generally depends on the wavelength of the radiation, and higher resolution patterns can generally be achieved with radiation of shorter wavelengths. Therefore, it may be desirable to use ultraviolet light, X-ray radiation, or electron beams to obtain particularly high-resolution patterns.

根據以引用方式併入本文中的國際標準ISO 21348(2007),紫外光在長於或等於100奈米且短於400奈米之波長之間延伸。氟化氪雷射可用作248奈米紫外光之來源。根據接受之標準,紫外範圍可以數種方式細分,例如自長於或等於10奈米至短於121奈米之極紫外線(EUV)及自長於或等於122奈米至短於200奈米之遠紫外線(far ultraviolet;FUV)。來自氟化氬雷射之193奈米線可於FUV中用作輻射源。13.5奈米之EUV光已用於微影,並且此光係由使用高能雷射或放電脈衝激發之Xe或Sn電漿源產生。軟X射線可被定義為長於或等於0.1奈米至短於10奈米。According to the international standard ISO 21348 (2007), which is incorporated herein by reference, ultraviolet light extends between wavelengths longer than or equal to 100 nanometers and shorter than 400 nanometers. Krypton fluoride laser can be used as a source of 248 nm ultraviolet light. Depending on the accepted criteria, the UV range can be subdivided in several ways, such as extreme ultraviolet (EUV) from 10 nanometers or more to less than 121 nanometers and far-UVC from 122 nanometers or more to less than 200 nanometers. (far ultraviolet; FUV). 193 nanowires from argon fluoride lasers can be used as radiation sources in FUV. 13.5 nm EUV light has been used for lithography, and this light is produced by Xe or Sn plasma sources excited by high-energy lasers or discharge pulses. Soft X-rays can be defined as longer than or equal to 0.1 nanometers to shorter than 10 nanometers.

基於塗層材料之設計,可在具有縮合塗層材料之被輻照區與Sn-C鍵實質上保持不變的未輻照塗層材料區之間有大的材料性質之對比。對於其中使用後輻照熱處理之實施態樣,後輻照熱處理可於約45℃至約250℃、於額外之實施態樣中約50℃至約190℃、且於進一步之實施態樣中約60℃至約175℃之溫度下進行。後曝光加熱一般可進行至少約0.1分鐘,於進一步之實施態樣中約0.5分鐘至約30分鐘,且於額外之實施態樣中約0.75分鐘至約10分鐘。此項技術中具有通常知識者將會認識到,於上述明確範圍內之其它後輻照加熱溫度及時間範圍係被設想到且落入本揭露之範圍內。材料性質之此種高的對比進一步促進在顯影後在圖案中形成具有平滑邊緣之高解析度線,如在以下文中所述。Based on the design of the coating material, there can be a large contrast in material properties between the irradiated areas with the condensation coating material and the unirradiated areas of the coated material where the Sn-C bonds remain substantially unchanged. For embodiments in which post-irradiation heat treatment is used, the post-irradiation heat treatment may be at about 45°C to about 250°C, in additional embodiments about 50°C to about 190°C, and in further embodiments about It is carried out at a temperature of 60°C to about 175°C. Post-exposure heating can generally be performed for at least about 0.1 minutes, in further embodiments from about 0.5 minutes to about 30 minutes, and in additional embodiments from about 0.75 minutes to about 10 minutes. One of ordinary skill in the art will recognize that other post-irradiation heating temperature and time ranges within the explicit ranges set forth above are contemplated and fall within the scope of the present disclosure. This high contrast in material properties further facilitates the formation of high-resolution lines with smooth edges in the pattern after development, as described below.

對於負型成像,顯影劑可為有機溶劑,例如用於形成前驅物溶液之溶劑。一般而言,顯影劑之選擇可受被輻照及未輻照塗層材料二者之溶解度參數以及顯影劑揮發性、易燃性、毒性、黏度、及與其他製程材料之潛在化學相互作用影響。特別地,合適之顯影劑包括,舉例而言,醇(例如,4-甲基-2-戊醇、1-丁醇、異丙醇、1-丙醇、甲醇)、乳酸乙酯、醚(例如,四氫呋喃、二噁烷、茴香醚)、酮(戊酮、己酮、2-庚酮、辛酮)等。顯影可進行約5秒至約30分鐘,於進一步之實施態樣中約8秒至約15分鐘,且於額外之實施態樣中約10秒至約10分鐘。此項技術中具有通常知識者將會認識到,於上述明確範圍內之其他範圍係被設想到且落入本揭露之範圍內。除了主要顯影劑組合物之外,顯影劑可包含額外添加組合物以促進顯影製程。合適之添加劑可包括例如黏度調節劑、增溶助劑、或其他處理助劑。若存在視需要之添加劑,則顯影劑可包含不超過約10重量%之添加劑,且於進一步之實施態樣中不超過約5重量%之添加劑。此項技術中具有通常知識者將會認識到,於上述明確範圍內之其他添加劑濃度範圍係被設想到且落入本揭露之範圍內。For negative imaging, the developer can be an organic solvent, such as the solvent used to form the precursor solution. In general, the choice of developer can be influenced by the solubility parameters of both irradiated and non-irradiated coating materials, as well as developer volatility, flammability, toxicity, viscosity, and potential chemical interactions with other process materials. . In particular, suitable developers include, for example, alcohols (eg, 4-methyl-2-pentanol, 1-butanol, isopropanol, 1-propanol, methanol), ethyl lactate, ethers ( For example, tetrahydrofuran, dioxane, anisole), ketones (pentanone, hexanone, 2-heptanone, octanone), etc. Development may occur from about 5 seconds to about 30 minutes, in further embodiments from about 8 seconds to about 15 minutes, and in additional embodiments from about 10 seconds to about 10 minutes. One of ordinary skill in the art will recognize that other ranges within the explicit scope described above are contemplated and fall within the scope of the present disclosure. In addition to the main developer composition, the developer may contain additional additive compositions to facilitate the development process. Suitable additives may include, for example, viscosity modifiers, solubilizing aids, or other processing aids. If optional additives are present, the developer may contain no more than about 10% by weight of additives, and in further embodiments no more than about 5% by weight of additives. One of ordinary skill in the art will recognize that other additive concentration ranges within the explicit ranges set forth above are contemplated and fall within the scope of the present disclosure.

對於其中塗層具有較低顯影速率之較弱顯影劑,例如稀釋有機顯影劑或組合物,可使用較高溫度顯影製程來增加製程之速率。對於更強顯影劑,顯影製程之溫度可更低,以降低速率及/或控制顯影之動力學。一般而言,顯影之溫度可在與溶劑揮發性一致之適當值之間調節。此外,於顯影期間,顯影劑及顯影劑-塗層界面附近之溶解之塗層材料可藉由超音波來分散。可使用任何合理之方法將顯影劑施加至圖案化塗層材料。例如,顯影劑可被噴塗至圖案化塗層材料上。此外,可使用旋塗。對於自動化處理,可使用槳板方法,該槳板方法涉及以固定格式將顯影劑傾倒於塗層材料上。若期望,則可使用旋轉沖洗及/或乾燥來完成顯影製程。合適之沖洗溶液包括,例如,超純水、水性四烷基氫氧化銨、甲醇、乙醇、丙醇及其組合。對圖像進行顯影後,將塗層材料作為圖案設置於基板上。For weaker developers where the coating has a lower development rate, such as a dilute organic developer or composition, a higher temperature development process can be used to increase the rate of the process. For stronger developers, the temperature of the development process can be lower to reduce the rate and/or control the kinetics of development. Generally speaking, the temperature of development can be adjusted between appropriate values consistent with the volatility of the solvent. Additionally, during development, the developer and dissolved coating material near the developer-coating interface can be dispersed by ultrasound. Any reasonable method may be used to apply the developer to the patterned coating material. For example, the developer can be sprayed onto the patterned coating material. Additionally, spin coating can be used. For automated processing, the paddle method can be used, which involves pouring the developer in a fixed pattern onto the coating material. If desired, spin rinsing and/or drying can be used to complete the development process. Suitable rinsing solutions include, for example, ultrapure water, aqueous tetraalkyl ammonium hydroxide, methanol, ethanol, propanol, and combinations thereof. After the image is developed, the coating material is placed on the substrate as a pattern.

於一些實施態樣中,無溶劑(乾)顯影製程可藉由使用適當的熱顯影或電漿顯影製程來進行,例如坦(Tan)等人於美國專利申請案PCT/US2020/039615號中所闡述者,該申請案標題為「用鹵化物化學物質進行光阻顯影(Photoresist Development With Halide Chemistries)」,以引用方式併入本文中。對於有機錫光阻塗層,乾顯影可藉由使用含鹵素的電漿及氣體(例如HBr及BCl 3)進行。於一些情形下,乾顯影可提供優於濕顯影的優點,例如圖案塌陷減少、浮渣減少、以及對顯影劑組合物(即電漿及/或蝕刻氣體)之精細控制。 In some embodiments, the solvent-free (dry) development process can be performed by using an appropriate thermal development or plasma development process, such as that described by Tan et al. in U.S. Patent Application No. PCT/US2020/039615 Stated, the application is entitled "Photoresist Development With Halide Chemistries" and is incorporated herein by reference. For organic tin photoresist coatings, dry development can be performed by using halogen-containing plasmas and gases (such as HBr and BCl 3 ). In some cases, dry development can provide advantages over wet development, such as reduced pattern collapse, reduced scum, and fine control of the developer composition (ie, plasma and/or etching gases).

顯影步驟完成後,可對塗層材料進行熱處理,以進一步使材料縮合,並進一步脫水、緻密化或自材料中移除殘留顯影劑。此種熱處理對於其中氧化物塗層材料被併入最終裝置中的實施態樣而言可為特別期望的,但對於其中塗層材料被用作光阻並最終被移除之一些實施態樣而言,若期望穩定塗層材料以助於進一步圖案化,則可能期望進行熱處理。特別地,圖案化塗層材料之烘烤可於圖案化塗層材料表現出期望水準之蝕刻選擇性之條件下進行。於一些實施態樣中,圖案化塗層材料可被加熱至約100℃至約600℃,於進一步之實施態樣中約175℃至約500℃,且於額外之實施態樣中約200℃至約400℃之溫度。加熱可進行至少約1分鐘,於其他實施態樣中約2分鐘至約1小時,於進一步之實施態樣中約2.5分鐘至約25分鐘。加熱可於空氣、真空或惰性氣體環境(例如Ar或N 2)中進行。此項技術中具有通常知識者將會認識到,於上述明確範圍內之用於熱處理之其他溫度及時間範圍係被設想到且落入本揭露之範圍內。同樣地,包括毯式紫外曝光或曝光於例如O 2等氧化電漿之非熱處理亦可用於類似目的。 實施例 After the development step is completed, the coating material can be heat treated to further condense the material and further dehydrate, densify, or remove residual developer from the material. Such heat treatment may be particularly desirable for embodiments in which the oxide coating material is incorporated into the final device, but may be particularly desirable for some embodiments in which the coating material is used as a photoresist and ultimately removed. In other words, if it is desired to stabilize the coating material to facilitate further patterning, thermal treatment may be desirable. In particular, baking of the patterned coating material can be performed under conditions where the patterned coating material exhibits a desired level of etch selectivity. In some embodiments, the patterned coating material can be heated to about 100°C to about 600°C, in further embodiments about 175°C to about 500°C, and in additional embodiments about 200°C. to a temperature of approximately 400°C. Heating can be performed for at least about 1 minute, in other embodiments from about 2 minutes to about 1 hour, in further embodiments from about 2.5 minutes to about 25 minutes. Heating can be performed in air, vacuum or an inert gas environment (such as Ar or N 2 ). One of ordinary skill in the art will recognize that other temperature and time ranges for heat treatment within the explicit ranges set forth above are contemplated and fall within the scope of the present disclosure. Likewise, non-thermal treatments involving blanket UV exposure or exposure to oxidizing plasmas such as O can be used for similar purposes. Example

以下實施例給出具有低多烷基污染的單烷基錫三胺、三乙炔化物、及三烷氧化物產品之合成及NMR表徵。於一些實施例中給出以下反應量熱數據: 可達到之最大溫度:MAT( oC) 反應熱:∆H r(千焦耳/莫耳) 熱轉化百分比:TC (%) The following examples present the synthesis and NMR characterization of monoalkyltin triamine, triacetylide, and trialkoxide products with low polyalkyl contamination. The following reaction calorimetric data are given in some examples: Maximum achievable temperature: MAT ( o C) Heat of reaction: ΔH r (kilojoules/mol) Heat conversion percentage: TC (%)

實施例Example 1. CH 3CH 2CH 2Sn(N(CH 2CH 3) 2) 3 1. CH 3 CH 2 CH 2 Sn(N(CH 2 CH 3 ) 2 ) 3 and CH 3CH 2CH 2Sn(OC(CH 3) 2CH 2CH 3) 3 CH 3 CH 2 CH 2 Sn(OC(CH 3 ) 2 CH 2 CH 3 ) 3 之製備Preparation

本實施例呈現藉由涉及SnCl 2、二乙胺、正丁基鋰、及碘化正丙烷的氧化錫化反應合成正丙基錫三胺CH 3CH 2CH 2Sn(N(CH 2CH 3) 2) 3,縮寫為n-PrSn(NEt 2) 3,並隨後轉化為正丙基錫三烷氧化物CH 3CH 2CH 2Sn(OC(CH 3) 2CH 2CH 3) 3,縮寫為n-PrSn(O-tAm) 3This example demonstrates the synthesis of n-propyltin triamine CH 3 CH 2 CH 2 Sn(N(CH 2 CH 3 ) 2 ) 3 , abbreviated as n-PrSn(NEt 2 ) 3 , and subsequently converted to n-propyltin trialkoxide CH 3 CH 2 CH 2 Sn(OC(CH 3 ) 2 CH 2 CH 3 ) 3 , abbreviated is n-PrSn(O-tAm) 3 .

( aa ) CH 3CH 2CH 2Sn(N(CH 2CH 3) 2) 3 CH 3 CH 2 CH 2 Sn(N(CH 2 CH 3 ) 2 ) 3 之合成synthesis

將二乙胺(175毫莫耳,奧德裡奇公司(Aldrich))及無水二乙醚(53毫升,奧德裡奇公司)用N 2流於正N 2(g)壓力下於裝有鼓泡出口的400毫升反應器中混合。將反應器冷卻至0℃,然後滴加150毫莫耳正丁基鋰(奧德裡奇公司,1.6 M於己烷中)。攪拌30分鐘後,形成中間體產物LiNEt 2,並使用重複樣品進行表徵。然後將於四氫呋喃中之SnCl 2(50毫升,1 M,費希爾公司(Fisher))滴加至溶液中。將反應器升溫至18℃並攪拌30分鐘。形成中間體產物LiSn(NEt 2) 3,並使用重複樣品進行表徵。將溶液再次冷卻至0℃,然後滴加碘化正丙烷(75毫莫耳,奧克伍德公司(Oakwood))。將溶液加熱至18℃並攪拌過夜。然後於真空下除去溶液中之揮發性成分。向燒瓶中加入無水戊烷(200毫升,奧德裡奇公司),並將混合物藉由Celite® S(奧德裡奇公司)過濾。另用200毫升無水戊烷洗滌燒瓶,並亦過濾混合物。將濾液濃縮以除去揮發性成分,然後藉由真空蒸餾(250毫托,70至76℃)純化,以65.4%的產率得到無色液體形式的正丙基錫三(二乙基胺),即n-PrSn(NEt 2) 3Diethylamine (175 mmol, Aldrich) and anhydrous diethyl ether (53 ml, Aldrich) were added with N 2 under positive N 2 (g) pressure in a bottle equipped with a bubbling outlet. Mix in a 400 ml reactor. The reactor was cooled to 0 °C and 150 mmol n-butyllithium (Aldrich, 1.6 M in hexanes) was added dropwise. After stirring for 30 minutes, the intermediate product LiNEt2 was formed and repeated samples were used for characterization. SnCl2 in tetrahydrofuran (50 mL, 1 M, Fisher) was then added dropwise to the solution. The reactor was heated to 18°C and stirred for 30 minutes. The intermediate product LiSn(NEt 2 ) 3 was formed and characterized using replicate samples. The solution was cooled again to 0°C and n-propane iodide (75 mmol, Oakwood) was added dropwise. The solution was heated to 18°C and stirred overnight. The volatile components in the solution are then removed under vacuum. Anhydrous pentane (200 ml, Aldrich) was added to the flask and the mixture was filtered through Celite® S (Aldrich). The flask was washed with an additional 200 ml of anhydrous pentane and the mixture was also filtered. The filtrate was concentrated to remove volatile components and then purified by vacuum distillation (250 mTorr, 70 to 76°C) to obtain n-propyltin tris(diethylamine) as a colorless liquid in a yield of 65.4%, i.e. n-PrSn(NEt 2 ) 3 .

進行反應量熱法來評估各種反應類型的可達到之最大溫度(MAT)、反應熱(∆H r)、及熱轉化(TC)。TC對應於單獨添加試劑期間放出的總熱量之相對量。 MAT ( oC) ∆H r(千焦耳/莫耳) TC (%) 1. HNEt 2+ n-BuLi → LiNEt 2 118 -118 99 2. LiNEt 2+ SnCl 2→ LiSn(NEt 2) 3 37 -216 89 3. LiSn(NEt 2) 3+ n-PrI → n-PrSn(NEt 2) 3 23 -94 7 Reaction calorimetry was performed to evaluate the maximum achievable temperature (MAT), heat of reaction (ΔH r ), and thermal conversion (TC) for various reaction types. TC corresponds to the relative amount of total heat released during the addition of individual reagents. MAT( o C) ΔH r (kilojoules/mol) TC (%) 1. HNEt 2 + n-BuLi → LiNEt 2 118 -118 99 2. LiNEt 2 + SnCl 2 → LiSn(NEt 2 ) 3 37 -216 89 3. LiSn(NEt 2 ) 3 + n-PrI → n-PrSn(NEt 2 ) 3 twenty three -94 7

第1圖示出在苯-d 6中之LiSn(NEt 2) 3119Sn NMR圖譜。圖譜顯示在31.53 ppm處有一單峰。第2圖示出在苯-d 6中之n-PrSn(NEt 2) 3119Sn NMR圖譜。圖譜顯示以下化學位移: 119Sn NMR(149 MHz,苯- d 6 ) δ 17.35,-43.75,-68.88。在-43.75 ppm處的峰佔峰積分之99%,並且與高純度的單烷基錫三胺產物相關。第3圖為在苯-d 6中之n-PrSn(NEt 2) 31H NMR圖譜。圖譜顯示以下化學位移: 1H NMR(400 MHz,苯- d 6 ) δ 3.08 – 2.88 (m, 6H, -N-CH 2-), 1.67 – 1.53 (m, 1H, -Sn-CH 2-CH 2-), 1.11 – 0.89 (m, 11H, -CH 3)。 Figure 1 shows the 119 Sn NMR spectrum of LiSn(NEt 2 ) 3 in benzene-d 6 . The spectrum shows a single peak at 31.53 ppm. Figure 2 shows the 119 Sn NMR spectrum of n-PrSn(NEt 2 ) 3 in benzene-d 6 . The spectrum shows the following chemical shifts: 119 Sn NMR (149 MHz, benzene- d6 ) δ 17.35, -43.75, -68.88. The peak at -43.75 ppm accounts for 99% of the peak integration and is associated with the high purity monoalkyltin triamine product. Figure 3 shows the 1 H NMR spectrum of n-PrSn(NEt 2 ) 3 in benzene-d 6 . The spectrum shows the following chemical shifts: 1 H NMR (400 MHz, benzene- d 6 ) δ 3.08 – 2.88 (m, 6H, -N-CH 2 -), 1.67 – 1.53 (m, 1H, -Sn-CH 2 -CH 2 -), 1.11 – 0.89 (m, 11H, -CH 3 ).

( bb )轉化為) converted into CH 3CH 2CH 2Sn(OC(CH 3) 2CH 2CH 3) 3 CH 3 CH 2 CH 2 Sn(OC(CH 3 ) 2 CH 2 CH 3 ) 3

將來自實施例1步驟(a)的正丙基三錫(二乙基胺)(31.4毫莫耳)加入裝有攪拌棒的50毫升圓底燒瓶中。燒瓶在冰浴中冷卻至0℃,然後緩慢滴加三級戊醇(97.3毫莫耳,奧德裡奇公司)。然後將反應物升至室溫並攪拌30分鐘。於真空下除去溶液中之揮發性成分,並藉由真空蒸餾(400毫托,65至70℃)純化粗產物,以81%的產率產生無色油狀的n-PrSn(O-tAm) 3n-Propyltritin (diethylamine) (31.4 mmol) from step (a) of Example 1 was added to a 50 ml round bottom flask equipped with a stir bar. The flask was cooled to 0°C in an ice bath, and tertiary pentanol (97.3 mmol, Aldrich) was slowly added dropwise. The reaction was then warmed to room temperature and stirred for 30 minutes. The volatile components in the solution were removed under vacuum, and the crude product was purified by vacuum distillation (400 mTorr, 65 to 70°C) to yield n-PrSn(O-tAm) 3 as a colorless oil in 81% yield. .

第4圖示出在苯-d 6中之n-PrSn(O-tAm) 3119Sn圖譜。圖譜顯示以下化學位移: 119Sn NMR(149 MHz,苯- d 6 ) δ -195.65。該單峰與單一錫環境一致,因此為單烷基錫產物。第5圖為在苯-d 6中之n-PrSn(O-tAm) 31H圖譜,具有以下化學位移: 1H NMR (400 MHz, 苯- d 6) δ 1.65 (hept, J= 7.5 Hz, 1H), 1.45 (qd, J= 7.5, 1.8 Hz, 3H), 1.30 – 1.17 (m, 9H), 0.96 (d, J= 7.2 Hz, 1H), 0.94 – 0.78 (m, 5H)。使用選定之標準品進行定量質子錫NMR及質子NMR,以評估產品純度。 119Sn qNMR,標準品CH 3Sn(C 6H 6) 3,純度94.2(7)莫耳%單烷基錫; 1H qNMR,標準品1,3,5-三甲氧基苯,純度95.60(2)莫耳%單烷基錫。 Figure 4 shows the 119 Sn spectrum of n-PrSn(O-tAm) 3 in benzene-d 6 . The spectrum shows the following chemical shifts: 119 Sn NMR (149 MHz, Benzene- d6 ) δ -195.65. This single peak is consistent with a single tin environment and therefore a monoalkyltin product. Figure 5 is the 1 H spectrum of n-PrSn(O-tAm) 3 in benzene-d 6 with the following chemical shifts: 1 H NMR (400 MHz, benzene- d 6 ) δ 1.65 (hept, J = 7.5 Hz, 1H), 1.45 (qd, J = 7.5, 1.8 Hz, 3H), 1.30 – 1.17 (m, 9H), 0.96 (d, J = 7.2 Hz, 1H), 0.94 – 0.78 (m, 5H). Perform quantitative proton tin NMR and proton NMR using selected standards to assess product purity. 119 Sn qNMR, standard CH 3 Sn(C 6 H 6 ) 3 , purity 94.2 (7) mol% monoalkyl tin; 1 H qNMR, standard 1,3,5-trimethoxybenzene, purity 95.60 ( 2) Mol% monoalkyl tin.

實施例Example 2. CH 3CH 2Sn(N(CH 2CH 3) 2) 3 2. CH 3 CH 2 Sn(N(CH 2 CH 3 ) 2 ) 3 and CH 3CH 2Sn(OC(CH 3) 2CH 2CH 3) 3 CH 3 CH 2 Sn(OC(CH 3 ) 2 CH 2 CH 3 ) 3 之製備Preparation

本實施例呈現藉由涉及SnCl 2、二乙胺、正丁基鋰、及碘乙烷的氧化錫化反應合成乙基錫三胺CH 3CH 2Sn(N(CH 2CH 3) 2) 3,縮寫為EtSn(NEt 2) 3,並隨後轉化為乙基錫三烷氧化物CH 3CH 2Sn(OC(CH 3) 2CH 2CH 3) 3,縮寫為EtSn(O-tAm) 3This example demonstrates the synthesis of ethyltin triamine CH 3 CH 2 Sn(N(CH 2 CH 3 ) 2 ) 3 via a tin oxide reaction involving SnCl 2 , diethylamine, n-butyllithium, and ethyl iodide. , abbreviated as EtSn(NEt 2 ) 3 , and subsequently converted to ethyltin trialkoxide CH 3 CH 2 Sn(OC(CH 3 ) 2 CH 2 CH 3 ) 3 , abbreviated as EtSn(O-tAm) 3 .

( aa ) CH 3CH 2Sn(N(CH 2CH 3) 2) 3 CH 3 CH 2 Sn(N(CH 2 CH 3 ) 2 ) 3 之合成synthesis

將二乙胺(1442毫莫耳,奧德裡奇公司)及無水二乙醚(437毫升,奧德裡奇公司)用N 2流在正N 2(g)壓力下於3公升圓底燒瓶中混合;燒瓶配備有起泡出口及減壓出口,以支持連續的N 2(g)流。在冰水浴中將反應器冷卻至0℃,然後滴加1236毫莫耳正丁基鋰(奧德裡奇公司,1.55 M於己烷中)。攪拌1小時後,形成中間體產物LiNEt 2,並製備重複樣品進行表徵。然後,將於四氫呋喃中之SnCl 2(412毫升,1 M,費希爾公司)滴加至溶液中,然後攪拌1小時。形成中間體產物LiSn(NEt 2) 3,並製備重複樣品進行表徵。然後滴加碘乙烷(618毫莫耳,奧德裡奇公司),隨後將反應升溫至室溫並攪拌過夜。於真空下除去溶液中之揮發性成分。向燒瓶中加入二份500毫升無水戊烷,然後藉由Celite® S(奧德裡奇公司)過濾。將濾液濃縮以除去揮發性成分,然後藉由真空蒸餾(60毫托,80至85℃)純化,以78.6 %的產率得到無色液體狀乙基錫[三(二乙基胺)],即EtSn(NEt 2) 3Diethylamine (1442 mmol, Aldrich) and anhydrous diethyl ether (437 ml, Aldrich) were mixed in a 3-liter round-bottomed flask with N 2 flow under positive N 2 (g) pressure; The flask was equipped with a bubble outlet and a reduced pressure outlet to support a continuous flow of N2 (g). The reactor was cooled to 0 °C in an ice-water bath, and 1236 mmol n-butyllithium (Aldrich, 1.55 M in hexane) was added dropwise. After stirring for 1 hour, the intermediate product LiNEt 2 was formed, and duplicate samples were prepared for characterization. Then, SnCl 2 in tetrahydrofuran (412 mL, 1 M, Fisher Co.) was added dropwise to the solution and stirred for 1 hour. The intermediate product LiSn(NEt 2 ) 3 was formed, and duplicate samples were prepared for characterization. Ethyl iodide (618 mmol, Aldrich) was then added dropwise and the reaction was allowed to warm to room temperature and stirred overnight. Remove volatile components from the solution under vacuum. Two 500 ml portions of anhydrous pentane were added to the flask and filtered through Celite® S (Aldrich). The filtrate was concentrated to remove volatile components, and then purified by vacuum distillation (60 mTorr, 80 to 85°C) to obtain ethyltin [tris(diethylamine)] as a colorless liquid in a yield of 78.6%, namely EtSn(NEt 2 ) 3 .

第6圖示出EtSn(NEt 2) 3119Sn NMR圖譜。圖譜顯示以下化學位移: 119Sn NMR(149 MHz,苯- d 6 ) δ -40.69。-40.69 ppm處之單峰與單烷基錫三胺產物之高純度相關。 Figure 6 shows the 119 Sn NMR spectrum of EtSn(NEt 2 ) 3 . The spectrum shows the following chemical shifts: 119 Sn NMR (149 MHz, Benzene- d6 ) δ -40.69. The single peak at -40.69 ppm is related to the high purity of the monoalkyltin triamine product.

( bb )轉化為) converted into CH 3CH 2Sn(OC(CH 3) 2CH 2CH 3) 3 CH 3 CH 2 Sn(OC(CH 3 ) 2 CH 2 CH 3 ) 3

將來自實施例2之步驟(a)的乙基錫[三(二乙基胺)](324毫莫耳)加入含有50毫升戊烷的400毫升反應器中。用冷卻器將燒瓶冷卻至0℃,然後緩慢滴加三級戊醇(1004毫莫耳,奧德裡奇公司)。將反應物升至室溫並攪拌30分鐘。在真空下除去溶液中的揮發性成分,並藉由真空蒸餾(40毫托,82至88℃)純化粗產物,以97%的產率產生無色油狀的EtSn(O-tAm) 3Ethyltin [tris(diethylamine)] (324 mmol) from step (a) of Example 2 was added to a 400 ml reactor containing 50 ml of pentane. The flask was cooled to 0°C using a cooler, and tertiary pentanol (1004 mmol, Aldrich) was slowly added dropwise. The reaction was warmed to room temperature and stirred for 30 minutes. The volatile components in the solution were removed under vacuum, and the crude product was purified by vacuum distillation (40 mTorr, 82 to 88°C) to yield EtSn(O-tAm) 3 as a colorless oil in 97% yield.

第7圖示出在苯-d 6中之EtSn(O-tAm) 3119Sn NMR圖譜。圖譜顯示以下化學位移: 119Sn NMR(149 MHz,苯- d 6) δ -194.24。該單峰與單一錫環境一致,因此為單烷基錫產物。使用選定的標準品進行定量質子錫NMR及質子NMR,以評估產物純度。 119Sn qNMR,標準品CH 3Sn(C 6H 6) 3,純度95.5(8)莫耳%單烷基錫; 1H qNMR,標準品1,3,5-三甲氧基苯,純度96.8(1)莫耳%單烷基錫。 Figure 7 shows the 119 Sn NMR spectrum of EtSn(O-tAm) 3 in benzene-d 6 . The spectrum shows the following chemical shifts: 119 Sn NMR (149 MHz, Benzene- d6 ) δ -194.24. This single peak is consistent with a single tin environment and therefore a monoalkyltin product. Quantitative proton tin NMR and proton NMR were performed using selected standards to assess product purity. 119 Sn qNMR, standard CH 3 Sn(C 6 H 6 ) 3 , purity 95.5 (8) mol% monoalkyl tin; 1 H qNMR, standard 1,3,5-trimethoxybenzene, purity 96.8 ( 1) Mol% monoalkyl tin.

形成乙基錫三胺及乙基錫三烷氧化物的反應之熱行為總結如下。 MAT ( oC) ∆H r(千焦耳/莫耳) TC (%) 1. LiSn(NEt 2) 3+ EtI → EtSn(NEt 2) 3 24 -128 27 2. EtSn(NEt 2) 3+ t-AmOH→ EtSn(O-tAm) 3 64 -65 99 The thermal behavior of the reactions forming ethyltin triamine and ethyltin trialkoxide is summarized below. MAT( o C) ΔH r (kilojoules/mol) TC (%) 1. LiSn(NEt 2 ) 3 + EtI → EtSn(NEt 2 ) 3 twenty four -128 27 2. EtSn(NEt 2 ) 3 + t-AmOH→ EtSn(O-tAm) 3 64 -65 99

實施例Example 3. (CH 3) 3CSn(N(CH 2CH 3) 2) 3 3. (CH 3 ) 3 CSn(N(CH 2 CH 3 ) 2 ) 3 and (CH 3) 3CSn(OC(CH 3) 2CH 2CH 3) 3 (CH 3 ) 3 CSn(OC(CH 3 ) 2 CH 2 CH 3 ) 3 之製備Preparation

本實施例呈現藉由涉及SnCl 2、二乙胺、正丁基鋰、及三級丁基碘的氧化錫化反應合成三級丁基錫三胺(CH 3) 3CSn(N(CH 2CH 3) 2) 3,縮寫為t-BuSn(NEt 2) 3,並隨後轉化為三級丁基錫三烷氧化物(CH 3) 3CSn(OC(CH 3) 2CH 2CH 3) 3,縮寫為t-BuSn(O-tAm) 3This example demonstrates the synthesis of tertiary butyltin triamine (CH 3 ) 3 CSn(N(CH 2 CH 3 ) through a tin oxide reaction involving SnCl 2 , diethylamine, n-butyllithium, and tertiary butyl iodide. 2 ) 3 , abbreviated as t-BuSn(NEt 2 ) 3 , and subsequently converted to tertiary butyltin trialkoxide (CH 3 ) 3 CSn(OC(CH 3 ) 2 CH 2 CH 3 ) 3 , abbreviated as t- BuSn(O-tAm) 3 .

( aa ) (CH 3) 3CSn(N(CH 2CH 3) 2) 3 (CH 3 ) 3 CSn(N(CH 2 CH 3 ) 2 ) 3 之合成synthesis

將二乙胺(88毫莫耳,奧德裡奇公司)及無水二乙醚(27毫升,奧德裡奇公司)用N 2流在正N 2(g)壓力下在裝有鼓泡出口的400毫升反應器中混合。將反應器冷卻至0℃,然後滴加50毫莫耳正丁基鋰(奧德裡奇公司,1.6 M於己烷中)。攪拌30分鐘後,形成中間體產物LiNEt 2,並部分分離進行表徵。然後將於四氫呋喃中的SnCl 2(28毫升,1 M,費希爾公司)滴加至溶液中。將反應器升溫至20℃並攪拌30分鐘。形成中間體產物LiSn(NEt 2) 3,並部分分離進行表徵。將溶液再次冷卻至0℃,然後滴加三級丁基碘(38毫莫耳,奧德裡奇公司)。將溶液加熱至40℃並攪拌過夜。然後在真空下除去溶液中之揮發性成分。向燒瓶中加入無水戊烷(2×60毫升,奧德裡奇公司),並將混合物藉由Celite® S(奧德裡奇公司)過濾。另用60毫升無水戊烷洗滌燒瓶,並將混合物過濾。將濾液濃縮以除去揮發性成分,然後藉由真空蒸餾(250毫托,72至76℃)純化,以53%的產率得到無色液體形式的三級丁基錫三(二乙基胺),即t-BuSn(NEt 2) 3Diethylamine (88 mmol, Aldrich) and anhydrous diethyl ether (27 ml, Aldrich) were added with N 2 flow under positive N 2 (g) pressure in a 400 ml tank equipped with a bubbling outlet. Mix in the reactor. The reactor was cooled to 0°C and 50 mmol n-butyllithium (Aldrich, 1.6 M in hexane) was added dropwise. After stirring for 30 minutes, the intermediate product LiNEt 2 was formed and was partially isolated for characterization. SnCl2 in tetrahydrofuran (28 mL, 1 M, Fisher Co.) was then added dropwise to the solution. The reactor was warmed to 20°C and stirred for 30 minutes. The intermediate product LiSn(NEt 2 ) 3 was formed and partially isolated for characterization. The solution was cooled again to 0°C and tertiary butyl iodide (38 mmol, Aldrich) was added dropwise. The solution was heated to 40°C and stirred overnight. The volatile components in the solution are then removed under vacuum. Anhydrous pentane (2 x 60 ml, Aldrich) was added to the flask and the mixture was filtered through Celite® S (Aldrich). The flask was washed with an additional 60 ml of anhydrous pentane and the mixture was filtered. The filtrate was concentrated to remove volatile components, and then purified by vacuum distillation (250 mTorr, 72 to 76°C) to obtain tertiary butyltin tris(diethylamine) as a colorless liquid in a yield of 53%, i.e., t -BuSn(NEt 2 ) 3 .

第8圖示出在苯-d 6中之t-BuSn(NEt 2) 3119Sn NMR圖譜。圖譜顯示以下化學位移: 119Sn NM(149 MHz,苯- d 6 ) δ -81.69。在-81.69 ppm的尖銳單峰與高純度的單烷基錫三胺產物有關。在三胺蒸餾後未發現額外峰。 Figure 8 shows the 119 Sn NMR spectrum of t-BuSn(NEt 2 ) 3 in benzene-d 6 . The spectrum shows the following chemical shifts: 119 Sn NM (149 MHz, benzene- d 6 ) δ -81.69. The sharp single peak at -81.69 ppm is related to the high purity monoalkyltin triamine product. No additional peaks were found after triamine distillation.

( bb )轉化為) converted into (CH 3) 3CSn(OC(CH 3) 2CH 2CH 3) 3 (CH 3 ) 3 CSn(OC(CH 3 ) 2 CH 2 CH 3 ) 3

將來自實施例3步驟(a)的三級丁基錫三(二乙基胺)(13.2毫莫耳)加入裝有攪拌棒的50毫升圓底燒瓶中。燒瓶在冰浴中冷卻至0℃,然後緩慢滴加三級戊醇(41毫莫耳,奧德裡奇公司)。然後將反應物升至室溫並攪拌30分鐘。在真空下除去溶液中的揮發性成分,並藉由真空蒸餾(60毫托,90℃)純化粗產物,以94%的產率生產出無色油狀的t-BuSn(O-tAm) 3Tertiary butyltin tris(diethylamine) (13.2 mmol) from step (a) of Example 3 was added to a 50 ml round bottom flask equipped with a stir bar. The flask was cooled to 0°C in an ice bath, and tertiary pentanol (41 mmol, Aldrich) was slowly added dropwise. The reaction was then warmed to room temperature and stirred for 30 minutes. The volatile components in the solution were removed under vacuum, and the crude product was purified by vacuum distillation (60 mTorr, 90°C) to produce t-BuSn(O-tAm) 3 as a colorless oil in 94% yield.

第9圖示出在苯-d 6中之t-BuSn(O-tAm) 3119Sn圖譜。圖譜顯示以下化學位移: 119Sn NMR(149 MHz,苯- d 6 ) δ -219.45,-241.08,-369.97。-219.45 ppm處的峰積分為0.0018,與雙烷基產物不相關。-241.08 ppm處的峰積分為0.9897,與單烷基t-BuSn(O-tAm) 3產物一致。-369.97 ppm處的峰積分為0.0086,歸因於Sn(O-tAm) 4Figure 9 shows the 119 Sn spectrum of t-BuSn(O-tAm) 3 in benzene-d 6 . The spectrum shows the following chemical shifts: 119 Sn NMR (149 MHz, benzene- d6 ) δ -219.45, -241.08, -369.97. The peak at -219.45 ppm has an integration of 0.0018 and is not related to the dialkyl product. The peak integration at -241.08 ppm is 0.9897, consistent with the monoalkyl t-BuSn(O-tAm) 3 product. The peak integration at -369.97 ppm is 0.0086 and is attributed to Sn(O-tAm) 4 .

NMR結果清楚地展示選擇性地合成單烷基錫三胺及三烷氧化物產物的能力。The NMR results clearly demonstrate the ability to selectively synthesize monoalkyltin triamine and trialkoxide products.

實施例Example 4. (NC(CH 3) 2C)Sn(N(CH 2CH 3) 2) 3 4. (NC(CH 3 ) 2 C)Sn(N(CH 2 CH 3 ) 2 ) 3 之製備Preparation

本實施例呈現藉由涉及SnCl 2、二乙胺、正丁基鋰、及2-溴-2-甲基丙腈的氧化錫化反應合成異丁腈錫三胺(NC(CH 3) 2C)Sn(N(CH 2CH 3) 2) 3,縮寫為(NC(CH 3) 2C)Sn(NEt 2) 3This example demonstrates the synthesis of isobutyronitrile tin triamine (NC(CH 3 ) 2 C by a tin oxide reaction involving SnCl 2 , diethylamine, n-butyllithium, and 2-bromo-2-methylpropionitrile. )Sn(N(CH 2 CH 3 ) 2 ) 3 , abbreviated as (NC(CH 3 ) 2 C)Sn(NEt 2 ) 3 .

將二乙胺(87.5毫莫耳,奧德裡奇公司)及無水二乙醚(26.5毫升,奧德裡奇公司)在N 2(g)流下在裝有鼓泡減壓出口的250毫升圓底燒瓶中混合。在冰水浴中將反應器冷卻至0℃,然後滴加75毫莫耳正丁基鋰(奧德裡奇公司,2.53 M於己烷中)。攪拌30分鐘後,將四氫呋喃中之SnCl 2(25毫升,1 M,費希爾公司)滴加至溶液中,然後攪拌1小時。然後,加入2-溴-2-甲基丙腈(37.5毫莫耳,在內部合成)。將反應加熱至室溫並攪拌1小時。於真空下除去揮發性溶液成分。向燒瓶中加入二份100毫升無水戊烷,然後藉由Celite® S(奧德裡奇公司)過濾。將濾液濃縮以除去揮發性成分,然後藉由真空蒸餾(250毫托,70至76℃)純化,以21%的產率得到黃色油狀的異丁腈錫三(二乙基胺)。 Diethylamine (87.5 mmol, Aldrich) and anhydrous diethyl ether (26.5 ml, Aldrich) were placed in a 250 ml round-bottomed flask equipped with a bubbling vacuum outlet under a flow of N 2 (g). mix. The reactor was cooled to 0 °C in an ice-water bath, and 75 mmol n-butyllithium (Aldrich, 2.53 M in hexane) was added dropwise. After stirring for 30 minutes, SnCl 2 in tetrahydrofuran (25 mL, 1 M, Fisher Co.) was added dropwise to the solution and stirred for 1 hour. Then, 2-bromo-2-methylpropionitrile (37.5 mmol, synthesized in-house) was added. The reaction was warmed to room temperature and stirred for 1 hour. Volatile solution components were removed under vacuum. Two 100 ml portions of anhydrous pentane were added to the flask and filtered through Celite® S (Aldrich). The filtrate was concentrated to remove volatile components and then purified by vacuum distillation (250 mTorr, 70 to 76°C) to obtain tin tris(diethylamine) isobutyronitrile as a yellow oil in a yield of 21%.

第10圖示出在苯-d 6中之(NC(CH 3) 2C)Sn(NEt 2) 3119Sn NMR圖譜。在採集第10圖所示的 119Sn NMR圖譜之前,濃縮濾液以除去所有揮發性成分。圖譜顯示一個一級單線態及一個二級單線態,其具有下列化學位移: 119Sn NMR (149 MHz, 苯- d 6) δ -94.73, -120.28。 Figure 10 shows the 119 Sn NMR spectrum of (NC(CH 3 ) 2 C)Sn(NEt 2 ) 3 in benzene-d 6 . Before acquiring the 119 Sn NMR spectrum shown in Figure 10, the filtrate was concentrated to remove all volatile components. The spectrum shows a primary singlet and a secondary singlet with the following chemical shifts: 119 Sn NMR (149 MHz, Benzene- d 6 ) δ -94.73, -120.28.

實施例Example 5.5. (CH 3OCH 2)Sn(CCSi(CH 3) 3) 3 (CH 3 OCH 2 )Sn(CCSi(CH 3 ) 3 ) 3 之製備Preparation

本實施例呈現藉由涉及三甲基矽烷基乙炔、SnCl 2、正丁基鋰、及氯甲基甲醚的氧化錫化反應合成甲氧基甲基錫三乙炔化物(CH 3OCH 2)Sn(CCSi(CH 3) 3) 3,縮寫為(CH 3OCH 2)Sn(CCSiMe 3) 3或MOMSn(CCTMS) 3This example demonstrates the synthesis of methoxymethyltin triacetylide (CH 3 OCH 2 )Sn via a tin oxide reaction involving trimethylsilyl acetylene, SnCl 2 , n-butyllithium, and chloromethyl methyl ether. (CCSi(CH 3 ) 3 ) 3 , abbreviated as (CH 3 OCH 2 )Sn(CCSiMe 3 ) 3 or MOMSn(CCTMS) 3 .

將三甲基矽烷基乙炔(248毫莫耳,奧克伍德公司,縮寫為「HCCTMS」)及無水二乙醚(123毫升,奧德裡奇公司)在裝有減壓鼓泡器的400毫升反應器中在流動的N 2(g)下混合以產生正N 2壓力。用冷卻器將反應器冷卻至0℃,然後緩慢滴加240毫莫耳正丁基鋰(奧德裡奇公司,1.64 M於己烷中)。攪拌30分鐘後,形成中間體產物LiCCSiMe 3,亦縮寫為LiCCTMS,並製備重複樣品進行表徵。然後將四氫呋喃中之SnCl 2(80毫升,1 M,費希爾公司)滴加至溶液中。該溶液在室溫下攪拌2小時。形成中間體產物LiSn(CCSiMe 3) 3,亦縮寫為LiSn(CCTMS) 3,並製備重複樣品進行表徵。隨後用冷卻器將反應器冷卻至0℃,隨後滴加氯甲基甲醚(88毫莫耳,奧德裡奇公司,縮寫為「MOM-Cl」),然後在室溫下攪拌過夜。於真空下除去溶液中之揮發性成分。向燒瓶中加入二份各200毫升的無水戊烷,然後藉由Celite® S(奧德裡奇公司)過濾。濃縮濾液以除去揮發性成分(250毫托,70至76℃),得到甲氧基甲基錫三(三甲基矽烷基乙炔化物),MOMSn(CCTMS) 3,為白色粉末,產率為31%。 Trimethylsilyl acetylene (248 mmol, Oakwood Company, abbreviated as "HCCTMS") and anhydrous diethyl ether (123 ml, Aldrich Company) were placed in a 400 ml reactor equipped with a vacuum bubbler. Mix under flowing N 2 (g) to create positive N 2 pressure. The reactor was cooled to 0 °C using a cooler, and 240 mmol n-butyllithium (Aldrich, 1.64 M in hexanes) was slowly added dropwise. After stirring for 30 minutes, the intermediate product LiCCSiMe 3 , also abbreviated as LiCCTMS, was formed, and repeated samples were prepared for characterization. SnCl2 in tetrahydrofuran (80 mL, 1 M, Fisher Co.) was then added dropwise to the solution. The solution was stirred at room temperature for 2 hours. The intermediate product LiSn(CCSiMe 3 ) 3 , also abbreviated as LiSn(CCTMS) 3 , was formed, and repeated samples were prepared for characterization. The reactor was then cooled to 0°C using a cooler, and then chloromethyl methyl ether (88 mmol, Aldrich, abbreviated as "MOM-Cl") was added dropwise, and then stirred at room temperature overnight. Remove volatile components from the solution under vacuum. Two 200 ml portions of anhydrous pentane were added to the flask and filtered through Celite® S (Aldrich). The filtrate was concentrated to remove volatile components (250 mTorr, 70 to 76°C) to obtain methoxymethyltin tris(trimethylsilyl acetylide), MOMSn(CCTMS) 3 , as a white powder, yield 31 %.

第11圖示出在苯-d 6中之LiSn(CCSiMe 3) 3119Sn NMR圖譜。圖譜顯示在-478.5 ppm處有一單峰。第12圖示出在苯-d 6中之(CH 3OCH 2)Sn(CCSiMe 3) 3119Sn NMR圖譜,其具有以下化學位移: 119Sn NMR (149 MHz,苯- d 6 ) δ -324.23。在-324.23 ppm處的單一尖銳峰與高純度的單烷基錫三乙炔化物產物相關。 Figure 11 shows the 119 Sn NMR spectrum of LiSn(CCSiMe 3 ) 3 in benzene-d 6 . The spectrum shows a single peak at -478.5 ppm. Figure 12 shows the 119 Sn NMR spectrum of (CH 3 OCH 2 ) Sn(CCSiMe 3 ) 3 in benzene-d 6 with the following chemical shifts: 119 Sn NMR (149 MHz, benzene- d 6 ) δ - 324.23. A single sharp peak at -324.23 ppm is associated with the high purity monoalkyltin triacetylide product.

形成各種產物的反應之熱行為總結如下。 MAT ( oC) ∆H r(千焦耳/莫耳) TC (%) 1. HCCTMS+ n-BuLi → LiCCTMS 98 -160 99 2. LiCCTMS + SnCl 2→ LiSn(CCTMS) 3 27 -177 100 3. LiSn(CCTMS) 3+ MOM-Cl → MOMSn(CCTMS) 3 10 -67 86 Thermal behavior of reactions forming various products is summarized below. MAT( o C) ΔH r (kilojoules/mol) TC (%) 1. HCCTMS+ n-BuLi → LiCCCTMS 98 -160 99 2. LiCCTMS + SnCl 2 → LiSn(CCTMS) 3 27 -177 100 3. LiSn(CCTMS) 3 + MOM-Cl → MOMSn(CCTMS) 3 10 -67 86

實施例Example 6.6. (NC(CH 3) 2C)Sn(CCSi(CH 3) 3) 3 (NC(CH 3 ) 2 C)Sn(CCSi(CH 3 ) 3 ) 3 之製備Preparation

本實施例呈現藉由涉及三甲基矽烷基乙炔、SnCl 2、正丁基鋰、及2-溴-2-甲基丙腈的氧化錫化反應合成異丁腈錫三乙炔化物(NC(CH 3) 2C)Sn(CCSi(CH 3) 3) 3,縮寫為(NC(CH 3) 2C)Sn(CCSiMe 3) 3This example demonstrates the synthesis of isobutyronitrile tin triacetylide (NC(CH 3 ) 2 C)Sn(CCSi(CH 3 ) 3 ) 3 , abbreviated as (NC(CH 3 ) 2 C)Sn(CCSiMe 3 ) 3 .

將三甲基矽烷基乙炔(232.5毫莫耳,奧克伍德公司)及無水二乙醚(116毫升,奧德裡奇公司)在流動的N 2(g)下在裝有減壓鼓泡出口的400毫升反應器中混合。用冷卻器將反應器冷卻至0℃,然後滴加225毫莫耳正丁基鋰(奧德裡奇公司,2.53 M於己烷中)。攪拌30分鐘後,形成中間體產物LiSnCCSiMe 3,亦縮寫為LiCCTMS,並製備重複樣品進行表徵。然後,將四氫呋喃中之SnCl 2(75毫升,1 M,費希爾公司)滴加至溶液中。將反應器升溫至20℃並攪拌1小時。形成中間體產物LiSn(CCSiMe 3),亦縮寫為LiSn(CCTMS) 3,並製備重複樣品進行表徵。用冷卻器將溶液再次冷卻至0℃,然後滴加在THF(奧德裡奇公司)中之ZnBr 2(75毫升,1 M,奧德裡奇公司)。將反應攪拌10分鐘。形成中間體產物Li[Zn(Sn(CCTMS) 3) 3],並製備額外之樣品進行表徵。然後將82.5毫莫耳2-溴-2-甲基丙腈(IBN,在內部合成)加入反應中。反應器溫度保持在0℃並攪拌過夜。向燒瓶中加入100毫升無水戊烷,然後藉由二氧化矽(奧德裡奇公司)過濾。另用200毫升無水戊烷洗滌燒瓶,然後過濾。濃縮濾液以除去揮發性成分,以76%的產率生產黏性、灰白色、半固體形式的異丁腈錫三(三甲基矽烷基乙炔化物),即(NC(CH 3) 2C)Sn(CCSiMe 3) 3Trimethylsilyl acetylene (232.5 mmol, Oakwood Company) and anhydrous diethyl ether (116 ml, Aldrich Company) were mixed under flowing N 2 (g) in a 400 equipped with a vacuum bubble outlet. ml reactor. The reactor was cooled to 0 °C using a cooler, and 225 mmol n-butyllithium (Aldrich, 2.53 M in hexane) was added dropwise. After stirring for 30 minutes, the intermediate product LiSnCCSiMe 3 , also abbreviated as LiCCTMS, was formed, and repeated samples were prepared for characterization. Then, SnCl2 in tetrahydrofuran (75 mL, 1 M, Fisher Co.) was added dropwise to the solution. The reactor was heated to 20°C and stirred for 1 hour. The intermediate product LiSn(CCSiMe 3 ), also abbreviated as LiSn(CCTMS) 3 , was formed, and repeated samples were prepared for characterization. The solution was cooled again to 0°C using a cooler, and ZnBr 2 (75 mL, 1 M, Aldrich) in THF (Aldrich) was added dropwise. The reaction was stirred for 10 minutes. The intermediate product Li[Zn(Sn(CCTMS) 3 ) 3 ] was formed, and additional samples were prepared for characterization. 82.5 mmol of 2-bromo-2-methylpropionitrile (IBN, synthesized in-house) was then added to the reaction. The reactor temperature was maintained at 0°C and stirred overnight. 100 ml of anhydrous pentane was added to the flask and filtered through silica (Aldrich). Wash the flask with another 200 ml of anhydrous pentane and then filter. The filtrate was concentrated to remove volatile components, producing a viscous, off-white, semi-solid form of isobutyronitrile tin tris(trimethylsilyl acetylide), (NC(CH 3 ) 2 C)Sn, in 76% yield (CCSiMe 3 ) 3 .

第13圖示出在苯-d 6中之(NC(CH 3) 2C)Sn(CCSiMe 3) 3119Sn NMR圖譜。圖譜顯示以下化學位移: 119Sn NMR (149 MHz, 苯- d 6) δ -73.55, -151.23, -166.08, -175.87, -264.02, -274.33, -384.39。主單線態及次單線態分別為在-264.02及-166.08處,峰積分分別為0.75及0.17。 Figure 13 shows the 119 Sn NMR spectrum of (NC(CH 3 ) 2 C)Sn(CCSiMe 3 ) 3 in benzene-d 6 . The spectrum shows the following chemical shifts: 119 Sn NMR (149 MHz, Benzene- d 6 ) δ -73.55, -151.23, -166.08, -175.87, -264.02, -274.33, -384.39. The main singlet state and the secondary singlet state are at -264.02 and -166.08 respectively, and the peak integrals are 0.75 and 0.17 respectively.

以下總結涉及ZnBr 2及IBN的反應的熱行為。 MAT ( oC) ∆H r(千焦耳/莫耳) TC (%) 1. LiCCTMS + SnCl 2→ LiSn(CCTMS) 3 16 -97 97 2. LiSn(CCTMS) 3+ZnBr 2→Li[Zn(Sn(CCTMS) 3) 3] 8 -130 91 The following summarizes the thermal behavior of reactions involving ZnBr2 and IBN. MAT( o C) ΔH r (kilojoules/mol) TC (%) 1. LiCCTMS + SnCl 2 → LiSn(CCTMS) 3 16 -97 97 2. LiSn(CCTMS) 3 +ZnBr 2 →Li[Zn(Sn(CCTMS) 3 ) 3 ] 8 -130 91

上述實施例給出所合成的有機錫化合物之NMR圖譜,其沒有顯示任何與多烷基錫化合物相關的峰。The above examples show the NMR spectrum of the synthesized organotin compound, which does not show any peaks related to polyalkyltin compounds.

實施例Example 7. (CH 3) 2ICSn(N(CH 2CH 3) 2) 3 7. (CH 3 ) 2 ICSn(N(CH 2 CH 3 ) 2 ) 3 and (CH 3) 2ICSn(OC(CH 3) 3) 3 (CH 3 ) 2 ICSn(OC(CH 3 ) 3 ) 3 之製備Preparation

本實施例呈現藉由涉及SnCl 2、二乙胺、正丁基鋰、三級丁氧化鉀、及2,2-二碘丙烷的氧化錫化反應合成碘丙基錫三胺(CH 3) 2ICSn(N(CH 2CH 3) 2) 3,縮寫為2-碘-PrSn(NEt 2) 3,以及其隨後轉化成2-碘丙基錫三(三級丁氧化物),即(CH 3) 2ICSn(OC(CH 3) 3) 3,縮寫為碘PrSn(O-tBu) 3This example demonstrates the synthesis of iodopropyltintriamine (CH 3 ) 2 through a tin oxide reaction involving SnCl 2 , diethylamine, n-butyllithium, tertiary potassium butoxide, and 2,2-diiodopropane. ICSn(N(CH 2 CH 3 ) 2 ) 3 , abbreviated as 2-iodo-PrSn(NEt 2 ) 3 , and its subsequent conversion to 2-iodopropyltin tri(tertiary butoxide), i.e. (CH 3 ) 2 ICSn(OC(CH 3 ) 3 ) 3 , abbreviated as iodine PrSn(O-tBu) 3 .

將正丁基鋰(1.03毫升,2.53毫莫耳,2.45 M於己烷中)加入二乙胺(0.262克,2.53毫莫耳)於二乙醚(4毫升)中之冷溶液(-50℃)中。數分鐘後,加入氯化錫(II)(0.160克,0.845毫莫耳)及三級丁氧化鉀(0.095克,0.845毫莫耳)在THF(4毫升)中之漿液。將內容物加熱至0℃並攪拌2小時。將燒瓶重新冷卻至-50℃,加入2,2-二碘丙烷(0.25克,0.845毫莫耳)。使所得反應混合物在16小時內升溫至室溫,此時真空除去溶劑。將產物2-碘丙基錫三(二乙基胺)自戊烷中再結晶,並加入三級丁醇(3.1當量)。在60℃在動態真空下蒸餾三烷氧化物產物,並藉由分餾進一步純化。分離產物之 119Sn NMR圖譜及 1H NMR圖譜分別如第14圖及第15圖所示。 n-Butyllithium (1.03 mL, 2.53 mmol, 2.45 M in hexane) was added to a cold solution (-50°C) of diethylamine (0.262 g, 2.53 mmol) in diethyl ether (4 mL). middle. After a few minutes, a slurry of tin(II) chloride (0.160 g, 0.845 mmol) and potassium butoxide tertiary (0.095 g, 0.845 mmol) in THF (4 ml) was added. The contents were heated to 0°C and stirred for 2 hours. The flask was re-cooled to -50°C and 2,2-diiodopropane (0.25 g, 0.845 mmol) was added. The resulting reaction mixture was allowed to warm to room temperature over 16 hours, at which time the solvent was removed in vacuo. The product 2-iodopropyltin tris(diethylamine) was recrystallized from pentane and tertiary butanol (3.1 eq.) was added. The trialkoxide product was distilled under dynamic vacuum at 60°C and further purified by fractional distillation. The 119 Sn NMR spectrum and 1 H NMR spectrum of the isolated product are shown in Figure 14 and Figure 15 respectively.

實施例Example 8.8. (C 6H 4I)CH 2Sn(CCSi(CH 3) 3) 3 (C 6 H 4 I)CH 2 Sn(CCSi(CH 3 ) 3 ) 3 and (C 6H 4I)CH 2Sn(OC(CH 3) 3) 3 (C 6 H 4 I)CH 2 Sn(OC(CH 3 ) 3 ) 3 之製備Preparation

本實施例呈現藉由涉及三甲基矽烷基乙炔(TMSA)、SnCl 2、正丁基鋰、三級丁氧化鉀、碘苄基溴的氧化錫化反應合成碘苄基錫三乙炔化物(C 6H 4I)CH 2Sn(CCSi(CH 3) 3) 3,縮寫為3-碘苄基Sn(CCSiMe 3) 3,隨後轉化為3-碘苄基錫三(三級丁氧化物),即(C 6H 4I)CH 2Sn(OC(CH 3) 3) 3,縮寫為碘苄基Sn(O-tBu) 3This example demonstrates the synthesis of iodobenzyltin triacetylide (C 6 H 4 I)CH 2 Sn(CCSi(CH 3 ) 3 ) 3 , abbreviated as 3-iodobenzylSn(CCSiMe 3 ) 3 , subsequently converted to 3-iodobenzyltin tri(tertiary butoxide), That is (C 6 H 4 I)CH 2 Sn(OC(CH 3 ) 3 ) 3 , abbreviated as iodobenzyl Sn(O-tBu) 3 .

將正丁基鋰加入三甲基矽烷基乙炔(TMSA)在乙醚中之冷(-50℃)溶液中。數分鐘後,加入氯化錫(II)及三級丁氧化鉀在THF中之漿液。攪拌內容物,同時加熱至室溫保溫至少2小時。將新形成的假定中間體三(三甲基矽烷基乙炔化物)錫烷鉀緩慢加入至3-碘苄基溴在THF中之冷(-50℃)溶液中。攪拌過夜後,於真空除去溶劑,並用戊烷萃取產物。過濾除去鹽,並於真空除去戊烷,得到白色半固體。加入三乙胺(5.0當量)及三級丁醇(5.0當量),將溶液加熱至80℃保溫40小時。於真空除去過量的TEA/tBuOH,藉由蒸餾分離三烷氧化物產物。藉由分餾實現進一步純化。分離產物之 119Sn NMR圖譜及 1H NMR圖譜分別如第16圖及第17圖所示。 n-Butyllithium was added to a cold (-50°C) solution of trimethylsilylacetylene (TMSA) in diethyl ether. After a few minutes, a slurry of tin(II) chloride and tertiary potassium butoxide in THF was added. Stir contents while heating to room temperature for at least 2 hours. The newly formed putative intermediate potassium tris(trimethylsilyl acetylide)stannane was slowly added to a cold (-50°C) solution of 3-iodobenzyl bromide in THF. After stirring overnight, the solvent was removed in vacuo and the product was extracted with pentane. The salts were removed by filtration and the pentane was removed in vacuo to give a white semi-solid. Add triethylamine (5.0 equivalents) and tertiary butanol (5.0 equivalents), and heat the solution to 80°C for 40 hours. Excess TEA/tBuOH was removed in vacuo and the trialkoxide product was isolated by distillation. Further purification is achieved by fractional distillation. The 119 Sn NMR spectrum and 1 H NMR spectrum of the isolated product are shown in Figure 16 and Figure 17 respectively.

本申請案主張優先於2020年8月2提出申請的授予愛德森(Edson)等人且標題為「生產具有低濃度污染物的單烷基錫組合物的方法(Methods to Produce Monoalkyl Tin Compositions Wit Low Concentration of Contaminants)」的共同審查中之美國臨時專利申請案63/070,098及2021年5月21日提出申請的授予卡迪尼奧(Cardineau)等人且標題為「高EUV吸收有機錫圖案化組合物及塗層(High EUV Absorption Organotin Patterning Compositions and Coatings)」的共同審查中之美國臨時專利申請案63/191,646,該二個申請案皆以引用方式併入本文中。This application claims priority over the application filed on August 2, 2020 and entitled "Methods to Produce Monoalkyl Tin Compositions Wit" granted to Edson et al. The co-pending U.S. Provisional Patent Application 63/070,098 filed on May 21, 2021, entitled "High EUV Absorption Organotin Patterning" was granted to Cardineau et al. "High EUV Absorption Organotin Patterning Compositions and Coatings" is a co-pending U.S. Provisional Patent Application No. 63/191,646, both of which are incorporated herein by reference.

上述實施態樣旨在為說明性而不進行限制。其他實施例係落入申請專利範圍內。此外,儘管已經參考特定實施態樣闡述本發明,但熟習此項技術者將認識到,於不背離本發明之精神及範圍的情況下,可作出形式及細節上的改變。上述文獻以引用方式之任何併入皆受限制,使得不會併入與本文之明確揭露內容相反之任何標的。就特定結構、組成及/或製程於本文中被闡述為具有組件、元件、成分或其他分區而言,應理解,除非另外特別指出,否則本文之揭露內容涵蓋該等特定實施態樣;包含該等特定組件、元件、成分、其他分區、或其組合之實施態樣;以及基本上由此等特定組件、成分或其他分區、或其組合組成之實施態樣,該等實施例可包含不改變標的之基本性質的額外特徵,如於論述中所建議。本文使用術語「約」係指相關值之預期的不確定性,如此項技術中具有通常知識者在特定上下文中所理解。The above embodiments are intended to be illustrative and not limiting. Other embodiments are within the scope of the patent claims. Furthermore, although the invention has been described with reference to specific embodiments, those skilled in the art will recognize that changes may be made in form and detail without departing from the spirit and scope of the invention. Any incorporation by reference of the above documents is expressly limited such that no matter contrary to the express disclosures herein will be incorporated. To the extent that specific structures, compositions, and/or processes are described herein as having components, elements, components, or other subdivisions, it should be understood that, unless otherwise specifically stated, the disclosure herein encompasses such specific implementation aspects; including such Embodiments of specific components, components, components, other subdivisions, or combinations thereof; and implementations consisting essentially of such specific components, components, or other subdivisions, or combinations thereof, these embodiments may include unchanged Additional features of the underlying nature of the subject matter, as suggested in the discussion. The term "about" is used herein to refer to the expected uncertainty of the associated value, as understood in the particular context by one of ordinary skill in the art.

without

第1圖為在苯-d 6中之LiSn(NEt 2) 3119Sn NMR圖譜。 Figure 1 is the 119 Sn NMR spectrum of LiSn(NEt 2 ) 3 in benzene-d 6 .

第2圖為在苯-d 6中之n-PrSn(NEt 2) 3119Sn NMR圖譜。 Figure 2 shows the 119 Sn NMR spectrum of n-PrSn(NEt 2 ) 3 in benzene-d 6 .

第3圖為在苯-d 6中之n-PrSn(NEt 2) 31H NMR圖譜。 Figure 3 shows the 1 H NMR spectrum of n-PrSn(NEt 2 ) 3 in benzene-d 6 .

第4圖為在苯-d 6中之n-PrSn(O-tAm) 3119Sn NMR圖譜。 Figure 4 shows the 119 Sn NMR spectrum of n-PrSn(O-tAm) 3 in benzene-d 6 .

第5圖為在苯-d 6中之n-PrSn(O-tAm) 31H NMR圖譜。 Figure 5 shows the 1 H NMR spectrum of n-PrSn(O-tAm) 3 in benzene-d 6 .

第6圖為在苯-d 6中之EtSn(NEt 2) 3119Sn NMR圖譜。 Figure 6 is the 119 Sn NMR spectrum of EtSn(NEt 2 ) 3 in benzene-d 6 .

第7圖為在苯-d 6中之EtSn(O-tAm) 3119Sn NMR圖譜。 Figure 7 is the 119 Sn NMR spectrum of EtSn(O-tAm) 3 in benzene- d 6 .

第8為在苯-d 6中之t-BuSn(NEt 2) 3119Sn NMR圖譜。 No. 8 is the 119 Sn NMR spectrum of t-BuSn(NEt 2 ) 3 in benzene-d 6 .

第9圖為在苯-d 6中之t-BuSn(O-tAm) 3119Sn NMR圖譜。 Figure 9 shows the 119 Sn NMR spectrum of t-BuSn(O-tAm) 3 in benzene-d 6 .

第10圖為在苯-d 6中之(NC(CH 3) 2C)Sn(NEt 2) 3119Sn NMR圖譜。 Figure 10 is the 119 Sn NMR spectrum of (NC(CH 3 ) 2 C)Sn(NEt 2 ) 3 in benzene-d 6 .

第11圖為在苯-d 6中之LiSn(CCSiMe 3) 3119Sn NMR圖譜。 Figure 11 is the 119 Sn NMR spectrum of LiSn(CCSiMe 3 ) 3 in benzene-d 6 .

第12圖為在苯-d 6中之(CH 3OCH 2)Sn(CCSiMe 3) 3119Sn NMR圖譜。 Figure 12 is the 119 Sn NMR spectrum of (CH 3 OCH 2 )Sn(CCSiMe 3 ) 3 in benzene-d 6 .

第13圖為在苯-d 6中之(NC(CH 3) 2C)Sn(CCSiMe 3) 3119Sn NMR圖譜。 Figure 13 is the 119 Sn NMR spectrum of (NC(CH 3 ) 2 C)Sn(CCSiMe 3 ) 3 in benzene-d 6 .

第14圖為2-碘丙基錫三(三級丁氧化物)(2-iodopropyltin tris(tert-butoxide);2IP)的 119Sn NMR圖譜。 Figure 14 shows the 119 Sn NMR spectrum of 2-iodopropyltin tris(tert-butoxide); 2IP.

第15圖為2IP的 1H NMR圖譜。 Figure 15 shows the 1 H NMR spectrum of 2IP.

第16圖為3-碘苄基錫三(三級丁氧化物)(3-iodobenzyltin tris(tert-butoxide);IBT)的 119Sn NMR圖譜。 Figure 16 shows the 119 Sn NMR spectrum of 3-iodobenzyltin tris(tert-butoxide) (IBT).

第17圖為IBT的 1H NMR圖譜。 Figure 17 shows the 1 H NMR spectrum of IBT.

Claims (13)

一種摻合組合物,其包含由RSnL 3表示的第一化合物,其中R為具有1至31個碳原子的烴基,且L為可水解的配位基或O x(OH) 3-x,0<x<3;以及第二化合物, 其中該第二化合物包含: (1)由式R"Sn(C≡CSiR' 3) 3表示的化合物,其中R'為具有1至31個碳原子及視需要之不飽和碳-碳鍵、視需要之芳族基團、及視需要之雜原子的烴基,且R"為具有1至31個碳原子及經一或多個雜原子取代的烴基,其中L不為(C≡CSiR' 3), (2)由式R'R"ACSnZ 3表示的鹵化烴基錫化合物,其中A為鹵素原子(F、Cl、Br、或I)或具有至少一個鹵素取代基的芳環,其中R'及R''獨立為H、鹵素、或具有1至15個碳原子及視需要之不飽和碳-碳鍵、視需要之芳族基團、及視需要之雜原子的烴基,且Z為可水解的配位基或O x(OH) 3-x,0<x<3, (3)由式R'R"(R'"O)CSnZ 3表示的烴基錫化合物,其中R'、R''及R'"獨立為氫或具有1至15個碳原子及視需要之不飽和碳-碳鍵、視需要之芳族基團、及視需要之雜原子的烴基,且Z為可水解的配位基或O x(OH) 3-x,0<x<3, (4)由式R'R"(N≡C)CSnZ 3表示的烴基錫化合物,其中R'及R''獨立為具有1至15個碳原子及視需要之不飽和碳-碳鍵、視需要之芳族基團、及視需要之雜原子的烴基,且Z為可水解的配位基或O x(OH) 3-x,0<x<3, 或前述之組合。 A blend composition comprising a first compound represented by RSnL3 , wherein R is a hydrocarbyl group having 1 to 31 carbon atoms, and L is a hydrolyzable ligand or O & lt ; A hydrocarbyl group with an optional unsaturated carbon-carbon bond, an optional aromatic group, and an optional heteroatom, and R" is a hydrocarbon group having 1 to 31 carbon atoms and substituted with one or more heteroatoms, wherein L is not ( C≡CSiR'3 ), (2) A halogenated alkyltin compound represented by the formula R'R" ACSnZ3 , wherein A is a halogen atom (F, Cl, Br, or I) or has at least one halogen substitution An aromatic ring of a base, wherein R' and R'' are independently H, halogen, or having 1 to 15 carbon atoms and optionally unsaturated carbon-carbon bonds, optional aromatic groups, and optionally hetero A hydrocarbyl group of an atom, and Z is a hydrolyzable ligand or O x (OH) 3-x , 0<x<3, (3) Hydrocarbyl tin represented by the formula R'R"(R'"O)CSnZ 3 Compounds wherein R', R'' and R'" are independently hydrogen or have from 1 to 15 carbon atoms and optionally unsaturated carbon-carbon bonds, optionally aromatic groups, and optionally heteroatoms Hydrocarbyl, and Z is a hydrolyzable ligand or O x (OH) 3-x , 0<x<3, (4) An alkyltin compound represented by the formula R'R"(N≡C)CSnZ 3 , where R' and R'' are independently hydrocarbon groups having 1 to 15 carbon atoms and optionally unsaturated carbon-carbon bonds, optional aromatic groups, and optional heteroatoms, and Z is a hydrolyzable complex The base is O x (OH) 3-x , 0<x<3, or a combination of the above. 如請求項1所述之摻合組合物,其中該一或多個雜原子及/或該視需要之雜原子包含N、O、P、S、或鹵原子。The blending composition of claim 1, wherein the one or more heteroatoms and/or the optional heteroatoms include N, O, P, S, or halogen atoms. 如請求項1所述之摻合組合物,其中該一或多個雜原子及/或該視需要之雜原子包含I。The blending composition of claim 1, wherein the one or more heteroatoms and/or the optional heteroatoms include I. 如請求項1所述之摻合組合物,其中該鹵素原子或該至少一個鹵素取代基包含F。The blending composition of claim 1, wherein the halogen atom or the at least one halogen substituent includes F. 如請求項1所述之摻合組合物,其中R包含支鏈烷基、芳基、烯基、或環狀基團。The blending composition of claim 1, wherein R contains branched alkyl, aryl, alkenyl, or cyclic groups. 如請求項1所述之摻合組合物,其中R包含經鹵化基團取代的烴基,其中R與R'R"AC、R'R"(R'"O)C、及R'R"(N≡C)C不同。The blending composition of claim 1, wherein R includes a hydrocarbon group substituted by a halogenated group, wherein R and R'R"AC, R'R"(R'"O)C, and R'R"( N≡C)C is different. 如請求項1所述之摻合組合物,其中該第二化合物的烴基包含完全碘化的芳基。The blending composition of claim 1, wherein the hydrocarbon group of the second compound includes a fully iodinated aryl group. 如請求項1所述之摻合組合物,其中該第二化合物的烴基包含醚基、腈基、或鹵化基團、或其組合。The blending composition of claim 1, wherein the hydrocarbon group of the second compound includes an ether group, a nitrile group, a halogenated group, or a combination thereof. 如請求項1所述之摻合組合物,其中該第一化合物及該第二化合物形成輻射敏感的Sn-C鍵。The blending composition of claim 1, wherein the first compound and the second compound form a radiation-sensitive Sn-C bond. 如請求項1所述之摻合組合物,其中L包含烷氧化物配位基、乙炔化物配位基、胺配位基、或二烷基胺配位基。The blending composition of claim 1, wherein L includes an alkoxide ligand, an acetylide ligand, an amine ligand, or a dialkylamine ligand. 一種包含非質子有機溶劑及如請求項1至10中任一項所述之摻合組合物的溶液,該溶液具有0.0001 M至1 M的錫濃度。A solution comprising an aprotic organic solvent and the blending composition of any one of claims 1 to 10, the solution having a tin concentration of 0.0001 M to 1 M. 一種經塗覆的基板,其包含: 具有一表面的基板,且該基板之至少一部分上具有一有機金屬材料,其中該有機金屬材料包含如請求項1至10中任一項所述之摻合組合物。 A coated substrate comprising: A substrate has a surface, and at least a part of the substrate has an organic metal material, wherein the organic metal material includes the blending composition according to any one of claims 1 to 10. 如請求項12所述之經塗覆的基板,其中該有機金屬材料形成平均厚度為約1奈米至50奈米的塗層。The coated substrate of claim 12, wherein the organic metal material forms a coating with an average thickness of about 1 nanometer to 50 nanometers.
TW112117452A 2020-08-25 2021-08-25 Blended composition and uses thereof TW202334173A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063070098P 2020-08-25 2020-08-25
US63/070,098 2020-08-25
US202163191646P 2021-05-21 2021-05-21
US63/191,646 2021-05-21

Publications (1)

Publication Number Publication Date
TW202334173A true TW202334173A (en) 2023-09-01

Family

ID=80353992

Family Applications (2)

Application Number Title Priority Date Filing Date
TW112117452A TW202334173A (en) 2020-08-25 2021-08-25 Blended composition and uses thereof
TW110131409A TWI803949B (en) 2020-08-25 2021-08-25 Methods to produce organotin compositions with convenient ligand providing reactants

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW110131409A TWI803949B (en) 2020-08-25 2021-08-25 Methods to produce organotin compositions with convenient ligand providing reactants

Country Status (9)

Country Link
US (1) US20220064192A1 (en)
EP (1) EP4204426A1 (en)
JP (1) JP2023539735A (en)
KR (1) KR20230053617A (en)
CN (1) CN115996934A (en)
CA (1) CA3190105A1 (en)
IL (1) IL300780A (en)
TW (2) TW202334173A (en)
WO (1) WO2022046736A1 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102634520B1 (en) * 2017-11-20 2024-02-06 인프리아 코포레이션 Organotin clusters, solutions of organotin clusters, and applications to high-resolution patterning.
KR20230131941A (en) * 2021-01-28 2023-09-14 엔테그리스, 아이엔씨. Method for producing organotin compounds
US20230374338A1 (en) * 2022-05-18 2023-11-23 Inpria Corporation Radiation sensitive organotin compositions having oxygen heteroatoms in hydrocarbyl ligand
CN116410222B (en) * 2023-06-09 2023-08-08 研峰科技(北京)有限公司 Synthesis method of tert-butyl tri (dimethylamino) stannane

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP4089482A1 (en) * 2015-10-13 2022-11-16 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
CA2975104A1 (en) * 2017-08-02 2019-02-02 Seastar Chemicals Inc. Organometallic compounds and methods for the deposition of high purity tin oxide
TWI817463B (en) * 2020-07-03 2023-10-01 美商恩特葛瑞斯股份有限公司 Process for preparing organotin compounds

Also Published As

Publication number Publication date
US20220064192A1 (en) 2022-03-03
TW202208388A (en) 2022-03-01
EP4204426A1 (en) 2023-07-05
IL300780A (en) 2023-04-01
JP2023539735A (en) 2023-09-19
TWI803949B (en) 2023-06-01
KR20230053617A (en) 2023-04-21
WO2022046736A1 (en) 2022-03-03
CA3190105A1 (en) 2022-03-03
CN115996934A (en) 2023-04-21

Similar Documents

Publication Publication Date Title
TWI803949B (en) Methods to produce organotin compositions with convenient ligand providing reactants
TWI744252B (en) Organotin oxide hydroxide patterning compositions, precursors, and patterning
TW201718606A (en) Organic metal precursor compound for atomic layer deposition, film deposited with the same and manufacturing method of the film
TW202400616A (en) Process for preparing organotin compounds
TW202304941A (en) Process for preparing organotin compounds
Präsang et al. Silyl anions
TW202300498A (en) Deuterated organotin compounds and application thereof, and methods for synthesizing deuterated organotin compositions and synthesizing deuterated monoorganotin triamide compounds
CN117957235A (en) Synthesis of fluoroalkyl tin precursors
US7674926B1 (en) Dopant group-substituted semiconductor precursor compounds, compositions containing the same, and methods of making such compounds and compositions
US8624049B2 (en) Dopant group-substituted semiconductor precursor compounds, compositions containing the same, and methods of making such compounds and compositions
TW202311547A (en) Method and precursors for producing oxostannate rich films
US20220397826A1 (en) Organotin patterning materials with ligands having silicon/germanium; precursor compositions; and synthesis methods
US20240059717A1 (en) High purity tin compounds containing unsaturated substituent and method for preparation thereof
TW202413383A (en) High purity tin compounds containing unsaturated substituent and method for preparation thereof
US20230374338A1 (en) Radiation sensitive organotin compositions having oxygen heteroatoms in hydrocarbyl ligand
JP7126389B2 (en) Method for producing tertiary alkylsilane and method for producing tertiary alkylalkoxysilane
CN117980822A (en) Amination/tin (II) alkoxide precursors for EUV-patternable films