TW202331845A - Improving metal contacts to group iv semiconductors by inserting interfacial atomic monolayers - Google Patents

Improving metal contacts to group iv semiconductors by inserting interfacial atomic monolayers Download PDF

Info

Publication number
TW202331845A
TW202331845A TW112112987A TW112112987A TW202331845A TW 202331845 A TW202331845 A TW 202331845A TW 112112987 A TW112112987 A TW 112112987A TW 112112987 A TW112112987 A TW 112112987A TW 202331845 A TW202331845 A TW 202331845A
Authority
TW
Taiwan
Prior art keywords
group
metal
semiconductor
atoms
monolayer
Prior art date
Application number
TW112112987A
Other languages
Chinese (zh)
Inventor
Wa 哈洛生
保羅A 克林夫頓
安瑞絲 喬貝
R史塔克 吉英斯
Original Assignee
美商艾肯科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商艾肯科技股份有限公司 filed Critical 美商艾肯科技股份有限公司
Priority to TW112112987A priority Critical patent/TW202331845A/en
Publication of TW202331845A publication Critical patent/TW202331845A/en

Links

Images

Abstract

Techniques for reducing the specific contact resistance of metal – semiconductor (group IV) junctions by interposing a monolayer of group V or group III atoms at the interface between the metal and the semiconductor, or interposing a bi-layer made of one monolayer of each, or interposing multiple such bi-layers. The resulting low specific resistance metal – group IV semiconductor junctions find application as a low resistance electrode in semiconductor devices including electronic devices (e.g., transistors, diodes, etc.) and optoelectronic devices (e.g., lasers, solar cells, photodetectors, etc.) and/or as a metal source and/or drain region (or a portion thereof) in a field effect transistor (FET). The monolayers of group III and group V atoms are predominantly ordered layers of atoms formed on the surface of the group IV semiconductor and chemically bonded to the surface atoms of the group IV semiconductor.

Description

藉由插入介面原子單層來改善對第IV族半導體的金屬接觸Improved metal contacts to group IV semiconductors by intercalating interfacial atomic monolayers

本發明有關於藉由在金屬與半導體之間的界面處插入第V族或第III族原子單層,或插入由一第V族原子單層與一第III族原子單層所製成的雙層,或插入多個此種雙層而降低金屬–半導體(第IV族)接面之比接觸電阻的技術。The present invention relates to a monolayer of Group V or Group III atoms, or a double layer made of a Group V atom monolayer and a Group III atom monolayer, at the interface between a metal and a semiconductor. layer, or techniques for lowering the specific contact resistance of metal-semiconductor (Group IV) junctions by inserting multiple such bilayers.

當電晶體的尺寸縮減至奈米級尺寸,例如成為超薄主體(UTB)絕緣層上覆矽(SOI)場效電晶體(FET)、鰭式場效電晶體(FinFET)及奈米線場效電晶體(nanowire FET)的形式,但與電晶體源極和汲極卻帶來不受歡迎的電阻而對這些元件及使用這些電晶體所製成之積體電路產品的效能造成越來越重的負擔。此外,當電晶體源極區和汲極區的尺寸縮小至低於約10奈米(nm)時,理論上預期且實驗上亦證明會降低摻雜劑活化作用(dopant activation)。摻雜劑活化作用意味著藉由刻意在宿主半導體(semiconductor host)中引入雜質物種以貢獻出所欲的自由載子(電子或電洞)。此種奈米級摻雜劑活化作用降低進一步造成在奈米級金屬接觸的摻雜源/汲極(S/D)區處及在奈米級摻雜區的主體部分內產生不受歡迎的高電阻。若半導體中的有效摻雜降低,金屬接觸對半導體的電阻則會增加,此電阻增加主要是由於在金屬-半導體接觸處出現蕭特基能障(Schottky barrier)所致。When the size of the transistor is reduced to nanometer size, such as ultra-thin body (UTB) silicon-on-insulator (SOI) field effect transistor (FET), fin field effect transistor (FinFET) and nanowire field effect Transistor (nanowire FET) form, but with the source and drain of transistors bring unwelcome resistance and the performance of these components and integrated circuit products made using these transistors is increasingly serious burden. In addition, when the dimensions of transistor source and drain regions are reduced below about 10 nanometers (nm), it is theoretically expected and experimentally proven to reduce dopant activation. Dopant activation means donating desired free carriers (electrons or holes) by intentionally introducing impurity species into the semiconductor host. This reduction in nanoscale dopant activation further results in undesired high resistance. If the effective doping in the semiconductor is reduced, the resistance of the metal contact to the semiconductor will increase. This resistance increase is mainly due to the Schottky barrier at the metal-semiconductor contact.

眾人皆知在靠近金屬-半導體界面的半導體淺區域中進行高濃度摻雜,可藉由減小蕭特基能障的寬度而降低金屬-半導體接觸的電阻。儘管從電性反應的觀點(例如,由電流-電壓測量)來看是能障寬度減小,但蕭特基高度似乎是降低了。J. M. Shannon在1976年的《固態電子學(Solid-State Electronics)》期刊第19期537~543頁發表標題為「利用高摻雜表面層控制蕭特基能障高度(Control of Schottky barrier height using highly doped surface layers)」的早期文獻中描述可利用表面摻雜來達到此「有效的能障高度」降低。亦知可利用所謂使金屬矽化物產生摻雜劑偏析現象(dopant segregation)而將高濃度的摻雜原子引入靠近金屬接觸的半導體淺區域中。A. Kikuchi與S. Sugaki在1982年5月的《應用物理(J. Appl. Phys)》期刊第53期第5卷中報告在PtSi形成期間,所佈植的磷原子會累積在靠近PtSi-Si界面處並降低n型矽的蕭特基能障測量高度。蕭特基二極體的測量(有效)能障高度下降是由於累積在矽中的磷原子導致該能障更為陡峭所造成。也就是Shannon在1976年所描述的效應造成該種結果。It is well known that high doping in the shallow region of the semiconductor near the metal-semiconductor interface reduces the resistance of the metal-semiconductor contact by reducing the width of the Schottky barrier. While the barrier width is reduced from an electrical response point of view (eg, from current-voltage measurements), the Schottky height appears to be reduced. J. M. Shannon published the title "Control of Schottky barrier height using highly doped surface layer (Control of Schottky barrier height using highly Doped surface layers) were described in the early literature that surface doping can be used to achieve this "effective energy barrier height" reduction. It is also known that a so-called dopant segregation of metal silicides can be used to introduce high concentrations of dopant atoms into shallow regions of the semiconductor near metal contacts. A. Kikuchi and S. Sugaki reported in J. Appl. Phys, Issue 53, Volume 5, May 1982 that during the formation of PtSi, the implanted phosphorus atoms accumulate near the PtSi- Si interface and reduce the Schottky barrier measurement height of n-type silicon. The decrease in the measured (effective) barrier height of the Schottky diode is due to the steeper barrier caused by the accumulation of phosphorus atoms in the silicon. It is the effect described by Shannon in 1976 that produces this result.

過去數個十年來,矽微電子工業仰賴使靠近金屬-矽接觸的矽中具有高摻雜濃度以作為使電晶體源極和汲極獲得可接受之低接觸電阻的手段。接觸金屬多半是金屬矽化物,且近來多為矽化鎳或矽化鎳鉑。在未來,當電晶體尺寸持續縮減且該接觸電阻變成在源極與汲極間之總電阻中占較大部分(從而成為重要的效能限制因子時),可預期到此減小接觸電阻的方法將不敷使用。於2011年公開的最新國際半導體技術藍圖(ITRS)報告指出,預期在2014年,電晶體閘極長度規格會達到18奈米,且規定比接觸電阻(specific contact resistance)不超過1.0x10 -8歐姆.平方公分(Ohm.cm 2),但尚未有已知的解決方案能解決塊體MOS電晶體(bulk MOS transistor)中的接觸電阻問題。日益顯示必需降低在金屬-半導體接觸處的蕭特基能障,以使接觸電阻降低至可接受的程度,以MOS電晶體的摻雜源/汲極接觸為例,需使接觸電阻遠低於1.0x10 -8歐姆.平方公分。能夠降低蕭特基能障且從而降低與摻雜半導體區域接觸之電阻的技術亦可應用在所謂的「金屬源/汲極電晶體」上,金屬源/汲極電晶體不具有經摻雜的源極和汲極,而是利用金屬與電晶體通道之間直接接觸(電晶體通道是含有自由載子的區域,能藉由閘極上的電壓來調控自由載子並在源極與汲極之間傳遞電流)。 For the past several decades, the silicon microelectronics industry has relied on high dopant concentrations in the silicon near metal-silicon contacts as a means of achieving acceptably low contact resistance for transistor sources and drains. The contact metal is mostly metal silicide, and more recently nickel silicide or nickel platinum silicide. This method of reducing contact resistance is anticipated in the future when transistor dimensions continue to shrink and this contact resistance becomes a larger portion of the total resistance between source and drain (and thus becomes a significant performance limiting factor). Will not be enough. According to the latest International Technology Roadmap for Semiconductors (ITRS) report released in 2011, it is expected that in 2014, the gate length of transistors will reach 18 nanometers, and the specified contact resistance (specific contact resistance) will not exceed 1.0x10 -8 ohms .square centimeter (Ohm.cm 2 ), but there is no known solution to solve the problem of contact resistance in bulk MOS transistors. It is increasingly shown that it is necessary to reduce the Schottky energy barrier at the metal-semiconductor contact in order to reduce the contact resistance to an acceptable level. Taking the doped source/drain contacts of MOS transistors as an example, the contact resistance needs to be much lower than 1.0x10 -8 ohms. cm2. The technique of reducing the Schottky energy barrier and thus the resistance of contact with doped semiconductor regions can also be applied to so-called "metal source/drain transistors", which do not have a doped source and drain, but use the direct contact between the metal and the transistor channel (the transistor channel is a region containing free carriers, which can be controlled by the voltage on the gate and between the source and drain passing current between them).

在1991至1992年間所公開的文獻中報導出Baroni、Resta、Baldereschi及其他學者對理論預測做出的實驗證明,實驗證明由兩個不同元素所形成的雙內層(double intralayer)可建立界面偶極,不僅能夠修改異質接面能帶的不連續性(heterojunction band discontinuities),還能在同質接面中產生能帶不連續性。McKinley等人在1991年《真空科技(J. Vac. Sci. Technol)》期刊五月/六月號第A9(3)期且標題為「藉由超薄Ga-As偶極層控制Ge同質接面能帶偏移(Control of Ge homojunction band offsets via ultrathin Ga-As dipole layers)」的文章中以及在1992年《應用表面科學》期刊56~58期第762~765頁且標題為「藉由超薄Ga-As偶極層控制Ge同質接面能帶偏移(Control of Ge homojunction band offsets via ultrathin Ga-As dipole layers)」的文章中率先報導可在{111}-晶向的Ge同質接面處獲得035~0.45電子伏特(eV)。In the literature published between 1991 and 1992, it was reported that Baroni, Resta, Baldereschi and other scholars made experimental confirmation of theoretical predictions. Experiments proved that a double intralayer formed by two different elements can establish an interface couple pole, which can not only modify the discontinuities of the heterojunction band discontinuities, but also generate band discontinuities in the homojunction. McKinley et al., J. Vac. Sci. Technol, May/June, No. A9(3), 1991, entitled "Controlling Ge Homojunction by Ultrathin Ga-As Dipole Layers". In the article "Control of Ge homojunction band offsets via ultrathin Ga-As dipole layers)" and in the 1992 "Applied Surface Science" journal 56-58, pages 762-765 and titled "By ultrathin Ga-As dipole layers)" Thin Ga-As dipole layers control Ge homojunction band offsets (Control of Ge homojunction band offsets via ultrathin Ga-As dipole layers)" first reported that Ge homojunctions in {111}-crystal orientation At 035 ~ 0.45 electron volts (eV).

於室溫下在p-型Ge(111)基板上進行砷、鎵和鍺沉積。利用原位內核軌域X射線光致發光法( in situcore level x-ray photoluminescence)測量價電能帶偏移。藉由鍺(Ge)的3d內核軌域分裂成兩部分可證實所沉積的Ge區域(覆蓋層)對於Ge基板具有電價能帶偏移;其中一部份是由Ge基板所造成,另一部分則是由Ge覆蓋層所造成。可利用「鎵(Ga)優先」或「砷(As)優先」的生長順序引入Ga-As偶極內層(Ga-As dipole intralayers)而在Ge同質接面中獲得正電價能帶偏移或負電價能帶偏移。在較低能量(即,較受束縛)時,發現能帶偏移為0.35~0.45eV,且Ge價電能帶邊緣在該接面的砷(As)側上。可根據W. A. Harrison等人在1978年《物理評論》期刊(Phys. Rev. B 18, 4402, 1978)標題為「極性異質接合界面(Polar Heterojunction Interfaces)」之文章中所述的哈里森「理論鍊金術」模型來解釋偶極內層。因此,使用內層(intralayer)來控制能帶的不連續性可應用在同質接面上,以擴大其能帶偏移工程的潛在領域而超越半導體異質接面。 Arsenic, gallium and germanium depositions were performed on p-type Ge(111) substrates at room temperature. The valence energy band shift was measured by in situ core level x-ray photoluminescence. The 3d core orbital domain of germanium (Ge) is split into two parts to confirm that the deposited Ge region (capping layer) has a valence energy band shift with respect to the Ge substrate; one part is caused by the Ge substrate and the other part is is caused by the Ge capping layer. Ga-As dipole inner layers (Ga-As dipole intralayers) can be introduced by using the growth order of "gallium (Ga) priority" or "arsenic (As) priority" to obtain positive valence energy band shift or Negative electricity prices can shift the band. At lower energies (ie, more confined), the band shift was found to be 0.35-0.45 eV, with the Ge valence band edge on the arsenic (As) side of the junction. According to Harrison's "theoretical chain" described in the article titled "Polar Heterojunction Interfaces (Polar Heterojunction Interfaces)" by WA Harrison et al. Alchemy" model to explain the dipole inner layer. Therefore, the use of intralayers to control band discontinuities can be applied to homojunctions to expand the potential field of band shift engineering beyond semiconductor heterojunctions.

在1992年,繼McKinley等人的報告之後,Marsi等人在1992年2月15日的《應用物理(J. Appl. Phys )》期刊第71期第4卷中發表標題為「同質接面能帶排列的顯微操作(Microscopic manipulation of homojunction band lineups)」之文章、1992年2月15日的《真空科技(J. Vac. Sci. Technol.)》期刊七月/八月號第A10(4)期中發表標題為「利用偶極內層:鎵內的鋁-砷層誘發同質接面能帶不連續性(Homojunction band discontinuities induced by dipolar intralayers: Al-As in Ge)」之文章以及1992年8月15日的《應用物理》期刊第72期第4卷中發表標題為「人造同質接面能帶不連續性的局部性質(Local nature of artificial homojunction band discontinuities)」之文章。在該第一篇文章中,Marsi等人報告當在界面處插入原子級厚度的III-V族雙內層時,在Si-Si及Ge-Ge同質接面處可產生價電-能帶不連續性。同樣利用原位內核軌域X射線光致發光法測量價電能帶不連續性。在鍺(Ge)樣本中,藉由鍺(Ge)的3d內核軌域分裂成兩部分可證實所沉積的Ge區域(覆蓋層)對於Ge基板具有電價能帶偏移;且藉由矽(Si)的2p內核軌域的分裂可證實所沉積的Si區域對於Si基板具有電價能帶偏移。所觀察到不連續性的程度介在0.4至0.5eV的範圍間(例如Si-P-Ga-Si的不連續性為0.5eV,及Si-P-Al-Si的不連續性為0.4eV),並且儘管大多數的理論預期偶極效應會造成較大的價電能帶不連續性,但此結果在定性方面上符合理論預測值。若先沉積陰離子,位於第IV族同質接面處的III-V族內層會系統性地誘發人造價電能帶不連續性。亦有報導指出,以在Si-Si同質接面使用鋁-磷(Al-P)或鎵-磷(Ga-P)內層為例,正如預期般,使界面沉積順序顛倒會導致價電能帶不連續性逆轉。In 1992, following the report by McKinley et al., Marsi et al. published the title "Homogeneous Junction Energy Microscopic manipulation of homojunction band lineups", J. Vac. Sci. Technol., J. Vac. Sci. Technol., July/August, 15 February 1992, issue A10(4 ) published an article titled "Homojunction band discontinuities induced by dipolar intralayers: Al-As in Ge using dipolar inner layers: Al-As in Ga" and published in August 1992 An article titled "Local nature of artificial homojunction band discontinuities (Local nature of artificial homojunction band discontinuities)" was published in the "Applied Physics" Journal Issue 72 Volume 4 on March 15. In this first paper, Marsi et al. report that valence-band gaps can be created at Si-Si and Ge-Ge homojunctions when an atomically thick III-V double inner layer is inserted at the interface. continuity. The valence energy band discontinuity was also measured by the in situ core orbital X-ray photoluminescence method. In germanium (Ge) samples, it can be confirmed that the deposited Ge region (cover layer) has an electric valence band shift with respect to the Ge substrate by splitting the 3d core orbital domain of germanium (Ge) into two parts; and by silicon (Si The splitting of the 2p core orbitals of ) can confirm that the deposited Si region has a valence band shift with respect to the Si substrate. The magnitude of the observed discontinuities ranges from 0.4 to 0.5 eV (eg 0.5 eV for Si-P-Ga-Si discontinuities and 0.4 eV for Si-P-Al-Si discontinuities), And although most theories expect dipole effects to cause large valence energy band discontinuities, this result is qualitatively in line with theoretical predictions. If the anions are deposited first, the III-V inner layer located at the IV homojunction systematically induces an artificial valence band discontinuity. It has also been reported that using an aluminum-phosphorus (Al-P) or gallium-phosphorus (Ga-P) inner layer at the Si-Si homojunction as an example, as expected, reversing the deposition order at the interface results in a valence energy band Discontinuity reversed.

在第二篇文章中,同樣使用X射線光致發光法,並顯示在兩個{111}-晶向的鍺區域之間使用鋁-砷(Al-As)作為「偶極內層」能誘發類似的能帶偏移效應。特別是,採用「陰離子優先」的Ge(基板)-As-Al-Ge(覆蓋層)順序會得到0.4eV的偏移,此結果與McLinley所報導的「陰離子優先」As-Ga順序的結果一致,且相較於基板部分而言,該覆蓋層部分展現較低的鍵結能。第三篇文章研究III-V族雙層(內層)的多重堆疊。針對單個雙層、堆疊的兩個雙層以及堆疊的三個雙層所測得的價電能帶偏移值維持相等,為0.5eV。在2(Ga-P)及2(P-Ga)上進行的實驗與在2(Al-P)及2(P-Al)上進行的實驗完全一致;從單個雙層改成兩個雙層或甚至改成三個雙層都未觀察到價電能帶偏移值實質提高。因此結論是,在界面堆疊多個III-V族雙層不會提高單一個雙層的作用,這與依據多個連續偶極所作的基本預期相反。In the second paper, X-ray photoluminescence was also used and it was shown that using aluminum-arsenic (Al-As) as a "dipolar inner layer" between two {111}-oriented germanium regions induces Similar band-shifting effects. In particular, an "anion-preferred" sequence of Ge (substrate)-As-Al-Ge (capping layer) yields a shift of 0.4eV, which is consistent with the results reported by McLinley for the "anion-preferred" As-Ga sequence , and the cover layer portion exhibits lower bonding energy compared to the substrate portion. The third article studies the multiple stacking of III-V bilayers (inner layers). The measured valence band offset values for a single bilayer, stacked two bilayers, and stacked three bilayers remained equal at 0.5 eV. The experiments performed on 2(Ga-P) and 2(P-Ga) are exactly the same as those performed on 2(Al-P) and 2(P-Al); changed from a single bilayer to two bilayers Or even changing to three double layers did not observe a substantial increase in the value of the valence energy band offset. It is therefore concluded that stacking multiple III-V bilayers at the interface does not enhance the effect of a single bilayer, contrary to the basic expectations based on multiple consecutive dipoles.

Grupp與Connelly兩人在美國專利第7,084,423、7,176,483、7,462,860和7,884,003號及在審查中的美國專利申請案第2011/0169124號中描述一種金屬-半導體接觸,該等金屬-半導體接觸在金屬與第IV族半導體的界面處具有一界面層,藉以達到降低在該接觸處的蕭特基能障,且從而降低該接觸的比電阻(specific resistivity)。該界面層的可行實施例或實施方案中可包括單層(monolayer)的砷或氮。Grupp and Connelly in U.S. Patent Nos. 7,084,423; 7,176,483; There is an interfacial layer at the interface of the group semiconductor, so as to reduce the Schottky energy barrier at the contact and thereby reduce the specific resistivity of the contact. Possible embodiments or embodiments of the interfacial layer may include a monolayer of arsenic or nitrogen.

本發明的顯著特徵是在單個秩序排列(例如,磊晶定向)的界面單層中組織刻意引入的第V族或第III族原子(或第II族或第VI族原子)。此外,本發明提供一種製程和結構,在該製程與結構中可藉由沉積且無需利用矽化反應(silicidation)而形成金屬接觸,其特徵在於允許使用更廣範圍的金屬來形成金屬-半導體接觸,尤其是在特殊應用上具有比金屬矽化物更加優良性質的金屬,例如具有更高的導電性、透光性或鐵磁性的金屬。當此等元件的尺寸縮小而具有20奈米或更小的臨界尺寸(例如,源極的寬度和高度)時,期望金屬源/汲極場效電晶體中具有最大限度的金屬導電性。例如所謂自旋電子學(spintronics)應用領域中的自旋效應電晶體的元件就需要從半導體到鐵磁金屬(例如釓)的有效自旋注入作用。具有鐵磁金屬源極和汲極與第IV族半導體通道的自旋-金屬-氧化物-半導體場效電晶體(spin-MOSFET)就是自旋效應電晶體的一個範例。在發光顯示器中,經常期望使金屬接觸能讓發射光良好地穿透(高透明度)且同時對於主動材料(active material)可形成低電阻接觸。反之,在光電元件(例如,半導體雷射或半導體模組)中,則可能希望金屬接觸電阻是不透明的,而使因光吸收作用所造成的損失降至最低。金屬矽化物具有些許透明度這個不希望具有的特性,造成光能量可能進入位在光電元件之光場中的矽化物區域,繼而使得光線在矽化物中被吸收。A notable feature of the present invention is the organization of deliberately introduced Group V or Group III atoms (or Group II or Group VI atoms) in a single ordered (eg, epitaxially oriented) interfacial monolayer. Furthermore, the present invention provides a process and structure in which metal contacts can be formed by deposition without using silicidation, which is characterized by allowing the use of a wider range of metals to form metal-semiconductor contacts, Especially metals with better properties than metal silicides for special applications, such as metals with higher conductivity, light transmission or ferromagnetism. Maximum metal conductivity in metal source/drain FETs is desired as the dimensions of these devices scale down to have critical dimensions (eg, source width and height) of 20 nm or less. Components such as spin-effect transistors in the field of so-called spintronics applications require efficient spin injection from semiconductors into ferromagnetic metals such as gadolinium. A spin-metal-oxide-semiconductor field-effect transistor (spin-MOSFET) with a ferromagnetic metal source and drain and a Group IV semiconductor channel is an example of a spin-effect transistor. In emissive displays it is often desirable to have metal contacts that allow good penetration of emitted light (high transparency) and at the same time can form low resistance contacts to active materials. Conversely, in optoelectronic components (eg, semiconductor lasers or semiconductor modules), it may be desirable for the metal contact resistors to be opaque so as to minimize losses due to light absorption. The undesired property of metal silicides to be somewhat transparent makes it possible for light energy to enter regions of the silicide located in the optical field of the optoelectronic element, causing light to be absorbed in the silicide.

本發明無需對位在金屬接觸附近的半導體進行摻雜,但本發明也可能與半導體摻雜步驟一同實施。本發明亦無需金屬矽化步驟。根據本發明實施例所構築的元件在半導體與金屬接觸之間的界面處含有至少一個秩序排列的第V族元素單層及/或一秩序排列的第III族元素單層。在形成至少一個秩序排列的界面原子單層之後沉積該金屬。The invention does not require doping of the semiconductor in the vicinity of the metal contacts, but it is also possible to implement the invention together with a semiconductor doping step. The present invention also does not require a metal silicidation step. Devices constructed according to embodiments of the present invention contain at least one ordered monolayer of Group V elements and/or an ordered monolayer of Group III elements at the interface between the semiconductor and metal contacts. The metal is deposited after forming at least one ordered monolayer of interfacial atoms.

本發明實施例提供在第IV族半導體與金屬之間設置有一或多個單層的電接觸,該半導體的特徵在於其結晶晶格結構及由一或多種第V族材料原子所形成之單原子層或由一或多種第III族材料原子所形成之單原子層所構成的單層,每個單原子層彼此磊晶對齊且磊晶對齊該半導體晶格;以及本發明實施例提供形成此種電接觸的方法。Embodiments of the present invention provide an electrical contact between a Group IV semiconductor characterized by its crystalline lattice structure and single atoms formed by one or more atoms of a Group V material with one or more monolayers disposed between the metal and the metal. layer or a monolayer of monoatomic layers formed from one or more Group III material atoms, each monoatomic layer being epitaxially aligned with each other and of the semiconductor lattice; and embodiments of the present invention provide for forming such method of electrical contact.

本發明之進一步實施例提供一種包含金屬和第IV族半導體的電接觸,並且在該金屬與該半導體之間的界面處藉由第V族原子單層及選用性的第III族原子單層隔開該金屬與該半導體。該金屬可用與該第III族金屬原子單層相同的金屬元素原子所製成,或是該金屬可用與該第III族金屬原子單層不同的金屬元素原子所製成。在某些實例中,該第III族原子可為下述任意一者或多者:鋁、鎵、銦或硼,或鋁、鎵、硼及/或銦的混合物。該第IV族半導體可為:鍺、矽、鍺與矽之合金、鍺與錫之合金、含碳之矽及/或鍺的合金或化合物。該第V族原子包括下述任意一者或多者:氮、磷、砷及銻。在某些實例中,一第III族原子單層將緊鄰該第IV族半導體的表面。在其他實例中,第V族原子單層將緊鄰該第IV族半導體的表面。第IV族半導體的該表面可為{111}-晶向表面或{100}-晶向表面。A further embodiment of the invention provides an electrical contact comprising a metal and a Group IV semiconductor separated at the interface between the metal and the semiconductor by a monolayer of Group V atoms and optionally a monolayer of Group III atoms. Separate the metal and the semiconductor. The metal may be made of the same metal element atoms as the Group III metal atom monolayer, or the metal may be made of a metal element atom different from the Group III metal atom monolayer. In some examples, the group III atom can be any one or more of aluminum, gallium, indium, or boron, or a mixture of aluminum, gallium, boron, and/or indium. The group IV semiconductor can be: germanium, silicon, alloys of germanium and silicon, alloys of germanium and tin, silicon containing carbon and/or alloys or compounds of germanium. The group V atoms include any one or more of the following: nitrogen, phosphorus, arsenic and antimony. In some instances, a monolayer of Group III atoms will be proximate to the surface of the Group IV semiconductor. In other examples, a monolayer of Group V atoms will be proximate to the surface of the Group IV semiconductor. The surface of the Group IV semiconductor may be a {111}-oriented surface or a {100}-oriented surface.

本發明亦包括形成電接觸(例如以上所述者)的方法。在某些實例中,此方法涉及利用結晶選擇性蝕刻法(crystallographically selective etch)蝕刻該第IV族半導體的{100}-晶向表面以揭露並暴露出一個或多個{111}-晶向的半導體晶面;在該{111}晶面上形成第V族原子單層;及隨後在該第V族原子單層上沉積第III族原子單層。可利用個別氣相沉積製程或個別化學反應的方式製造該第V族及/或第III族原子單層。例如,在超高真空(UHV)條件下進行的製程中,在沉積該第V族原子或第III族原子之前,視情況需要,可在原位清潔該半導體的{111}-晶向晶面,並將該半導體加熱到足夠高的溫度以獲得重組結構,以{111}矽表面為例可獲得7x7重組結構,或以{111}矽鍺表面為例可獲得5x5重組結構,或以{111}鍺表面為例可獲得2x8重組結構,在此之後,於沉積第V族原子及/或第III族原子的期間內,可將該半導體加熱至一升高溫度。在形成第一種第V族原子單層與第一種第III族金屬原子單層之後,可在該第一個雙層(兩個單層)上直接沉積金屬原子,或在沉積金屬原子以形成接觸之前,可添加額外的第V族原子單層及/或第III族原子單層以建立出由超過單一雙層以上的多個單層所形成的堆疊。The present invention also includes methods of forming electrical contacts such as those described above. In some examples, the method involves etching the {100}-oriented surface of the Group IV semiconductor using a crystallographically selective etch to uncover and expose one or more {111}-oriented surfaces. a semiconductor crystal plane; forming a monolayer of group V atoms on the {111} crystal plane; and subsequently depositing a monolayer of group III atoms on the monolayer of group V atoms. The group V and/or group III atomic monolayers can be fabricated by means of individual vapor deposition processes or individual chemical reactions. For example, in processes performed under ultra-high vacuum (UHV) conditions, the {111}-oriented crystal planes of the semiconductor may be cleaned in situ, as appropriate, prior to deposition of the group V atoms or group III atoms , and heat the semiconductor to a high enough temperature to obtain a rearranged structure, take {111} silicon surface as an example to obtain 7x7 rearranged structure, or take {111} silicon germanium surface as an example to obtain 5x5 rearranged structure, or take {111 A germanium surface for example can obtain a 2x8 recombination structure, after which the semiconductor can be heated to an elevated temperature during the deposition of group V atoms and/or group III atoms. After forming the first monolayer of Group V atoms and the first monolayer of Group III metal atoms, metal atoms may be deposited directly on the first bilayer (two monolayers), or deposited in the presence of metal atoms Additional monolayers of group V atoms and/or monolayers of group III atoms may be added to build up a stack of monolayers beyond a single bilayer prior to contact formation.

以下進一步詳細描述本發明的上述及進一步實施例。The above and further embodiments of the present invention are described in further detail below.

鑒於上述挑戰,本案發明人意識到需要一種能夠降低金屬接觸對於摻雜S/D區之阻力的金屬接觸技術,或需要一種能盡可能消除金屬與半導體間之蕭特基能障的金屬-半導體技術。低電阻式金屬-半導體接觸技術將可用於任何需要低電阻的應用上,例如可用於太陽能電池應用中及用於金屬S/D場效電晶體(FET)中。本發明係關於藉由在金屬與半導體之間的界面處插入第V族或第III族原子單層,或插入由一第V族原子單層與一第III族原子單層所形成的雙層,或插入多個此種雙層而降低金屬-(第IV族)半導體接面之比接觸電阻的技術。本發明包括藉由在金屬與半導體之間的界面處提供至少一個單一秩序排列原子層以形成此種具有極低能障高度(接近零)及極低比接觸電阻之金屬-半導體接觸的方法。所形成的低比電阻金屬-第IV族半導體接面可應用在半導體元件(包括電子元件,例如電晶體、二極體,等等)及光電元件(例如,雷射、太陽能電池、光偵測器)中以作為低電阻電極,及/或可應用在場效電晶體(FET)中以作為金屬源極及/或汲極區(或源極/汲極區的一部分)。與半導體表面相鄰的第V族或第III族原子單層主要是形成在第IV族半導體表面上並與該第IV族半導體表面原子化學鍵結的秩序排列原子層。In view of the above-mentioned challenges, the inventors of the present invention realized that there is a need for a metal contact technology that can reduce the resistance of the metal contact to the doped S/D region, or a metal-semiconductor that can eliminate the Schottky energy barrier between the metal and the semiconductor as much as possible. technology. The low resistance metal-semiconductor contact technology will be used in any application requiring low resistance, for example in solar cell applications and in metal S/D field effect transistors (FETs). The present invention relates to the interface between a metal and a semiconductor by inserting a monolayer of group V or group III atoms, or a double layer formed by a monolayer of group V atoms and a monolayer of group III atoms , or techniques for lowering the specific contact resistance of metal-(Group IV) semiconductor junctions by inserting multiple such bilayers. The present invention includes a method of forming such a metal-semiconductor contact with an extremely low energy barrier height (close to zero) and an extremely low specific contact resistance by providing at least one single ordered atomic layer at the interface between the metal and the semiconductor. The formed low-resistance metal-Group IV semiconductor junction can be applied in semiconductor elements (including electronic elements, such as transistors, diodes, etc.) and optoelectronic elements (such as lasers, solar cells, light detection device) as low resistance electrodes, and/or can be applied in field effect transistors (FETs) as metal source and/or drain regions (or part of source/drain regions). A monolayer of Group V or Group III atoms adjacent to a semiconductor surface is primarily a layer of ordered atoms formed on and chemically bonded to the Group IV semiconductor surface.

本發明與Grupp和Connelly兩人早期研究工作(上述引用文獻)的區別在於,本發明的重點在秩序排列的單層,以及含有第V族元素(例如,磷或銻)和第III族元素(例如,鋁、硼、鎵或銦)。此外,在以上所引用之Marsi等人和McKinley等人的研究工作中說明意欲在半導體的兩個區域之間建立出能帶偏移,並未提及修改金屬與半導體之間的蕭特基能障或甚至未提到這麼做的可能性。The present invention differs from the earlier work of Grupp and Connelly (cited above) in that the focus of the present invention is on ordered monolayers, and the presence of a group V element (for example, phosphorus or antimony) and a group III element ( For example, aluminum, boron, gallium or indium). Furthermore, the work of Marsi et al. and McKinley et al. cited above states that an energy band shift is intended to be created between two regions of a semiconductor, without mentioning modification of the Schottky energy between the metal and the semiconductor. obstacles or even mention the possibility of doing so.

如下述,若第III族原子與第V族原子兩者皆存在時,所形成的雙層在半導體與主體金屬之間提供電偶極。當僅有單一個第V族原子層時,由於在該主體金屬內形成鏡像電荷(image charge),因此也會出現類似的偶極。此外,在某些實例中,在半導體與主體金屬之間可使用多個雙層(例如,兩個或三個此種雙層)。的確,可增加偶極層,直到隨著場(field)增加所產生的過多能量造成原子本身重新排列。As described below, when both group III atoms and group V atoms are present, the double layer formed provides an electric dipole between the semiconductor and the host metal. Similar dipoles also arise when there is only a single group V atomic layer due to the formation of image charges within the host metal. Furthermore, in certain examples, multiple bilayers (eg, two or three such bilayers) can be used between the semiconductor and the host metal. Indeed, the dipole layers can be increased until the atoms rearrange themselves due to excess energy generated with increasing field.

再者,儘管本文中描述由第V族或第III族純物質形成的單層,本發明的某些實施例可能使用含有一種以上之第V族原子(例如,在一單層內含有砷和磷原子的混合物)或一種以上之第III族原子的單層(monolayer)。因此,在以下內容及請求項中提到單層(不論是否是一雙層的一部分或其他情況)時,該用語應視為涵蓋由單一種第V族或第III族原子所形成的單層以及由一種以上之第V族或第III族元素原子所形成的單層。Furthermore, although monolayers formed of pure Group V or Group III species are described herein, certain embodiments of the invention may employ a mixture of phosphorus atoms) or a monolayer of more than one group III atom. Therefore, when referring to a monolayer (whether part of a bilayer or otherwise) in the following and in the claims, the term should be deemed to cover a monolayer formed from a single type of Group V or Group III atom And monolayers formed of more than one group V or group III element atoms.

在本文所述的實例中,該半導體為第IV族半導體,例如,鍺、矽、矽與鍺之合金,或含有矽、鍺、碳及錫其中之兩種或多種元素的合金。由化合物半導體所製成的場效電晶體(FET)或其他電子元件亦可藉由使用根據本發明所提供的低電阻接面而受益。又於下述實例中,描述與半導體(及由秩序排列之第V族原子所形成的界面層)形成接面的金屬是第III族金屬。然而,此點並非必然的情況。該金屬不一定必為第III族金屬。亦可使用其他金屬,例如低功函數金屬,例如鎂、鑭、鐿(ytterbium)或釓(gadolinium),藉以在金屬與半導體之間獲得低電位(低電能)能障或高電洞位能能障。或可選用高功函數金屬,例如鎳、鉑、銥(iridium)或釕,藉以在金屬與半導體之間獲得低電洞能障或高電子能障。然而,這並不排除使用更高功函數金屬(例如,鉑或釕)來製造具有低電子能障的接觸。儘管該金屬具有高功函數,借助於使半導體界面處出現秩序排列的第V元素單層而建立出大的偶極,而使得該金屬費米能階(Fermi level)與半導體傳導帶(conduction band)之間的能障可能很低。In the examples described herein, the semiconductor is a Group IV semiconductor, such as germanium, silicon, an alloy of silicon and germanium, or an alloy containing two or more of silicon, germanium, carbon and tin. Field Effect Transistors (FETs) or other electronic components made of compound semiconductors may also benefit from the use of the low resistance junctions provided in accordance with the present invention. Also in the following examples, it is described that the metal forming the interface with the semiconductor (and the interfacial layer formed by the ordered arrangement of Group V atoms) is a Group III metal. However, this is not necessarily the case. The metal does not have to be a Group III metal. Other metals such as low work function metals such as magnesium, lanthanum, ytterbium or gadolinium can also be used to obtain a low potential (low electric energy) energy barrier or high hole potential energy between the metal and the semiconductor barrier. Alternatively, a metal with a high work function, such as nickel, platinum, iridium, or ruthenium, can be selected to obtain a low hole barrier or a high electron barrier between the metal and the semiconductor. However, this does not exclude the use of higher work function metals such as platinum or ruthenium to make contacts with a low electronic energy barrier. Although the metal has a high work function, the fermi level of the metal is aligned with the semiconductor conduction band by creating a large dipole due to the presence of an ordered Vth element monolayer at the semiconductor interface. ) may have a very low energy barrier.

在許多應用中,使用相同的金屬製造與p-型及n-型摻雜半導體區域兩者的接觸,例如形成p-通道場效電晶體及n-通道場效電晶體中的源極接觸和汲極接觸,可能是有利的。此外,上述作法對於將作為阻障金屬(例如,氮化鉭(TaN)或氮化鈦(TiN)或釕(Ru))以及將用來製造與p-型及n-型摻雜半導體區域兩者之接觸的金屬而言亦可能極為有利。在使用相同金屬形成p-型及n-型摻雜半導體區域兩者之低能障接觸的例子中,與半導體表面化學鍵結的界面單層將會是位在n-型接觸處之秩序排列的第V族原子界面層,以及將會是位在p-型接觸處之秩序排列的第III族原子界面層。同樣地,當使用相同金屬形成n-通道及p-通道金屬源/汲極MOSFET兩者的金屬源極及/或汲極時,與該半導體表面化學鍵結的該界面單層將會是位在n-通道MOSFET之源/汲極接面處之秩序排列的第V族原子界面層,以及將會是位在p-通道MOSFET之源/汲極接面處之秩序排列的第III族原子界面層。In many applications, the same metal is used to make contacts to both p-type and n-type doped semiconductor regions, such as forming source contacts and Drain contacts may be advantageous. In addition, the above approach is useful for barrier metals (for example, tantalum nitride (TaN) or titanium nitride (TiN) or ruthenium (Ru)) and will be used to fabricate both p-type and n-type doped semiconductor regions. It may also be extremely beneficial in terms of the metals that are in contact with them. In instances where the same metal is used to form low-barrier contacts for both the p-type and n-type doped semiconductor regions, the interfacial monolayer chemically bonded to the semiconductor surface will be the first in the order at the n-type contact. A group V atom interface layer, and what will be an ordered group III atom interface layer at the p-type contact. Likewise, when the same metal is used to form the metal source and/or drain of both n-channel and p-channel metal source/drain MOSFETs, the interfacial monolayer chemically bonded to the semiconductor surface will be at the Ordered group V atomic interface layer at source/drain junction of n-channel MOSFET and will be ordered group III atomic interface at source/drain junction of p-channel MOSFET layer.

可使用鐵磁金屬(例如釓、鐵、鎳或鈷或這些元素的合金)或錳的鐵磁合金來獲得具有高自旋注入效率的金屬-半導體接觸。在期望具有高電子自旋注入效率的特殊應用中,與半導體表面化學鍵結的界面層較佳是秩序排列的第V族原子界面層。鐵磁金屬可直接沉積在該第V族單層上,或可使第III族金屬單原子層與該第V族原子化學鍵結,並在該第III族單層上沉積鐵磁金屬。Metal-semiconductor contacts with high spin injection efficiency can be obtained using ferromagnetic metals such as gadolinium, iron, nickel or cobalt or alloys of these elements or ferromagnetic alloys of manganese. In special applications where high electron spin injection efficiency is desired, the interfacial layer chemically bonded to the semiconductor surface is preferably an ordered interfacial layer of Group V atoms. A ferromagnetic metal can be deposited directly on the Group V monolayer, or a Group III metal monoatomic layer can be chemically bonded to the Group V atoms and a ferromagnetic metal deposited on the Group III monolayer.

亦可使用其他金屬材料,包括純金屬的合金、金屬矽化物(例如,成份為Ni 2Si、NiSi或NiSi 2的矽化鎳或矽化鉑或矽化鈷)或甚至是半金屬,其中該金屬材料直接鄰接該第V族或第III族單層。在製造上,n-型及p-型半導體接觸兩者皆採用相同金屬材料,或使用相同金屬材料作為n-通道型及p-通道型兩種MOSFET的的金屬源極及/或汲極是可行且可能是最為方便的。 Other metal materials can also be used, including alloys of pure metals, metal silicides (for example, nickel silicide or platinum silicide or cobalt silicide with the composition Ni2Si , NiSi or NiSi2 ) or even semimetals where the metal material is directly Adjacent to the Group V or Group III monolayer. In manufacturing, both the n-type and p-type semiconductor contacts use the same metal material, or use the same metal material as the metal source and/or drain of both n-channel and p-channel MOSFETs. feasible and probably the most convenient.

為了獲得期望中在整個接觸內對於電子具有極低能障高度且對於電子導電作用具有極低電阻的金屬-半導體接觸,該秩序排列的原子單層可為秩序排列的第V族原子單層。該第V族原子可為氮原子、磷原子、砷原子或銻原子或這些第V族原子的混合物。在本發明的一實施例中,該第V族原子單層是砷原子層,且該砷原子層磊晶(或實質磊晶)排列並與該鍺或矽或第IV半導體合金結晶晶格對齊。此種對電子傳導具有極低電阻的接觸可用來與n-型摻雜半導體(例如,n-通道FET的n-型摻雜源極區和汲極區)進行電接觸,或用於製成金屬源/汲極區(該等金屬源/汲極區與n-通道FET中的電子通道直接接觸)。The ordered atomic monolayer may be an ordered group V atomic monolayer in order to obtain the desired metal-semiconductor contact with an extremely low energy barrier height for electrons throughout the contact and an extremely low resistance for electron conduction. The group V atom may be a nitrogen atom, a phosphorus atom, an arsenic atom or an antimony atom or a mixture of these group V atoms. In one embodiment of the present invention, the group V atomic monolayer is an atomic layer of arsenic, and the atomic layer of arsenic is epitaxially (or substantially epitaxially) aligned and aligned with the crystal lattice of the germanium or silicon or IV semiconductor alloy . Such extremely low-resistance contacts for electron conduction can be used to make electrical contact with n-type doped semiconductors (for example, n-type doped source and drain regions of n-channel FETs), or to make Metal source/drain regions (the metal source/drain regions are in direct contact with the electron channel in the n-channel FET).

在許多實例中,在第IV族半導體的表面上形成該金屬接觸且該第IV族半導體的表面將是{111}-晶向表面,以及在最大的可能範圍內,在該單一個秩序排列之原子層中的每一個第V族原子以三價配位(three-way coordination)的方式與該半導體之{111}晶向表面中的原子形成化學鍵結。然而,在其他實例中,該第IV族半導體的接觸表面將為{100}或{110}表面。在某些實例中可能以{100}表面為佳。In many instances, the metal contact is formed on the surface of the Group IV semiconductor and the surface of the Group IV semiconductor will be a {111}-oriented surface, and to the greatest extent possible, between the single ordered arrangement Each group V atom in the atomic layer forms a chemical bond with an atom in the {111} oriented surface of the semiconductor in a three-way coordination manner. However, in other examples, the contact surface of the Group IV semiconductor will be a {100} or {110} surface. In some instances a {100} surface may be preferred.

在詳細討論本發明實施例之前,回顧一下某些基礎理論將有所幫助。在金屬與半導體之間的接觸界面處,可觀察到金屬中的費米能量將「釘扎(pinned)」在半導體能隙中的一特定能量處而使每種半導體造成在金屬費米能階與該半導體中的傳導能帶或價電能帶之間造成一個能障。儘管半導體能進行傳導(例如,含有摻雜劑時),如第(1a)圖所示,該費米能量 E F 固定在接近體結晶中的半導體能帶邊緣 E C (當未施加電壓時,該系統各處中的 E F 是均勻一致的), E C 維持遠高於該界面處的 E F 。因此,該半導體靠近界面處的區域不能成為良好導體。該金屬與半導體的強導電區之間僅能傳輸微弱電流。藉由熱離子發射作用(藉由激發而跨越該能障)或藉由隧穿該能障而可傳導電子流進入該傳導帶中,且當該能障的寬度可能僅為數十埃(Angstrom)時,隧穿機率往往更小。更廣範而言,可藉由所謂的「熱離子場發射作用」,也就是熱離子發射作用結合電子穿過該能障的隧穿作用,而使該金屬與半導體之間可傳導電流。 Before discussing embodiments of the invention in detail, it is helpful to review some underlying theory. At the contact interface between the metal and the semiconductor, it can be observed that the Fermi energy in the metal will be "pinned" at a specific energy in the energy gap of the semiconductor, causing each semiconductor to be at the Fermi level of the metal. An energy barrier is formed between the conduction energy band or the valence energy band in the semiconductor. Although the semiconductor is capable of conducting (e.g., with dopants), as shown in Fig. (1a), this Fermi energy EF is fixed close to the semiconductor band edge E C in the bulk crystal (when no voltage is applied, EF is uniform throughout the system), EC remains much higher than EF at the interface. Therefore, the region of the semiconductor near the interface cannot be a good conductor. Only weak currents can flow between the metal and the highly conductive regions of the semiconductor. Electron flow into the conduction band can be conducted by thermionic emission (crossing the energy barrier by excitation) or by tunneling the energy barrier, and when the width of the energy barrier may be only tens of angstroms (Angstrom ), the tunneling probability tends to be smaller. More broadly, electrical current can be conducted between the metal and semiconductor by so-called "thermionic field emission", ie thermionic emission combined with tunneling of electrons across the energy barrier.

本發明旨在藉由在金屬與半導體之間插入電偶極層,使該界面處之能帶邊緣和費米能量的相對位置偏移,而消除或至少大幅降低此能障。第1(b)圖中圖示最終能量。該淨結果是去除了幾乎所有的能障區域,僅留下該偶極層之間的能障區。The present invention aims to eliminate or at least substantially reduce this energy barrier by inserting an electric dipole layer between the metal and the semiconductor, shifting the relative position of the band edge and the Fermi energy at the interface. The final energy is shown in Figure 1(b). The net result is that almost all of the energy barrier region is removed, leaving only the energy barrier region between the dipole layers.

用世界科學出版社(新加坡,1999年)之W. A. Harrison所著《基本電子結構(Elementary Electronic Structure)》修訂版(2004)以及W. A. Harrison等人在1978年《物理評論》期刊(Phys. Rev. B 18, 4402)標題為「極性異質接合界面(Polar Heterojunction Interfaces)」之文章中所描述的「理論鍊金術」可以最能簡單理解如何完成矽-金屬界面。想像將位在最靠近金屬之平面中的每個矽原子核中移除一個質子,可將矽核轉換成鋁核(元素週期表中左側的其中一個元素),並將該質子插入該矽晶格的倒數第二個平面中的矽核中,而使該矽核變成磷核。此方法能有效地在最靠近金屬的原子平面中製造出一片的負電荷及在倒數第二個平面中製造出一片正電荷,並且在該兩個原子平面之間形成偶極而具有一個大電場。實際上此電場會使此層中的鍵極化,而使此層中的鍵縮小為介電常數的倒數倍(對於矽而言是1/12 = 0.083),但結果如第5(a)圖所示仍具有大的電場和大的電位偏移。實際上,不僅只該偶極層中的鍵被極化,相鄰層中的鍵也會被極化,而改變該區域中所有原子的有效電荷,並使該電場變成如第5(b)圖中所示般。然而造成極類似的電位淨偏移(以矽中的(100)平面為例,該電位淨偏移估計約1.39eV,且鍵長 d= 2.35 Å),足以去除主要的能障。 Using the revised edition (2004) of "Elementary Electronic Structure" (Elementary Electronic Structure) written by WA Harrison of World Science Publishing House (Singapore, 1999) and the "Physical Review" journal (Phys. Rev. B 18, 4402) titled "Polar Heterojunction Interfaces (Polar Heterojunction Interfaces)" described in the "theoretical alchemy" can best understand how to complete the silicon-metal interface. Imagine removing a proton from each silicon nucleus that lies in the plane closest to the metal, converting the silicon nucleus to an aluminum nucleus (one of the elements on the left in the periodic table) and inserting the proton into the silicon lattice In the silicon core in the penultimate plane of , so that the silicon core becomes a phosphorus core. This method can effectively create a sheet of negative charges in the atomic plane closest to the metal and a sheet of positive charges in the penultimate plane, and form a dipole between the two atomic planes with a large electric field . In fact, this electric field will polarize the bonds in this layer, so that the bonds in this layer will shrink to the inverse multiple of the dielectric constant (1/12 = 0.083 for silicon), but the result is as in Section 5(a) The figure still has a large electric field and a large potential shift. In fact, not only the bonds in this dipolar layer are polarized, but also the bonds in adjacent layers, changing the effective charge of all atoms in the region and making the electric field become as in 5(b) As shown in the figure. However, a very similar net potential shift (estimated to be about 1.39eV for the (100) plane in silicon, with a bond length d = 2.35 Å) is sufficient to remove the major energy barrier.

吾等可重複該理論鍊金術的製程,從鋁核中移除另一個質子,而使該鋁核變成鎂核,並將該質子插入該磷核中而使該磷核成為硫核。套用同樣的概念,此種做法會使每個平面上的電荷加倍,並使偶極偏移加倍。此做法相當於插入元素週期表第II欄中之原子的平面及插入第VI欄中之原子的平面,而不是第III和第V欄。甚至該方法可套用第三次而插入NaCl層,但在本發明中,多數情況下,此種沉積作用可能使矽結構無法維持繼續保持磊晶,而即有可能形成中性的NaCl岩鹽平面,而非偶極層。另一方面,某些貴金屬鹵化物的確會形成矽的四面體結構,並可預期這些貴金屬鹵化物可磊晶地成長,而相當於第VII欄元素的單層及第IB欄(貴金屬)元素的單層,且預估其偶極偏移將會是鋁-磷(Al-P)雙層之偶極偏移的三倍。因此,本發明還包括由第VI、VII、II和IB欄以及第V和III欄之磊晶層所造成的偶極偏移。We can repeat the process of theoretical alchemy, removing another proton from the aluminum nucleus, making the aluminum nucleus a magnesium nucleus, and inserting the proton into the phosphorus nucleus, making the phosphorus nucleus a sulfur nucleus. Applying the same concept, this doubles the charge on each plane and doubles the dipole offset. This is equivalent to inserting the plane of atoms in column II of the periodic table and inserting the plane of atoms in column VI instead of columns III and V. Even this method can be applied for the third time to insert the NaCl layer, but in the present invention, in most cases, this kind of deposition may make the silicon structure unable to maintain and continue to maintain the epitaxy, so that it is possible to form a neutral NaCl rock-salt plane, rather than a dipole layer. On the other hand, certain noble metal halides do form the tetrahedral structure of silicon, and it is expected that these noble metal halides can be grown epitaxially, corresponding to monolayers of elements in column VII and elements in column IB (noble metals) monolayer, and its dipole shift is estimated to be three times that of an aluminum-phosphorus (Al-P) bilayer. Therefore, the invention also includes the dipole shifts caused by the epitaxial layers in columns VI, VII, II and IB and in columns V and III.

若取代理論性地將最後兩個平面的矽原子轉換成磷和鋁的方式,而改在矽和金屬之間插入實際的磷或任何其他第V欄元素的單一個原子層以及插鋁或其他第III欄元素的單一原子層,結果不會改變。任何可適當對應的第V族-第III族材料雙層皆可用於達到消除(或至少大幅降低)蕭特基能障的目的,並可根據便利性或其他考量來選擇該等材料雙層,且同樣地,第IB、II、VI及VII欄中的任何元素可如上述段落中所提到的元素般達到同樣效果。更明確言之,可沉積第VI族元素~硫及/或硒及/或碲的秩序排列單層並聯合第II族元素~鋅及/或鎘的秩序排列單層而形成秩序排列的第II-VI族雙層。If instead of theoretically converting the last two planar silicon atoms into phosphorus and aluminum, an actual single atomic layer of phosphorus or any other element of column V is inserted between the silicon and the metal and aluminum or other For single atomic layers of elements in column III, the result will not change. Any suitably corresponding Group V-Group III material bilayer can be used for the purpose of eliminating (or at least substantially reducing) the Schottky barrier, and such material bilayers can be selected based on convenience or other considerations, And likewise, any of the elements in columns IB, II, VI and VII can achieve the same effect as the elements mentioned in the above paragraphs. More specifically, ordered monolayers of group VI elements-sulfur and/or selenium and/or tellurium can be deposited in combination with ordered monolayers of group II elements-zinc and/or cadmium to form ordered II -Group VI double layer.

現回到第2圖,第2圖圖示用於形成對半導體表面具有極低電阻之金屬接觸的製程實例10。在此製程中,使用第IV族半導體(或第IV族半導體及/或碳之化合物或合金)的{100}-晶向表面(步驟12),且利用結晶選擇性蝕刻法蝕刻該{100}-晶向表面以揭露和暴露出一或多個{111}-半導體晶面(步驟14)。隨後,在該{111}-晶面上形成第V族原子單層(步驟16),接著沉積適當的第III族金屬(步驟18)以形成該接觸。需注意,第V族原子單層並不一定是完美的秩序排列單層。也就是說,該第V族原子單層在覆蓋方面可能具有某些間隙或些許過量的原子。換言之,在沉積該秩序排列的單層之後,可能留下一些未填滿(unsatisfied)的第IV族半導體的懸鍵,或第V族原子的數目超過早先該第IV族半導體的懸鍵數目,或在表面上有一部分的半導體或第V族原子變得紊亂且無法對齊該半導體晶格。然而,任一種上述情況仍然視為是可用於本發明目的第V族原子單層。Returning now to Figure 2, Figure 2 illustrates a process example 10 for forming metal contacts with very low resistance to a semiconductor surface. In this process, a {100}-oriented surface of a Group IV semiconductor (or a compound or alloy of a Group IV semiconductor and/or carbon) is used (step 12), and the {100} is etched using a crystallographically selective etching method. - Orienting the surface to uncover and expose one or more {111}-semiconductor crystal planes (step 14). Subsequently, a monolayer of group V atoms is formed on the {111}-plane (step 16), followed by deposition of an appropriate group III metal (step 18) to form the contact. It should be noted that the monolayer of group V atoms is not necessarily a perfectly ordered monolayer. That is, the monolayer of Group V atoms may have some gaps or a slight excess of atoms in coverage. In other words, after depositing the ordered monolayer, some dangling bonds of the Group IV semiconductor may remain unsatisfied, or the number of Group V atoms exceeds the number of dangling bonds of the Group IV semiconductor earlier, Or a portion of the semiconductor or Group V atoms at the surface become disordered and fail to align with the semiconductor lattice. However, any of the foregoing is still considered a usable Group V atomic monolayer for the purposes of the present invention.

在第2圖所述之製程的另一替代製程中,步驟18中的金屬原子可為第III族金屬原子以外的金屬原子。例如,該金屬可為純金屬的合金、金屬矽化物或金屬化合物。In an alternative process to that described in FIG. 2, the metal atoms in step 18 may be metal atoms other than Group III metal atoms. For example, the metal can be an alloy of pure metals, a metal silicide or a metal compound.

可利用氣相沉積製程或化學反應的方式製造第V族原子單層。在氣相沉積製程的例子中,此製程包括在升高的溫度下使該半導體暴露於該第V族原子蒸汽流或該第V族元素的分子流。可利用熱使該第V族元素來源蒸發而產生該第V族原子/分子氣流。在本發明一實施例中,該氣流是成份為As 4的砷分子流,且可如實施分子束磊晶法所知的方式般,在努特生坩堝(Knudsen cell,k-cell)中利用熱使元素砷源蒸發而生成該As 4分子流。 The group V atomic monolayer can be fabricated by vapor deposition process or chemical reaction. In one example of a vapor deposition process, the process includes exposing the semiconductor to a flow of the Group V atom vapor or a molecular flow of the Group V element at an elevated temperature. The Group V atom/molecular gas stream can be generated by vaporizing the source of Group V elements using heat. In one embodiment of the invention, the gas flow is a molecular flow of arsenic with a composition As4 and can be utilized in a Knudsen cell (k-cell) in a manner known to practice molecular beam epitaxy. The heat vaporizes the elemental arsenic source to generate the As4 molecular stream.

可用於沉積第V族及/或第III族單層的各種製造手段包括分子束磊晶法(MBE)、氣態源分子束磊晶法(GSMBE)、有機金屬分子束磊晶法(MOMBE)、有機金屬化學氣相沉積法(MOCVD)、有機金屬氣相磊晶法(MOVPE)、原子層沉積法(ALD)、原子層磊晶法(ALE)及化學氣相沉積法(CVD),包括電晶增強化學氣相沉積法(PECVD)或光子或雷射-誘發式化學氣相沉積法(photon or laser-induced CVD)。Various fabrication methods that can be used to deposit Group V and/or Group III monolayers include molecular beam epitaxy (MBE), gas source molecular beam epitaxy (GSMBE), metalorganic molecular beam epitaxy (MOMBE), Metalorganic chemical vapor deposition (MOCVD), metalorganic vapor phase epitaxy (MOVPE), atomic layer deposition (ALD), atomic layer epitaxy (ALE) and chemical vapor deposition (CVD), including electrodeposition Crystal-enhanced chemical vapor deposition (PECVD) or photon or laser-induced chemical vapor deposition (photon or laser-induced CVD).

可依據本發明實施例而使用的其他氣相沉積製程涉及使該第V族元素的氣相化合物(例如,第V族元素的氫化物)解離而在該半導體表面上沉積該第V族元素原子。合適的第V族氫化物氣體包括用於沉積氨原子的氨(NH 3)、用於沉積磷的磷化氫(PH 3)、用於沉積砷的砷化氫(AsH 3)及用於沉積銻原子層的銻化氫(SbH 3)。或者,所欲的第V族元素之氣相化合物可為有機金屬化合物,此種化合物的實例為用於沉積砷單層的烷基胂(alkyl arsine),例如叔丁基胂(tertiary butyl arsine),或用於沉積銻單層的烷基銻化氫,例如三乙基銻(triethylstibine)。 Other vapor deposition processes that may be used in accordance with embodiments of the present invention involve depositing atoms of the Group V element on the semiconductor surface by dissociating the gas phase compound of the Group V element (eg, a Group V element hydride) . Suitable Group V hydride gases include ammonia (NH 3 ) for the deposition of ammonia atoms, phosphine (PH 3 ) for the deposition of phosphorus, arsine (AsH 3 ) for the deposition of arsenic, and Antimony atomic layer of antimony hydrogen (SbH 3 ). Alternatively, the gas-phase compound of the desired Group V element may be an organometallic compound, an example of such a compound being an alkyl arsine such as tertiary butyl arsine for the deposition of arsenic monolayers , or alkyl antimonides such as triethylstibine for the deposition of antimony monolayers.

在超高真空(UHV)條件下進行的製程中,使矽暴露於該第V族原子或化合物的蒸汽流之前,可在原位清潔該具有{111}-晶向晶面的矽,並將該矽加熱到足夠高的溫度以獲得 {111}矽表面的7x7重組結構。第3(a)圖(透視圖)、第3(b)圖(原始單位晶胞的平面圖)及第3(c)圖(原始單位晶胞的側視圖)提供此種7x7表面20的視圖。原子22代表下方(1x1)主體矽材料中的原子。原子24代表所謂的休憩原子(rest atom,位在附著原子(adatom)下方的一層原子)。原子26代表二聚體(dimer,成對的表面矽原子)。原子28代表附著原子(置於該結晶表面上的矽原子)。元件符號30則表示該結構中的角落孔洞。During processing under ultra-high vacuum (UHV) conditions, the silicon with {111}-oriented crystal faces can be cleaned in situ before exposing the silicon to the vapor flow of the group V atoms or compounds, and the The silicon is heated to a temperature high enough to obtain a 7x7 rearrangement of the {111} silicon surface. Figure 3(a) (perspective view), Figure 3(b) (plan view of the original unit cell), and Figure 3(c) (side view of the original unit cell) provide views of such a 7x7 surface 20 . Atom 22 represents an atom in the underlying (1x1) host silicon material. Atom 24 represents a so-called rest atom (a layer of atoms located below an adatom). Atom 26 represents a dimer (paired surface silicon atoms). Atom 28 represents an attachment atom (silicon atom placed on the surface of the crystal). Reference numeral 30 then indicates a corner hole in the structure.

之後,使該矽暴露於該第V族原子蒸汽或該第V族化合物分子蒸汽的期間內,該矽保持在介於約20℃至750℃(包括兩端值)範圍間的一溫度。該矽表面可暴露在第V族原子或化合物分子蒸汽流下持續一秒或數秒或甚至持續數分鐘。使該矽保持在適當溫度下,形成秩序排列的第V族原子單層,並在形成之後,該單層可制止沉積額外的第V族原子或沉積其他原子(例如氫或氧或碳原子)。或可在使該半導體暴露於第V族原子蒸汽或第V族分子化合物蒸汽的期間內改變該半導體溫度,使該半導體溫度從介於600℃至800℃範圍內的高溫開始下降至介於500℃至20℃範圍內的較低溫度。Thereafter, the silicon is maintained at a temperature in the range of about 20° C. to 750° C., both inclusive, during the period during which the silicon is exposed to the Group V atomic vapor or the Group V compound molecular vapor. The silicon surface may be exposed to a stream of group V atom or compound molecule vapor for one or several seconds or even several minutes. Keeping the silicon at an appropriate temperature forms an ordered monolayer of Group V atoms, and after formation, the monolayer prevents the deposition of additional Group V atoms or the deposition of other atoms (such as hydrogen or oxygen or carbon atoms) . Alternatively, the temperature of the semiconductor may be varied during exposure of the semiconductor to vapors of Group V atoms or Group V molecular compounds such that the temperature of the semiconductor begins to drop from a high temperature in the range of 600° C. to 800° C. to between 500° C. Lower temperatures in the range of °C to 20 °C.

如第4圖所示,第V族原子32(例如,As、Sb或P)直接與露出的矽表面原子34鍵結以形成完全配位的晶格終端,並且在最大可能程度上不具有懸鍵,第4圖為所產生之結構的側視圖。每個第V族原子之5個價電子的其中三個價電子與第IV族半導體表面處的矽原子形成鍵結,且剩下的兩個價電子則如圖中所示般形成「孤電子對(lone-pair)」軌域。As shown in FIG. 4, Group V atoms 32 (e.g., As, Sb, or P) bond directly to exposed silicon surface atoms 34 to form fully coordinated lattice terminations with no overhangs to the greatest possible extent. bond, Figure 4 is a side view of the resulting structure. Three of the 5 valence electrons of each group V atom form a bond with the silicon atom at the surface of the group IV semiconductor, and the remaining two valence electrons form "lone electrons" as shown in the figure pair (lone-pair) orbital.

可在除了{111}晶向以外的矽表面上,例如在{100}晶向的矽表面上應用類似製程以獲得第V族原子單層。亦可在除了矽以外的第IV族半導體表面上,例如在包括鍺、矽鍺、矽碳、鍺錫或矽鍺碳的半導體上應用類似製程以獲得第V族原子單層。此外,也可在第IV族半導體表面上應用類似製程以獲得第VI族原子單層。Similar processes can be applied on silicon surfaces other than the {111} orientation, eg, {100} orientation, to obtain a monolayer of group V atoms. A similar process can also be applied on the surface of Group IV semiconductors other than silicon, for example on semiconductors including germanium, silicon germanium, silicon carbon, germanium tin or silicon germanium carbon, to obtain monolayers of group V atoms. In addition, a similar process can also be applied on the surface of a group IV semiconductor to obtain a monolayer of group VI atoms.

可在超高真空(UHV)腔室中、在真空腔室中或在減壓腔室中使已加熱的半導體表面暴露於第V族原子流或化合物分子流下。若進行該製程的腔室並非UHV腔室,在該暴露過程中可能存在背景氣體或載氣。在一實施例中,以主要由氫氣(H 2)或氮氣(N 2)所組成的氣體混合物以稀釋的形成來輸送砷化氫(AsH 3)。在半導體製造過程中,一般將砷化氫稀釋到數個百分比或甚至低達100ppm的濃度,或將砷化氫在超純的氫氣或氮氣中稀釋至數個百分比或甚至低達100ppm的濃度濃度。不論是純的砷化氫或在氫氣或氮氣中含有1%或數個百分比之砷化氫的稀釋混合物,砷化氫會在已加熱的半導體表面處解離而釋出自由的砷原子,自由的砷原子與露出的矽表面直接鍵結以形成完全配位的晶格終端且不含或僅有極少的懸鍵。 The heated semiconductor surface may be exposed to a flow of Group V atoms or compound molecules in an ultra-high vacuum (UHV) chamber, in a vacuum chamber, or in a reduced pressure chamber. If the process is performed in a chamber other than a UHV chamber, background or carrier gas may be present during the exposure. In one embodiment, arsine (AsH 3 ) is delivered in dilute form as a gas mixture consisting essentially of hydrogen (H 2 ) or nitrogen (N 2 ). In the semiconductor manufacturing process, arsine is generally diluted to a concentration of several percent or even as low as 100ppm, or arsine is diluted to a concentration of several percent or even as low as 100ppm in ultra-pure hydrogen or nitrogen . Whether it is pure arsine or a diluted mixture containing 1% or several percent arsine in hydrogen or nitrogen, arsine will dissociate at the heated semiconductor surface to release free arsenic atoms, free Arsenic atoms bond directly to the exposed silicon surface to form fully coordinated lattice terminations with no or minimal dangling bonds.

以氫化物前驅物氣體(AsH 4)在矽上沉積砷原子單層的較佳製程始於在氫氣氛圍中將該矽表面加熱至一溫度,該溫度足以減少任何表面氧化物,隨後將該矽表面加熱至介於650℃至750℃(最佳介於675℃至725℃)範圍間的溫度且同時將該表面暴露於AsH 4蒸汽下持續一段介於10秒至30分鐘之間(最佳介於20秒至2分鐘之間)的時間。可在CVD系統或ALD系統中進行此製程,並形成秩序排列(ordered)的砷原子單層。在此形成步驟之後,該單層制止(resist)沉積額外的第V族原子或沉積其他原子(例如氫或氧或碳原子)。或可在使該半導體暴露於AsH 4蒸汽的期間內改變該半導體溫度,使該半導體溫度從介於650℃至750℃範圍內的高溫開始下降至介於500℃至20℃範圍內的較低溫度。 A preferred process for depositing a monolayer of arsenic atoms on silicon with a hydride precursor gas (AsH 4 ) begins by heating the silicon surface in a hydrogen atmosphere to a temperature sufficient to reduce any surface oxide, and then the silicon The surface is heated to a temperature in the range of 650°C to 750°C (optimally 675°C to 725°C) while exposing the surface to AsH4 vapor for a period of between 10 seconds to 30 minutes (optimally between 20 seconds and 2 minutes). This process can be performed in a CVD system or an ALD system, and an ordered monolayer of arsenic atoms is formed. After this forming step, the monolayer resists the deposition of additional group V atoms or the deposition of other atoms such as hydrogen or oxygen or carbon atoms. Or the temperature of the semiconductor can be varied during the exposure of the semiconductor to AsH vapor, starting from a high temperature in the range of 650°C to 750°C to a lower temperature in the range of 500°C to 20°C temperature.

如上述,並不嚴格要求該第V族原子形成完美的單層。可在此第V族單層上沉積金屬,或沉積更多的矽且隨後沉積金屬。因此,在界面層處(如上述者)可能存在著電荷單層,或者 若在第V族單層之後並在該金屬之前分別沉積一個、兩個或三個矽原子層,則在從該半導體-金屬界面起算的第二、第三或第四個平面處可能存在著電荷單層。在帶電的第V族原子(離子)單層與該金屬原子之間具有一或多個矽原子層且從而隔開該第V族原子(離子)單層與該金屬原子的優點是,可增加該等層之間所建立的電偶極大小,且從而對於該金屬-半導體接面處的電子而言可較大幅地降低蕭特基能障。另一方面,使用一或多個矽原子層隔開該帶電第V族原子(離子)單層與該金屬原子的缺點是該偶極區的空間範圍較大而不利於傳導電荷通過該能障。在第V族原子與金屬原子之間具有矽原子層所能思及的僅有優勢就是可用在對p-型半導體具有大的蕭特基能障的應用中。As noted above, it is not strictly required that the Group V atoms form a perfect monolayer. Metal can be deposited on this Group V monolayer, or more silicon can be deposited followed by metal. Thus, there may be a charge monolayer at the interfacial layer (as above) or, if one, two or three silicon atomic layers are deposited respectively after the group V monolayer and before the metal - There may be a charge monolayer at the second, third or fourth plane from the metal interface. The advantage of having one or more layers of silicon atoms between and thereby separating the charged group V atom (ion) monolayer from the metal atom is that the metal atom can be increased The galvanic couples established between the layers are extremely small, and thus the Schottky energy barrier for electrons at the metal-semiconductor junction can be reduced considerably. On the other hand, the disadvantage of using one or more layers of silicon atoms to separate the charged group V atom (ion) monolayer from the metal atoms is that the dipole region has a large spatial extent that is not conducive to conducting charge across the energy barrier. . The only conceivable advantage of having a layer of silicon atoms between the group V atoms and the metal atoms is that it can be used in applications with a large Schottky barrier for p-type semiconductors.

於第5圖所示的實施例中,在{111}-晶向之第IV族半導體36的表面上形成配位的第V族原子單層38之後,沉積第III族原子單層40,隨後沉積該金屬接觸(主體金屬原子42),而提供低能障且低電阻的金屬接觸。在本發明的此實施例中,該金屬原子單層40是第III族金屬原子層,其可包括鋁、鎵或銦或這些第III族金屬原子的混合物。在本發明的其他實施例中,可使用除了第III族金屬以外的其他金屬或金屬合金,或除了第III族金屬以外的其他金屬或金屬合金可與第III族金屬併用。此第III族金屬原子單層是選用性的,並且此第III族金屬原子單層不一定必需存在於根據本發明所形成的所有接面中,在該主體金屬中形成的鏡像電荷(image charge)將會是平衡負電荷(以下將做進一步說明)。In the embodiment shown in FIG. 5, after forming a coordinated monolayer 38 of group V atoms on the surface of the {111}-oriented group IV semiconductor 36, a monolayer 40 of group III atoms is deposited, followed by The metal contact (host metal atoms 42) is deposited to provide a low energy barrier and low resistance metal contact. In this embodiment of the invention, the monolayer 40 of metal atoms is a group III metal atomic layer, which may include aluminum, gallium or indium or a mixture of these group III metal atoms. In other embodiments of the invention, metals or metal alloys other than Group III metals may be used, or metals or metal alloys other than Group III metals may be used in combination with Group III metals. This monolayer of Group III metal atoms is optional and does not necessarily have to be present in all junctions formed in accordance with the present invention, the image charge formed in the host metal ) will be a balancing negative charge (further explained below).

若存在有第III族金屬原子單層,該金屬原子單層中的金屬原子較佳與半導體表面中已存在的第V族原子單層形成配位,從而形成秩序排列的金屬原子層。然而,該第一層的金屬原子非藉由化學鍵結與下方第V族原子秩序排列層形成強配位鍵結的實施例也是可行的。接著,該製程繼續進行以沉積額外的金屬原子42,該額外的原子可與該第一層金屬原子為相同的金屬元素,或是與該第一層金屬原子為不同的金屬元素。第5圖圖示若原子40與原子42為相同元素時所獲得的結構。If there is a monolayer of Group III metal atoms, the metal atoms in the monolayer of metal atoms preferably form a coordination with the monolayer of Group V atoms already present on the semiconductor surface, thereby forming an ordered layer of metal atoms. However, embodiments are also possible in which the metal atoms of the first layer form strong coordination bonds with the underlying Group V atom ordering layer without chemical bonding. The process then continues to deposit additional metal atoms 42, which may be the same metal element as the first layer of metal atoms or a different metal element than the first layer of metal atoms. Figure 5 illustrates the structure obtained if atoms 40 and 42 are the same element.

第5圖中示出在該半導體原子36與主體金屬42之間設置一個包含第V族原子單層38和第III族原子單層40的雙層。圖中的曲線圖(a)和(b)兩圖代表在跨越該接面的不同位置處的位能,曲線圖(a)顯示該理論鍊金術中未使鄰鍵極化的第一步驟,及曲線圖(b)則是考慮到鬆弛作用(relaxation)所繪製而成的曲線。曲線(b)有些許誇大以強調橫跨該接面的電位性質。FIG. 5 shows a double layer comprising a monolayer 38 of group V atoms and a monolayer 40 of group III atoms disposed between the semiconductor atoms 36 and the host metal 42 . The graphs (a) and (b) in the figure represent the potential energy at different positions across the junction, and the graph (a) shows the first step in the theoretical alchemy that does not polarize adjacent bonds, And graph (b) is a curve drawn considering the relaxation effect (relaxation). Curve (b) is slightly exaggerated to emphasize the nature of the potential across the junction.

可利用氣相沉積製程或利用化學反應製造該第III族金屬原子單層。例如,在氣相沉積製程的實例中,可藉著使半導體表面暴露於第III族金屬元素的原子蒸汽流或暴露於該金屬元素化合物的蒸汽流下而在該半導體表面上形成該金屬原子單層。該暴露步驟可持續進行少於一秒的時間或持續進行長達數秒或甚至數分鐘。The group III metal atom monolayer can be fabricated by vapor deposition process or by chemical reaction. For example, in the example of a vapor deposition process, the metal atomic monolayer may be formed on the semiconductor surface by exposing the semiconductor surface to a stream of atomic vapors of a Group III metal element or a vapor stream of a compound of the metal element. . This exposing step can take place for less than a second or for as long as several seconds or even minutes.

該氣相沉積製程可能涉及使具有第V族原子單層的半導體暴露於金屬原子蒸汽流或金屬元素分子流下。可利用熱使該金屬源蒸發而產生該金屬原子/分子流。在本發明一實施例中,該氣流是藉著如實施分子束磊晶法所知的方式般在努特生坩堝(k-cell)中利用熱使元素鋁源蒸發或使用電子束加熱元素鋁源使其蒸發而生成的鋁原子流。在沉積該金屬原子期間可加熱該半導體。在替代的氣相沉積製程中,可使該金屬的氣相化合物(例如,有機金屬化合物)解離而在該半導體表面上沉積該金屬原子。此種製程最常歸類於化學氣相沉積製程。鋁的適當有機金屬化合物包括三甲基鋁。更明確而言,藉由使化學蒸汽來源解離來沉積金屬原子單層時,若該些金屬原子進行磊晶排列且對齊該半導體晶格,該方式被稱為原子層磊晶法,或者若該些金屬原子為進行磊晶排列,則該方式則為原子層沉積法。在另一種替代的氣相沉積製程中,可能藉著從固體來源濺射出該金屬原子而沉積該些金屬原子,此方式為已知的物理氣相(PVD)製程。The vapor deposition process may involve exposing the semiconductor having a monolayer of group V atoms to a stream of metal atom vapor or a stream of metal element molecules. The flux of metal atoms/molecules can be generated by vaporizing the metal source using heat. In one embodiment of the invention, the gas flow is accomplished by thermally evaporating the source of elemental aluminum in a Knudsen crucible (k-cell) or by heating the elemental aluminum using an electron beam, as is known in the practice of molecular beam epitaxy. A stream of aluminum atoms produced by evaporating it from a source. The semiconductor may be heated during deposition of the metal atoms. In an alternative vapor deposition process, vapor phase compounds of the metal (eg, organometallic compounds) can be dissociated to deposit the metal atoms on the semiconductor surface. Such processes are most commonly classified as chemical vapor deposition processes. Suitable organometallic compounds of aluminum include trimethylaluminum. More specifically, when a monolayer of metal atoms is deposited by dissociating a source of chemical vapor, if the metal atoms are epitaxially aligned and aligned with the semiconductor lattice, the process is called atomic layer epitaxy, or if the If some metal atoms are arranged epitaxially, the method is atomic layer deposition. In an alternative vapor deposition process, the metal atoms may be deposited by sputtering the metal atoms from a solid source, known as a physical vapor phase (PVD) process.

沉積該金屬原子單層之後,該製程可持續進行以沉積附加的金屬原子層(該金屬原子與第III族原子單層可為相同金屬或不同金屬)。該額外附加之金屬原子層的元素組成和厚度可按照所製成金屬-半導體接觸之特殊用途的要求而定。例如作為奈米級FET的接觸時,該等附加的金屬原子層可為阻障金屬層,例如氮化鉭、氮化鈦或釕。在本文及微電子工業的常用術語中,阻障金屬(barrier metal)是通常利用共形沉積技術(例如,原子層沉積(ALD)、電漿增強ALD或化學氣相沉積(CVD))所沉積而成的薄金屬層,該薄金屬層可提供阻止銅金屬層擴散進入半導體中的屏障。或者,可利用電化學沉積製程或利用反應性物理氣相沉積法(PVD)沉積該阻障金屬,物理氣相沉積法是從固體來源或靶材濺射出該金屬。在替代實施例中,該附加的金屬原子層可由金屬矽化物所構成,例如由成份為Ni 2Si、NiSi或NiSi 2的矽化鎳、矽化鉑、矽化鎳鉑或矽化鈷所構成,其中該金屬矽化物與該第V族單層或第V族-第III族雙層直接相鄰。 After depositing the monolayer of metal atoms, the process continues to deposit additional layers of metal atoms (the metal atoms and the monolayer of Group III atoms may be the same metal or different metals). The elemental composition and thickness of the additional metal atomic layer can be determined according to the requirements of the particular application of the metal-semiconductor contact being made. For example, as a contact of a nanoscale FET, the additional metal atomic layers may be barrier metal layers, such as tantalum nitride, titanium nitride or ruthenium. In common terms used herein and in the microelectronics industry, barrier metals are metals deposited typically using conformal deposition techniques such as atomic layer deposition (ALD), plasma-enhanced ALD, or chemical vapor deposition (CVD). The resulting thin metal layer provides a barrier against the diffusion of the copper metal layer into the semiconductor. Alternatively, the barrier metal may be deposited using an electrochemical deposition process or using reactive physical vapor deposition (PVD), which sputters the metal from a solid source or target. In an alternative embodiment, the additional atomic layer of metal may consist of a metal silicide, such as nickel silicide, platinum silicide, nickel platinum silicide, or cobalt silicide, of composition Ni2Si , NiSi, or NiSi2 , wherein the metal Silicide is directly adjacent to the Group V single layer or Group V-Group III bilayer.

除了如先前所述般在矽表面上沉積第V族材料(例如,砷、磷,等等)的單層之外,在足夠高的溫度下沉積一部分的第V族材料是有利的,在足夠高溫下,有一些第V族原子會進入矽本身中。或者,可採用其他已知方式製備該矽表面,使得該第V族出現在靠近該矽表面之處。在此步驟之後,以適當的方式沉積該第V族材料以在該矽表面上形成單層。此種作法的目的是有利於矽中的額外第V族原子形成額外的偶極並且在沉積於第V族材料單層上的金屬內具有鏡像電荷,而有利於提高總體偶極效應。In addition to depositing a monolayer of Group V material (e.g., arsenic, phosphorus, etc.) on the silicon surface as previously described, it is advantageous to deposit a portion of the Group V material at a sufficiently At high temperatures, some of the group V atoms get incorporated into the silicon itself. Alternatively, the silicon surface can be prepared in other known ways such that the group V is present close to the silicon surface. After this step, the Group V material is deposited in a suitable manner to form a monolayer on the silicon surface. The purpose of this is to favor the extra group V atoms in the silicon to form additional dipoles and have image charges in the metal deposited on the monolayer of the group V material, which helps to increase the overall dipole effect.

第6(a)和6(b)圖是根據本發明實施例所構築之金屬-半導體接觸的進一步實例。在第6(a)圖中,接觸44類似於第5圖中所示之接觸,但該接觸44包含由第V族元素和第III族金屬所形成的額外雙層。產生橫跨該長層間間距(即,介在組成該雙層的單層38與單層40之間的相對較長距離)的電偶極。在第6(b)圖中,接觸44’具有橫跨該短層間間距(即,介在組成該雙層的單層38與單層40之間的相對較短距離)的電偶極。Figures 6(a) and 6(b) are further examples of metal-semiconductor contacts constructed according to embodiments of the present invention. In Figure 6(a), the contact 44 is similar to the contact shown in Figure 5, but the contact 44 includes an additional double layer formed of a Group V element and a Group III metal. An electric dipole is created across the long interlayer spacing (ie, the relatively long distance between the monolayers 38 and 40 that make up the bilayer). In Figure 6(b), contact 44' has an electric dipole spanning the short interlayer spacing (i.e., the relatively short distance between monolayer 38 and monolayer 40 making up the bilayer).

如第7圖所示,為了獲得對電洞具有極低能障高度且對於傳導電洞通過該接觸而言具有極低電阻的金屬-半導體接觸,該秩序排列的原子單層是秩序排列的金屬原子單層40且包含第V族原子所形成的單原子層38,該單原子層38與該金屬原子單層化學鍵結並且就由該金屬原子單層40而與半導體36的表面原子隔開。在某些實施例中,該金屬原子所形成之單原子層是第III族金屬原子單層,且該第III族原子可為鋁原子、鎵原子或銦原子或這些第III族金屬原子的混合物。在某些實例中,該第III族金屬原子單層為銦原子層,且該銦原子層磊晶(或實質磊晶)排列並與鍺或矽或第IV半導體合金結晶晶格對齊,並且相鄰的第V族原子單層與該金屬原子單層化學鍵結。第V族原子可為氮原子、磷原子、砷原子或銻原子或這些第V族原子的混合物。在某些實例中,該第V族金屬原子單層為砷原子層,且該砷原子層呈秩序排列並且與該第III族金屬原子對齊並形成化學鍵結,而該第III族金屬原子形成單原子層並與該鍺或矽或第IV半導體合金結晶晶格的表面原子形成結晶對齊及化學鍵結。圖中示出介在半導體與主體金屬之間的兩個雙層,但在本發明範圍內亦可思及包含單一個雙層的實施例。As shown in Figure 7, in order to obtain a metal-semiconductor contact with an extremely low energy barrier height for holes and an extremely low resistance for conduction holes through the contact, the ordered atomic monolayer is an ordered metal The atomic monolayer 40 also includes a monoatomic layer 38 of Group V atoms that is chemically bonded to the metal atomic monolayer and separated from the surface atoms of the semiconductor 36 by the metal atomic monolayer 40 . In some embodiments, the monoatomic layer formed by the metal atoms is a monolayer of Group III metal atoms, and the Group III atoms may be aluminum atoms, gallium atoms, or indium atoms or a mixture of these Group III metal atoms . In some examples, the Group III metal atom monolayer is an atomic layer of indium, and the atomic layer of indium is epitaxially (or substantially epitaxially) aligned and aligned with germanium or silicon or a Group IV semiconductor alloy crystalline lattice, and in phase Adjacent group V atom monolayers are chemically bonded to the metal atom monolayer. The group V atom may be a nitrogen atom, a phosphorus atom, an arsenic atom or an antimony atom or a mixture of these group V atoms. In some examples, the monolayer of Group V metal atoms is an atomic layer of arsenic, and the atomic layer of arsenic is arranged in order and aligned and chemically bonded with the Group III metal atoms, and the Group III metal atoms form a monolayer of atomic layer and forms crystalline alignment and chemical bonding with the surface atoms of the germanium or silicon or IV semiconductor alloy crystalline lattice. Two bilayers are shown between the semiconductor and the host metal, but embodiments comprising a single bilayer are also contemplated within the scope of the invention.

在某些實施例中,要求形成對p-型半導體具有極低電阻的接觸或要求提供用於p-通道場效電晶體中之具有極高導電性的源極及/或汲極,該接觸表面是{111}-晶向的半導體表面。在其他實施例中,該半導體的接觸表面為{100}晶向表面。In some embodiments, it is desirable to form a very low resistance contact to the p-type semiconductor or to provide a very high conductivity source and/or drain for use in a p-channel field effect transistor, the contact The surface is a {111}-oriented semiconductor surface. In other embodiments, the contact surface of the semiconductor is a {100} oriented surface.

第8圖圖示用於建立第7圖中所示之接觸的製程45。以使用{100}-晶向的半導體表面作為開始(步驟46),使用結晶選擇性蝕刻法蝕刻該{100}表面以揭露並暴露出一個或多個{111}-晶向的半導體晶面(步驟48)。在該{111}晶面上形成第III族金屬原子單層(步驟50),隨後沉積第V族原子單層(步驟52)。顯然,基於不同元件幾何結構或其他考量,可直接由已有的{111}表面作為該製程的開始。FIG. 8 illustrates a process 45 for establishing the contacts shown in FIG. 7 . Starting with a {100}-oriented semiconductor surface (step 46), the {100} surface is etched using a crystallographically selective etch to uncover and expose one or more {111}-oriented semiconductor planes ( Step 48). A monolayer of Group III metal atoms is formed on the {111} crystal face (step 50), followed by deposition of a monolayer of Group V atoms (step 52). Obviously, based on different device geometries or other considerations, the process can be directly started from the existing {111} surface.

在沉積第V族原子單層之後,該製程繼續進行以沉積額外的多個金屬層(步驟54)。該額外附加之金屬原子層的元素組成和厚度可按照所製成金屬-半導體接觸之特殊用途的要求而定,可如前述般形成用於對電子傳導具有極低電阻之n-型半導體的接觸。After depositing the Group V atomic monolayer, the process continues to deposit additional metal layers (step 54). The elemental composition and thickness of this additional metal atomic layer can be determined according to the requirements of the specific application of the metal-semiconductor contact being made, and can be formed as described above for n-type semiconductors with very low resistance to electron conduction. .

可利用氣相沉積製程或化學反應製造第III族原子單層。在氣相沉積製程的例子中,使該半導體暴露於該第III族金屬原子蒸汽流或該第III族金屬元素的化合物分子流。可利用熱使第III族元素來源蒸發而產生該第III族原子/分子氣流。在本發明一實施例中,該氣流是藉著如實施分子束磊晶法所知的方式般在努特生坩堝(k-cell)中利用熱使元素銦源蒸發所生成的銦原子流。在替代的氣相沉積製程中,可使第III族元素的氣相化合物(例如,第III族元素的有機金屬化合物)解離而在該半導體表面上沉積第III族元素原子。藉由加熱該半導體表面可達到使第III族金屬之氣相前驅化合物解離。若不宜將該半導體表面加熱至太高的溫度,可利用電漿增強化學氣相沉積(PECVD)或電漿增強原子層沉積(PEALD)型的工具和製程來達到解離作用。或者,可利用光子誘發式製程來達到使金屬前驅物解離。Group III atomic monolayers can be fabricated using vapor deposition processes or chemical reactions. In one example of a vapor deposition process, the semiconductor is exposed to a vapor stream of the Group III metal atom or a molecular stream of the Group III metal element compound. The Group III atom/molecular gas stream can be generated by thermally evaporating a source of Group III elements. In one embodiment of the invention, the gas flow is a stream of indium atoms generated by thermally evaporating a source of elemental indium in a Knudsen crucible (k-cell) as is known in the practice of molecular beam epitaxy. In an alternative vapor deposition process, a vapor phase compound of a Group III element (eg, an organometallic compound of a Group III element) can be dissociated to deposit Group III atoms on the semiconductor surface. Dissociation of the gas-phase precursor of the Group III metal can be achieved by heating the semiconductor surface. If it is inappropriate to heat the semiconductor surface to a high temperature, plasma enhanced chemical vapor deposition (PECVD) or plasma enhanced atomic layer deposition (PEALD) type tools and processes can be used to achieve dissociation. Alternatively, a photon-induced process can be used to achieve dissociation of metal precursors.

在將具有{111}-晶向表面的半導體暴露在第III族原子或第III族分子化合物的蒸汽流下之前,可於原位清潔該具有{111}-晶向表面的半導體,例如以矽為例,可在超高真空條件下將該半導體加熱至足夠高的溫度以獲得該{111}矽表面的7x7重組結構。隨後,在將該半導體暴露在該第III族原子蒸汽或第III族分子化合物蒸汽下的期間內,使該半導體保持在介於約20℃至750℃(包括兩端值)範圍內的溫度。或者,在該半導體暴露於該第III族原子蒸汽或第III族分子化合物蒸汽的期間內可改變該半導體溫度,使該半導體溫度從介於600℃至800℃範圍內的高溫開始下降至介於500℃至20℃範圍內的較低溫度。Semiconductors with {111}-oriented surfaces, such as silicon, can be cleaned in situ before exposing them to a stream of Group III atoms or Group III molecular compounds. For example, the semiconductor can be heated to a high enough temperature under ultra-high vacuum conditions to obtain a 7x7 rearrangement of the {111} silicon surface. Subsequently, the semiconductor is maintained at a temperature in the range of about 20°C to 750°C, inclusive, during the period of exposure of the semiconductor to the Group III atom vapor or Group III molecular compound vapor. Alternatively, the temperature of the semiconductor may be varied during the exposure of the semiconductor to the Group III atom vapor or Group III molecular compound vapor such that the semiconductor temperature begins to drop from a high temperature in the range of 600°C to 800°C to between Lower temperatures in the range of 500°C to 20°C.

可該半導體在第III族原子或化合物蒸汽流下暴露短於一秒或長達數秒或甚至數分鐘的時間。該第III族原子與露出的第IV族半導體表面直接鍵結而形成第III原子單層,該第III族原子的晶體盡最大可能程度地對齊該半導體晶格。The semiconductor may be exposed to the Group III atom or compound vapor stream for a period of less than one second or as long as several seconds or even minutes. The Group III atoms are directly bonded to the exposed Group IV semiconductor surface to form a monolayer of Group III atoms, and the crystals of the Group III atoms are aligned to the semiconductor lattice to the greatest extent possible.

可在UHV腔室中、在真空腔室中或在減壓腔室中使半導體的表面暴露於第III族原子流或分子化合物蒸汽流。若進行該製程的腔室並非UHV腔室,在該暴露過程中可能存在背景氣體或載氣。在一實施例中,以主要由載氣(例如,氫氣或氮氣)所組成的氣體混合物以稀釋的形成來輸送有機金屬化合物前驅物(例如三甲基銦),並且該有機金屬化合物前驅物在該已加熱的半導體表面處解離而釋出自由的銦原子,自由的銦原子與露出的矽直接鍵結。在另一實施例中,該有機金屬化合物為三甲基鋁或三甲基鎵,三甲基鋁或三甲基鎵在已加熱的半導體表面處進行反應以分別形成鋁原子單層或鎵原子單層。The surface of the semiconductor may be exposed to the flow of Group III atoms or molecular compound vapors in a UHV chamber, in a vacuum chamber, or in a reduced pressure chamber. If the process is performed in a chamber other than a UHV chamber, background or carrier gas may be present during the exposure. In one embodiment, the organometallic compound precursor (e.g., trimethylindium) is delivered in diluted form in a gas mixture consisting essentially of a carrier gas (e.g., hydrogen or nitrogen), and the organometallic compound precursor is The heated semiconductor surface dissociates to release free indium atoms, which are directly bonded to the exposed silicon. In another embodiment, the organometallic compound is trimethylaluminum or trimethylgallium, which react at the heated semiconductor surface to form a monolayer of aluminum atoms or gallium atoms, respectively single layer.

在{111}-晶向之第IV族半導體的表面上形成配位的第III族金屬原子單層之後,沉積第III族原子單層40,接著沉積一層第V族原子以形成低能障、低電阻的金屬接觸。該第V族原子層中的第V族原子較佳已位在該半導體表面上的第III族金屬原子單層進行配位,從而形成秩序排列的第V族原子層。隨後,該製程繼續進行以沉積額外的金屬原子,該額外的原子可與該第一層金屬原子為相同的金屬元素,或是與該第一層金屬原子為不同的金屬元素。After forming a coordinated monolayer of Group III metal atoms on the surface of the {111}-oriented Group IV semiconductor, a monolayer of Group III atoms 40 is deposited, followed by a layer of Group V atoms to form a low energy barrier, low Resistive metal contacts. The group V atoms in the group V atomic layer are preferably coordinated with a monolayer of group III metal atoms on the surface of the semiconductor, thereby forming an ordered group V atomic layer. The process then continues to deposit additional metal atoms, which may be the same metal element as the first layer of metal atoms or a different metal element than the first layer of metal atoms.

在本發明的另一實施例中,在{100}或{111}-晶向的第IV族半導體的表面上形成配位的第III族金屬原子單層之後,可接著在該單層上沉積金屬以形成低能障、低電阻的金屬接觸。該金屬不一定是第V族金屬。該金屬可能是具有所欲性質的金屬,例如具有結構或化學安定性以確保所形成之電接觸或電子元件的可靠性。用於形成接觸的安定金屬實例包括鉑(Pt)、鎢(W)及前述的「阻障金屬」:TaN、TiN和Ru。可直接在第III族單層上沉積金屬,使得該第III族單層恰好位在該金屬與該半導體之間的界面處,或者可利用一個或兩個第IV族半導體單層隔開該第III族單層與該金屬。因此,若有一個或兩個第IV族半導體原子層分別介在該第III族單層與金屬之間,則在該界面層處或在從該半導體-金屬界面算起第三個平面處可存在有第III單層所帶來的單層電荷。在帶電的第III原子(離子)單層與金屬原子之間具有一個或數個矽原子層且從而將該帶電第III原子(離子)單層與該金屬原子隔開的優點是可增加該等層之間所建立的電偶極大小,且從而較大幅地降低在p-型半導體接面或MOSFET之金屬與p-型通道源/汲極接面處的蕭特基能障。In another embodiment of the invention, after formation of a monolayer of coordinated Group III metal atoms on the surface of a {100} or {111}-oriented Group IV semiconductor, deposition of metal to form a low-barrier, low-resistance metal contact. The metal need not be a Group V metal. The metal may be a metal with desirable properties, such as structural or chemical stability to ensure the reliability of the formed electrical contacts or electronic components. Examples of stable metals used to form contacts include platinum (Pt), tungsten (W), and the aforementioned "barrier metals": TaN, TiN, and Ru. The metal can be deposited directly on the Group III monolayer so that the Group III monolayer is located just at the interface between the metal and the semiconductor, or the Group IV semiconductor monolayer can be separated by one or two Group IV semiconductor monolayers. Group III monolayer with the metal. Thus, if one or two Group IV semiconductor atomic layers are interposed between the Group III monolayer and the metal, respectively, there may exist at the interface layer or at the third plane from the semiconductor-metal interface There is a monolayer charge brought by the III monolayer. The advantage of having one or several layers of silicon atoms between the charged III atom (ion) monolayer and the metal atoms and thereby separating the charged III atom (ion) monolayer from the metal atom is that the The galvanic couples established between the layers are extremely small and thus significantly reduce the Schottky energy barrier at the p-type semiconductor junction or the metal and p-type channel source/drain junctions of MOSFETs.

本發明的另一實施例形成一種金屬半導體接觸,該金屬半導體接觸在該金屬-半導體界面處具有第V族或第III族原子單層,並在該金屬-半導體界面處,是藉由從與該半導體表面接觸的材料層中偏析出第V族或第III族原子而形成該第V族(例如,砷)單層或第III族(例如,硼)單層。可例如使用CVD或PVD在該半導體表面上沉積該材料層。藉著使CVD或PVD沉積製程中納入第V族原子以作為摻雜劑或利用離子佈植將該第V族原子引入該材料層中。或可藉由使另一種元素或多種元素與半導體表面發生反應而形成該材料層,在此情況下,可在藉由化學反應形成該材料之前或之後,佈植該第V族或第III族原子。例如,該層可能是藉著使矽表面進行熱氧化反應所形成的氧化矽或氮化矽層,且可利用離子佈植將第V族或第III族原子引入該氧化矽或氮化矽層內。在另一實施例中,該層可能是由摻雜有高濃度第V族元素(例如,磷)或第III族元素(例如,硼)之氧化矽所形成的沉積薄膜。前者通常認為是「磷矽玻璃(PSG)」且後者通常是「硼矽玻璃(BSG)」,及用來沉積此等經摻雜之矽酸鹽玻璃的方法(例如,CVD)已廣為人知並廣泛用於微電子工業中。或者,該材料層可能是使金屬與矽表面反應所形成的金屬矽化物,並可利用離子佈植將第V族或第III族原子引入該金屬矽化物層中。Another embodiment of the present invention forms a metal-semiconductor contact having a monolayer of Group V or Group III atoms at the metal-semiconductor interface and at the metal-semiconductor interface by Group V or Group III atoms are segregated from the material layer in contact with the semiconductor surface to form the Group V (eg, arsenic) monolayer or Group III (eg, boron) monolayer. The layer of material may be deposited on the semiconductor surface, for example using CVD or PVD. The Group V atoms are introduced into the material layer by incorporating the Group V atoms as dopants in a CVD or PVD deposition process or by ion implantation. Or the material layer can be formed by reacting another element or elements with the semiconductor surface, in which case the Group V or Group III can be implanted before or after the material is formed by chemical reaction atom. For example, the layer may be a silicon oxide or silicon nitride layer formed by subjecting the silicon surface to a thermal oxidation reaction, and ion implantation may be used to introduce Group V or Group III atoms into the silicon oxide or silicon nitride layer Inside. In another embodiment, the layer may be a deposited film of silicon oxide doped with a high concentration of a Group V element (eg, phosphorus) or a Group III element (eg, boron). The former is commonly known as "phosphosilicate glass (PSG)" and the latter is generally "borosilicate glass (BSG)", and the methods used to deposit these doped silicate glasses (e.g., CVD) are well known and widely Used in the microelectronics industry. Alternatively, the material layer may be a metal silicide formed by reacting a metal with a silicon surface, and ion implantation may be used to introduce Group V or Group III atoms into the metal silicide layer.

在與半導體表面接觸的材料層中引入一濃度的第V族或第III族原子之後,使整個層結構在足夠高的溫度下進行退火(annealed)以造成該第V族或第III族原子偏析(segregate)至該界面,而在該界面處形成秩序排列的第V族或第III族單層,並且該第V族或第III族原子以磊晶配位與半導體原子的頂層鍵結。在該材料層是經摻雜之氧化矽(例如,PSG或BSG)或氮化矽且該半導體為矽的例子中,在該退火循環造成一部分的第V族或第III族元素偏析至該矽-氧化矽(或氮化矽)的界面處之後,隨後可利用選擇性濕式化學蝕刻法去除該氧化矽(氮化矽),而留下位於該半導體表面處之已配位的第V族或第III族原子單層,及沉積金屬以形成該半導體的金屬接觸。在該材料層是金屬矽化物且該半導體是矽的例子中,在該退火循環造成第V族或第III族元素的界面偏析作用而形成秩序排列的界面單層之後,可去除該金屬矽化物,或使該金屬矽化物留在原地,以該金屬矽化物本身作為金屬接觸。After introducing a concentration of Group V or Group III atoms into a layer of material in contact with a semiconductor surface, the entire layer structure is annealed at a temperature sufficiently high to cause segregation of the Group V or Group III atoms (segregate) to the interface, and an ordered Group V or Group III monolayer is formed at the interface, and the Group V or Group III atoms are bonded to the top layer of semiconductor atoms in epitaxial coordination. In instances where the material layer is doped silicon oxide (e.g., PSG or BSG) or silicon nitride and the semiconductor is silicon, the annealing cycle causes a portion of the Group V or Group III elements to segregate to the silicon - After the silicon oxide (or silicon nitride) interface, the silicon oxide (or silicon nitride) can then be removed using a selective wet chemical etch, leaving the coordinated Group V at the semiconductor surface or Group III atomic monolayers, and metal is deposited to form metal contacts to the semiconductor. In the case where the material layer is a metal silicide and the semiconductor is silicon, the metal silicide may be removed after the annealing cycle causes interfacial segregation of Group V or Group III elements to form an ordered interfacial monolayer , or leave the metal silicide in place, using the metal silicide itself as a metal contact.

本發明的又另一些實施例涉及使用{100}-晶向的半導體表面。第9圖圖示一個包含此種表面的接觸,此接觸包含一第V族原子單層,並且可使用上述技術中的任一技術在第IV半導體{100}表面上沉積該第V族原子單層。隨後在該第V族原子上沉積第III族金屬原子單層,接著沉積額外的金屬層。這些額外的金屬原子與第一層金屬原子可能為相同的金屬元素,或這些額外的金屬原子與第一層金屬原子可能為不同的金屬元素。第9圖所示的金屬-半導體接觸可為電子提供極低的能障高度並為電子通過該接觸的電性傳導作用提供極低的電阻。若欲使該接觸為電子提供極低的能障高度且為電洞通過該接觸的電性傳導作用提供極低電阻,可使該雙層中之第V族原子與第III族原子的位置彼此對調。Still other embodiments of the present invention relate to semiconductor surfaces using {100}-orientation. Figure 9 illustrates a contact comprising such a surface, the contact comprising a Group V atomic monolayer, and the Group V atomic monolayer can be deposited on a IV semiconductor {100} surface using any of the techniques described above layer. A monolayer of Group III metal atoms is then deposited on top of the Group V atoms, followed by deposition of additional metal layers. These additional metal atoms may be the same metal element as the first layer metal atoms, or these additional metal atoms may be different metal elements from the first layer metal atoms. The metal-semiconductor contact shown in Figure 9 provides an extremely low energy barrier height for electrons and provides an extremely low resistance for the electrical conduction of electrons through the contact. If the contact is intended to provide a very low energy barrier height for electrons and provide a very low resistance to the electrical conduction of holes through the contact, the positions of the group V atoms and the group III atoms in the double layer can be arranged relative to each other. Swap.

製造實驗用的蕭特基偶極,藉以說明砷界面單層對於示範用之鋁-矽蕭特基能障的影響。該些示範實驗並非代表是典型製程條件,也未必就代表是最佳製程條件。在{111}-晶向且具約1x10 17原子/立方公分之硼濃度的p-型摻雜矽晶圓上完成該些示例性實驗。在超高真空條件下製造第一組實驗用的蕭特基偶極,以及在氫氣氛圍中於低壓化學氣相沉積條件下製造第二組實驗用的蕭特基偶極。 Experimental Schottky dipoles were fabricated to demonstrate the effect of an arsenic interfacial monolayer on the aluminum-silicon Schottky barrier for demonstration. These demonstration experiments do not represent typical process conditions, nor do they necessarily represent optimal process conditions. These exemplary experiments were performed on p-type doped silicon wafers with a {111}-oriented orientation and a boron concentration of approximately 1×10 17 atoms/cm 3 . The Schottky dipoles used in the first set of experiments were fabricated under ultra-high vacuum conditions, and the Schottky dipoles used in the second set of experiments were fabricated under low-pressure chemical vapor deposition conditions in a hydrogen atmosphere.

以下述方式處理該第一組偶極:在超高真空中加熱該矽達800℃以清潔該{111}Si表面並使該{111}Si表面重組成為7x7重組結構之後,使溫度從800℃降至700℃且隨後使該矽表面暴露於As 2砷分子流中持續10分鐘,之後終止As 2氣流。使用拉塞福背散射分析法(Rutherford back scattering analysis)確認此暴露步驟所得到的砷原子之面密度等於7.30x10 14原子/平方公分,此數值接近已知在1x1重組{111}矽表面上之表面原子的面密度7.83x10 14原子/平方公分。如此,可合理得出已大約沉積了單層的砷原子。待冷卻至室溫後,在相同的超高真空系統中沉積一層純鋁,且隨後將該層純鋁圖案化以提供簡單的偶極結構可供進行電性測量。為了做比較,可利用類似的步驟順序處理一個類似的晶圓,只除了不會將該矽表面刻意暴露於任何砷原子下。第10圖圖示從每個晶圓(含砷及不含砷)上取相同大小的偶極進行測量後,所測得這些實驗性偶極的電流-電壓特性。如第10圖之測量曲線72所示,在晶圓上於界面處不含砷的偶極一致表現出對於p-型矽具有相對小的蕭特基能障高度。由曲線72,利用標準偶極方程式(熱離子發射模型)擬合該測量數據可得到能障高度。所得到未經砷暴露處理之偶極的能障高度為0.40eV(實驗誤差約為0.03eV),此能障高度值與已公開的p-型矽上之緊密鋁接觸的能障高度值一致。如第10圖之數據曲線70所示,在晶圓上曾使該矽界面暴露在砷下而形成砷單層的偶極一致表現出對p-型矽具有較大的蕭特基能障高度。根據n-型與p-型能障高度的總合大小非常接近該矽能帶隙的通則,對p-型矽具有較大能障高度就代表對n-型矽具有較小的能障高度。因此,實驗證明在鋁與{111}-晶向矽表面之間的界面處引入砷原子單層,對於p-型矽而言,確實可提供較大的蕭特基能障,這和在鋁費米能階與矽傳導帶之間降低的電子能障結果一致(即,對n-型矽具有降低的蕭特基能障高度的結果一致)。 The first set of dipoles was treated in the following manner: after heating the Si up to 800°C in ultra-high vacuum to clean the {111} Si surface and reorganize the {111} Si surface into a 7x7 rearranged structure, the temperature was changed from 800°C to The temperature was lowered to 700° C. and the silicon surface was then exposed to a flow of As 2 arsenic molecules for 10 minutes, after which the flow of As 2 was terminated. Using Rutherford back scattering analysis, it was confirmed that this exposure step resulted in an areal density of arsenic atoms equal to 7.30x10 14 atoms/cm2, which is close to that known on 1x1 reconstituted {111} silicon surfaces. The areal density of surface atoms is 7.83x10 14 atoms/cm2. Thus, it is reasonable to conclude that approximately a monolayer of arsenic atoms has been deposited. After cooling to room temperature, a layer of pure aluminum was deposited in the same UHV system and then patterned to provide a simple dipole structure for electrical measurements. For comparison, a similar wafer was processed using a similar sequence of steps, except that the silicon surface was not intentionally exposed to any arsenic atoms. Figure 10 shows the measured current-voltage characteristics of these experimental dipoles after measuring dipoles of the same size from each wafer (with and without arsenic). As shown in the measurement curve 72 of FIG. 10, the arsenic-free dipole at the interface on the wafer consistently exhibits a relatively small Schottky barrier height for p-type silicon. From the curve 72, the energy barrier height can be obtained by fitting the measured data with the standard dipole equation (thermionic emission model). The energy barrier height of the obtained dipole without arsenic exposure is 0.40eV (experimental error is about 0.03eV), which is consistent with the published energy barrier height value of a tight aluminum contact on p-type silicon . As shown in the data curve 70 of Fig. 10, the dipoles on the wafer that had exposed the silicon interface to arsenic to form an arsenic monolayer consistently exhibited a large Schottky barrier height for p-type silicon . According to the general rule that the sum of the n-type and p-type energy barrier heights is very close to the silicon band gap, a larger energy barrier height for p-type silicon means a smaller energy barrier height for n-type silicon . Therefore, it was proved experimentally that the introduction of a monolayer of arsenic atoms at the interface between the aluminum and the {111}-oriented silicon surface does provide a larger Schottky energy barrier for p-type silicon, which is comparable to that of the aluminum The Fermi level is consistent with a reduced electronic barrier between silicon conduction bands (ie, with a reduced Schottky barrier height for n-type silicon).

以下述方式處理該第二組偶極:在流動的氫氣中加熱該矽達900℃以清潔該{111}Si表面之後,使溫度從900℃降至700℃且隨後使該矽表面暴露於砷化氫(AsH 3)分子流中持續10分鐘,並且在AsH 3氣流結束之前使溫度保持在700℃。以大量的氫氣(H 2)將砷化氫稀釋至約2ppm的濃度且總氣流量為每分鐘20.4公升。使用拉塞福背散射分析法確認此暴露步驟所得到的砷原子之面密度等於7.8x10 14原子/平方公分,此數值接近已知在1x1重組{111}矽表面上之表面原子的面密度7.83x10 14原子/平方公分。如此,可合理得出已大約沉積了單層的砷原子。待冷卻至室溫後,在獨立的超高真空系統中利用電子束蒸鍍法(electron beam evaporation)沉積一層純鋁,且隨後將該層純鋁圖案化以提供簡單的偶極結構而可供進行電性測量。為了做比較,可利用類似的步驟順序處理一個類似的晶圓,只除了不會將矽表面刻意暴露於任何砷原子下。第11圖圖示所測得之這些實驗性偶極(含砷及不含砷)的電流-電壓特性。如第11圖之測量曲線82所示般,在晶圓上於界面處不含砷的偶極一致表現出對於p-型矽而言具有相對小的蕭特基能障高度。由曲線82,利用標準偶極方程式(熱離子發射模型)擬合測量數據可得到能障高度。所得到未經砷暴露處理之偶極的能障高度為0.42eV(實驗誤差約為0.03eV),此能障高度值與p-型矽上已公開之緊密鋁接觸的能障高度值相符。如第11圖之數據曲線80所示,在晶圓上曾使該矽界面暴露在砷下而形成砷單層的偶極一致表現出對於p-型矽而言具有較大的蕭特基能障高度。根據n-型與p-型能障高度的總合大小非常接近該矽能帶隙的通則,對p-型矽具有較大能障高度代表對於n-型矽具有較小的能障高度。因此,實驗證明在鋁與{111}-晶向矽表面之間的界面處引進砷原子單層,對於p-型矽而言,確實可提供較大的蕭特基能障,這和在鋁費米能階與矽傳導帶之間降低的電子能障結果一致(即,對n-型矽具有降低的蕭特基能障高度的結果一致)。 The second set of dipoles was treated in the following manner: after heating the silicon up to 900°C in flowing hydrogen to clean the {111} Si surface, the temperature was lowered from 900°C to 700°C and then the silicon surface was exposed to arsenic Hydrogen hydride (AsH 3 ) molecular flow was maintained for 10 minutes, and the temperature was maintained at 700° C. until the AsH 3 flow was terminated. Arsine was diluted to a concentration of about 2 ppm with a large amount of hydrogen gas (H 2 ) with a total gas flow of 20.4 liters per minute. Using Rutherford backscatter analysis, it was confirmed that this exposure step resulted in an areal density of arsenic atoms equal to 7.8x1014 atoms/cm2, which is close to the known areal density of surface atoms on a 1x1 reconstituted {111} silicon surface of 7.83 x10 14 atoms/cm2. Thus, it is reasonable to conclude that approximately a monolayer of arsenic atoms has been deposited. After cooling to room temperature, a layer of pure aluminum was deposited by electron beam evaporation in an independent ultra-high vacuum system, and then the layer of pure aluminum was patterned to provide a simple dipole structure for the Conduct electrical measurements. For comparison, a similar wafer was processed using a similar sequence of steps, except that the silicon surface was not intentionally exposed to any arsenic atoms. Figure 11 shows the measured current-voltage characteristics of these experimental dipoles (with and without arsenic). As shown in the measurement curve 82 of FIG. 11, the arsenic-free dipole at the interface consistently exhibits a relatively small Schottky barrier height for p-type silicon on the wafer. From the curve 82, the energy barrier height can be obtained by fitting the measurement data with the standard dipole equation (thermionic emission model). The resulting energy barrier height of the unexposed dipole is 0.42eV (experimental error is about 0.03eV), which is consistent with the published energy barrier height value of close aluminum contacts on p-type silicon. As shown in the data curve 80 of FIG. 11, dipoles that have exposed the silicon interface to arsenic on the wafer to form an arsenic monolayer consistently exhibit a large Schottky energy for p-type silicon. barrier height. According to the general rule that the sum of n-type and p-type barrier heights is very close to the silicon bandgap, a larger barrier height for p-type silicon means a smaller barrier height for n-type silicon. Thus, it was demonstrated experimentally that the introduction of a monolayer of arsenic atoms at the interface between the aluminum and the {111}-oriented silicon surface does provide a larger Schottky energy barrier for p-type silicon, which is comparable to that of the aluminum The Fermi level is consistent with a reduced electronic barrier between silicon conduction bands (ie, with a reduced Schottky barrier height for n-type silicon).

因此,現已說明數種藉由在金屬與半導體之間的界面處插入一個第V族或第III族原子單層或多個第V族及第III族原子單層以降低金屬-半導體接面之比接觸電阻的技術。Thus, several methods have been described to reduce the metal-semiconductor junction by inserting a single layer of Group V or Group III atoms or multiple monolayers of Group V and Group III atoms at the interface between the metal and the semiconductor. ratio of contact resistance techniques.

10:製程 12、14、16、18:步驟 20:表面 22、24、26、28:原子 30:角落孔洞 32:第V族原子 34:矽表面原子 36:第IV族半導體 38:第V族原子單層 40:第III族原子單層 42:金屬原子 44:接觸 45:製程 46、48、50、52、54:步驟 70:曲線 72:曲線 80:曲線 82:曲線 10: Process 12, 14, 16, 18: steps 20: surface 22, 24, 26, 28: atoms 30: Corner holes 32: Group V atoms 34:Silicon surface atoms 36: Group IV semiconductors 38: Monolayer of group V atoms 40: Group III atomic monolayer 42: metal atom 44: contact 45: Process 46, 48, 50, 52, 54: steps 70: curve 72: curve 80: curve 82: curve

附圖的圖式係以舉例方式圖示說明本發明,而非作為限制之用,該等圖式如下:The drawings in the accompanying drawings illustrate the present invention by way of example and are not intended to be limiting and are as follows:

第1(a)和1(b)圖圖示在金屬-半導體接面處的位能能障;明確言之,第1(a)圖圖示在半導體(左側)-金屬(右側)界面處具有會阻礙電流的固定厚實能障;及第1(b)圖圖示插在金屬與半導體之間的偶極層如何消除除了位在一對原子平面之間以外的能障。Figures 1(a) and 1(b) illustrate the potential energy barrier at the metal-semiconductor junction; specifically, Figure 1(a) illustrates the semiconductor (left)-metal (right) interface have a fixed thick energy barrier that blocks current flow; and Figure 1(b) illustrates how a dipole layer interposed between a metal and a semiconductor eliminates the energy barrier except between a pair of atomic planes.

第2圖圖示根據本發明實施例形成對半導體表面具有極低電阻之金屬接觸的製程實例。Figure 2 illustrates an example of a process for forming metal contacts with very low resistance to a semiconductor surface in accordance with an embodiment of the present invention.

第3(a)、3(b)和3(c)圖提供7x7已重組之{111}-晶向矽表面的視圖。Figures 3(a), 3(b) and 3(c) provide 7x7 views of a reorganized {111}-oriented silicon surface.

第4圖圖示第V族原子與暴露的矽表面原子直接鍵結以形成完全配位之晶格終端而不具有懸鍵的實例。Figure 4 illustrates an example of Group V atoms bonding directly to exposed silicon surface atoms to form fully coordinated lattice terminations without dangling bonds.

第5圖圖示根據本發明實施例利用第2圖中所示之製程在n型半導體的(111)表面上插入一雙層(兩個單層)而製造出接觸。Figure 5 illustrates the fabrication of contacts by inserting a bilayer (two monolayers) on the (111) surface of an n-type semiconductor using the process shown in Figure 2 according to an embodiment of the invention.

第6(a)和6(b)圖分別圖示在具有跨越長晶面間距或短晶面間距之場區的n-型半導體中,位於(111)界面上的兩個雙層。Figures 6(a) and 6(b) illustrate two bilayers at the (111) interface in n-type semiconductors with field regions spanning long or short interplanar spacings, respectively.

第7圖係根據本發明之進一步實施例,圖示如第6圖所示但卻用於p-型半導體的兩個雙層,該等雙層可為整個接觸中的電洞傳導作用提供極低的電阻。Fig. 7 shows two bilayers as shown in Fig. 6 but for p-type semiconductors according to a further embodiment of the present invention, which can provide a pole for hole conduction throughout the contact. low resistance.

第8圖係根據本發明實施例圖示一種用於建立第7圖中所示之接觸的製程。FIG. 8 illustrates a process for creating the contacts shown in FIG. 7, according to an embodiment of the present invention.

第9圖圖示如第5圖所示但並非用於{111}表面,而是用於{100}半導體表面的單個雙層(兩個單層)。Figure 9 illustrates a single bilayer (two monolayers) as shown in Figure 5 but not for a {111} surface, but for a {100} semiconductor surface.

第10和11圖圖示藉由實驗從鋁-{111}晶向p-型矽接觸所獲得的蕭特基偶極電流-電壓特性,並與在界面處具有砷原子單層之接觸的測量數據以及不具有砷界面層之接觸的數據做比較。Figures 10 and 11 illustrate Schottky dipole current-voltage characteristics experimentally obtained from aluminum-{111} crystal to p-type silicon contacts, and measured with a contact having a monolayer of arsenic atoms at the interface The data were compared with those of contacts without an arsenic interfacial layer.

國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無 Domestic deposit information (please note in order of depositor, date, and number) none Overseas storage information (please note in order of storage country, institution, date, and number) none

Claims (20)

一種電接觸,其包括一金屬及一第IV 族半導體,且該金屬與該第IV 族半導體係由一界面層所分隔開,該半導體包含一電晶體的一n-型或p-型摻雜半導體源極或汲極,該金屬為一阻障金屬,且當該半導體為n-型摻雜半導體源極或汲極時,該界面層為鄰接該半導體之一秩序排列的第V族原子層,而當該半導體為p-型摻雜半導體源極或汲極時,該界面層為為鄰接該半導體之一秩序排列的第III族原子層。An electrical contact comprising a metal and a Group IV semiconductor separated by an interfacial layer, the semiconductor comprising an n-type or p-type doped A heterogeneous semiconductor source or drain, the metal being a barrier metal, and when the semiconductor is an n-type doped semiconductor source or drain, the interfacial layer is an ordered arrangement of group V atoms adjacent to one of the semiconductors layer, and when the semiconductor is a p-type doped semiconductor source or drain, the interface layer is a Group III atomic layer arranged adjacent to one of the semiconductors. 如請求項1所述之電接觸,其中該金屬包含氮化鉭。The electrical contact as claimed in claim 1, wherein the metal comprises tantalum nitride. 如請求項1所述之電接觸,其中該金屬包含氮化鈦。The electrical contact of claim 1, wherein the metal comprises titanium nitride. 如請求項1所述之電接觸,其中該金屬包含釕。The electrical contact as claimed in claim 1, wherein the metal comprises ruthenium. 如請求項1所述之電接觸,其中形成有該金屬接觸之該第IV 族半導體的一表面為一{111}-晶向表面。The electrical contact as claimed in claim 1, wherein a surface of the Group IV semiconductor on which the metal contact is formed is a {111}-oriented surface. 如請求項1所述之電接觸,其中形成有該金屬接觸之該第IV 族半導體的一表面為一{110}-晶向表面。The electrical contact as claimed in claim 1, wherein a surface of the Group IV semiconductor on which the metal contact is formed is a {110}-oriented surface. 如請求項1所述之電接觸,其中形成有該金屬接觸之該第IV 族半導體的一表面為一{110}-晶向表面。The electrical contact as claimed in claim 1, wherein a surface of the Group IV semiconductor on which the metal contact is formed is a {110}-oriented surface. 如請求項1所述之電接觸,其中該界面層包含一或更多個雙層,且該等雙層之至少一者相應地包括該秩序排列的第V族原子層、或該秩序排列的第III族原子層。The electrical contact as claimed in claim 1, wherein the interfacial layer comprises one or more bilayers, and at least one of the bilayers correspondingly comprises the ordered Group V atomic layer, or the ordered Group III atomic layer. 如請求項8所述之電接觸,其中等雙層之至少該者相應地進一步包括與該秩序排列的第V族原子層配位之一第III族原子層、或與該秩序排列的第III族原子層配位之一第V族原子層。The electrical contact as claimed in claim 8, wherein at least one of the intermediate bilayers further comprises a Group III atomic layer coordinated to the ordered Group V atomic layer, or a Group III atomic layer coordinated to the ordered Group V atomic layer, respectively. One of the group atomic layer coordination is the V group atomic layer. 如請求項9所述之電接觸,其中該金屬包含下列其中一者:氮化鉭、氮化鈦、及釕。The electrical contact according to claim 9, wherein the metal comprises one of the following: tantalum nitride, titanium nitride, and ruthenium. 一種電接觸,其包括一金屬及一第IV 族半導體,且該金屬與該第IV 族半導體係由一界面層所分隔開,該半導體包含一電晶體的一n-型或p-型摻雜半導體通道,該金屬為一電晶體的一阻障金屬源極或汲極,且當該半導體為n-型摻雜半導體源極或汲極時,該界面層為鄰接該半導體之一秩序排列的第V族原子層,而當該半導體為p-型摻雜半導體源極或汲極時,該界面層為為鄰接該半導體之一秩序排列的第III族原子層。An electrical contact comprising a metal and a Group IV semiconductor separated by an interfacial layer, the semiconductor comprising an n-type or p-type doped A heterogeneous semiconductor channel, the metal being a barrier metal source or drain of a transistor, and when the semiconductor is an n-type doped semiconductor source or drain, the interfacial layer is an orderly arrangement adjacent to the semiconductor The group V atomic layer, and when the semiconductor is a p-type doped semiconductor source or drain, the interface layer is a group III atomic layer arranged adjacent to one of the semiconductors. 如請求項11所述之電接觸,其中該金屬包含氮化鉭。The electrical contact of claim 11, wherein the metal comprises tantalum nitride. 如請求項11所述之電接觸,其中該金屬包含氮化鈦。The electrical contact of claim 11, wherein the metal comprises titanium nitride. 如請求項11所述之電接觸,其中該金屬包含釕。The electrical contact as claimed in claim 11, wherein the metal comprises ruthenium. 如請求項11所述之電接觸,其中形成有該金屬接觸之該第IV 族半導體的一表面為一{111}-晶向表面。The electrical contact as claimed in claim 11, wherein a surface of the Group IV semiconductor on which the metal contact is formed is a {111}-oriented surface. 如請求項11所述之電接觸,其中形成有該金屬接觸之該第IV 族半導體的一表面為一{100}-晶向表面。The electrical contact as claimed in claim 11, wherein a surface of the Group IV semiconductor on which the metal contact is formed is a {100}-oriented surface. 如請求項11所述之電接觸,其中形成有該金屬接觸之該第IV 族半導體的一表面為一{100}-晶向表面。The electrical contact as claimed in claim 11, wherein a surface of the Group IV semiconductor on which the metal contact is formed is a {100}-oriented surface. 如請求項11所述之電接觸,其中該界面層包含一或更多個雙層,且該等雙層之至少一者相應地包括該秩序排列的第V族原子層、或該秩序排列的第III族原子層。The electrical contact as claimed in claim 11, wherein the interfacial layer comprises one or more bilayers, and at least one of the bilayers correspondingly comprises the ordered Group V atomic layer, or the ordered Group III atomic layer. 如請求項18所述之電接觸,其中等雙層之至少該者相應地進一步包括與該秩序排列的第V族原子層配位之一第III族原子層、或與該秩序排列的第III族原子層配位之一第V族原子層。The electrical contact as claimed in claim 18, wherein at least one of the intermediate bilayers further comprises a Group III atomic layer coordinated to the ordered Group V atomic layer, or a Group III atomic layer coordinated to the ordered Group III atomic layer, respectively. One of the group atomic layer coordination is the V group atomic layer. 如請求項19所述之電接觸,其中該金屬包含下列其中一者:氮化鉭、氮化鈦、及釕。The electrical contact as claimed in claim 19, wherein the metal comprises one of the following: tantalum nitride, titanium nitride, and ruthenium.
TW112112987A 2013-05-07 2013-05-07 Improving metal contacts to group iv semiconductors by inserting interfacial atomic monolayers TW202331845A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW112112987A TW202331845A (en) 2013-05-07 2013-05-07 Improving metal contacts to group iv semiconductors by inserting interfacial atomic monolayers

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW112112987A TW202331845A (en) 2013-05-07 2013-05-07 Improving metal contacts to group iv semiconductors by inserting interfacial atomic monolayers

Publications (1)

Publication Number Publication Date
TW202331845A true TW202331845A (en) 2023-08-01

Family

ID=88559009

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112112987A TW202331845A (en) 2013-05-07 2013-05-07 Improving metal contacts to group iv semiconductors by inserting interfacial atomic monolayers

Country Status (1)

Country Link
TW (1) TW202331845A (en)

Similar Documents

Publication Publication Date Title
US11804533B2 (en) Metal contacts to group IV semiconductors by inserting interfacial atomic monolayers
JP2015090984A (en) Two-dimensional material, method of forming the same, and device including the two-dimensional material
WO2012169212A1 (en) Semiconductor device, semiconductor substrate, method for producing semiconductor substrate, and method for producing semiconductor device
TWI521600B (en) Method of forming high growth rate, low resistivity germanium film on silicon substrate(1)
TWI756657B (en) Improving metal contacts to group iv semiconductors by inserting interfacial atomic monolayers
TWI692849B (en) Improving metal contacts to group iv semiconductors by inserting interfacial atomic monolayers
TWI802231B (en) Improving metal contacts to group iv semiconductors by inserting interfacial atomic monolayers
JP2016154202A (en) Tunnel field effect transistor and fabrication method of field effect transistor
TW202331845A (en) Improving metal contacts to group iv semiconductors by inserting interfacial atomic monolayers
US9543376B2 (en) Semiconductor device and method for manufacturing the same
US9000432B2 (en) Enhanced electron mobility at the interface between GD2O3(100)/N-SI(100)
CN116565006A (en) GaAs-based P-channel enhanced CMOS device and preparation method thereof