TW202327114A - 使用嵌入式模製光學模組集成之光學封裝 - Google Patents

使用嵌入式模製光學模組集成之光學封裝 Download PDF

Info

Publication number
TW202327114A
TW202327114A TW111143945A TW111143945A TW202327114A TW 202327114 A TW202327114 A TW 202327114A TW 111143945 A TW111143945 A TW 111143945A TW 111143945 A TW111143945 A TW 111143945A TW 202327114 A TW202327114 A TW 202327114A
Authority
TW
Taiwan
Prior art keywords
pic
pocc
optical
pfau
optical module
Prior art date
Application number
TW111143945A
Other languages
English (en)
Inventor
金度沅
鎖海 梅
普亞 塔達永
傑森 甘巴
桑卡 甘尼山
Original Assignee
美商英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾股份有限公司 filed Critical 美商英特爾股份有限公司
Publication of TW202327114A publication Critical patent/TW202327114A/zh

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4204Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms
    • G02B6/4214Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms the intermediate optical element having redirecting reflective means, e.g. mirrors, prisms for deflecting the radiation from horizontal to down- or upward direction toward a device
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/26Optical coupling means
    • G02B6/30Optical coupling means for use between fibre and thin-film device
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4219Mechanical fixtures for holding or positioning the elements relative to each other in the couplings; Alignment methods for the elements, e.g. measuring or observing methods especially used therefor
    • G02B6/4228Passive alignment, i.e. without a detection of the degree of coupling or the position of the elements
    • G02B6/423Passive alignment, i.e. without a detection of the degree of coupling or the position of the elements using guiding surfaces for the alignment
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4219Mechanical fixtures for holding or positioning the elements relative to each other in the couplings; Alignment methods for the elements, e.g. measuring or observing methods especially used therefor
    • G02B6/4236Fixing or mounting methods of the aligned elements
    • G02B6/4245Mounting of the opto-electronic elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4249Packages, e.g. shape, construction, internal or external details comprising arrays of active devices and fibres
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4255Moulded or casted packages
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4292Coupling light guides with opto-electronic elements the light guide being disconnectable from the opto-electronic element, e.g. mutually self aligning arrangements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4274Electrical aspects
    • G02B6/428Electrical aspects containing printed circuit boards [PCB]

Abstract

在一實施例中,一光學模組包括一電子積體電路、一光子積體電路、及一可插入式光學耦合連接器。該光子積體電路傳送或接收光學信號。該可插入式光學耦合連接器係相鄰於該光子積體電路且包括用以光學地耦合一光纖陣列至該光子積體電路之一可插入式介面。再者,該電子積體電路、該光子積體電路、及該可插入式光學耦合連接器全被嵌入一模具中。

Description

使用嵌入式模製光學模組集成之光學封裝
本發明係有關使用嵌入式模製光學模組集成之光學封裝。
隨著在資料中心中之資料速率需求持續地增加以為顯著增加的終端使用者行動裝置資料使用提供空間,高性能光學模組及系統的開發對於滿足這些需求是關鍵的。然而,隨著矽光子解決方案已開始進入市場,光學封裝已變為重大障礙,這是因為目前光學封裝方式之各種缺點驅動產品成本相當大的部分。
光學模組通常指稱用於高頻寬資料通訊之光學收發器。例如,光學模組可包括用以與主機計算裝置或系統通訊之電介面、連同用以經由光纖光學纜線而外部地通訊之光學介面。以此方式,光學模組作用為光電轉換器,其將電信號轉換為光且反之亦然。通常,光學模組包括光子積體電路(PIC)及電子積體電路(EIC)。PIC可包括用於提供光子功能(例如,光學傳輸)之各種組件,諸如光學調變器、光檢測器、及光纖耦合器。此外,EIC可包括用以控制或介接PIC之各種組件,諸如驅動器及放大器。
隨著在資料中心中之資料速率需求持續地增加以為顯著增加的終端使用者行動裝置資料使用提供空間,高性能光學模組及系統的開發對於滿足這些需求是關鍵的。然而,隨著矽光子解決方案已開始進入市場,光學封裝已變為極大挑戰,因為其驅動產品成本之相當大的部分。通常,晶粒級及板級光學封裝已為主要方式,但其具有各種缺點。
例如,單一晶粒至晶粒光學封裝具有由用於封裝之較長總循環時間所造成的高成本。此外,由於在封裝程序期間的處置問題跟污染,有可能在晶粒至晶粒光學封裝中產量低。
另一範例是,現存有各種技術限制阻礙較小形狀因數之高性能光學模組的集成。隨著針對許多應用(例如,高頻寬及頻寬密度、輸入/輸出(I/O)計數,等等)之需求持續增加,尤其如此,諸如用於資料中心切換系統之共封裝光學設備(CPO)、人工智慧(AI)、CPU至CPU(記憶體)光學互連、自動車、行動裝置、穿戴式裝置,等等。
再者,介於光子裝置與電子裝置之間的接合佈線導致信號完整性及電I/O計數受限,且在印刷電路板(PCB)上之信號傳輸線降低了信號頻寬及I/O計數。
有效的散熱對於高性能光學模組亦為關鍵的。然而,當封裝光學模組具有含突起建築塊之複雜形狀時,設計散熱槽將極富挑戰性。
再者,即使有利用扇出晶圓級封裝(FOWLP)之各種光學封裝方式,但這些方式遇到以下有關之各種問題:耦合連接器與光纖陣列之處置、光學封裝之耐用性、及在FOWLP和光學封裝程序期間之光學介面的污染或損害。
例如,一種方式涉及在FOWLP程序中將電子積體電路(EIC)嵌入電介質層模製層中,且接著在FOWLP晶粒之頂部表面上分開組裝矽光子積體電路(Si-PIC)及光纖陣列。然而,因為僅EIC被集成至FOWLP晶粒中,所以封裝模組並非一樣強韌。此外,用以組裝Si-PIC及光纖陣列所需的分開程序增加了封裝時間及相關成本。
另一方式涉及在FOWLP晶粒上之模具中嵌入EIC及Si-PIC兩者,及接著對準光纖陣列與Si-PIC之光學耦合器,當作封裝程序之最後步驟,其被稱為「光學最後」光學封裝。此「光學最後」方式具有各種缺點。例如,用於光學耦合之組件(諸如光學耦合連接器或光纖陣列)未被嵌入在具有Si-PIC及EIC之模具中,其弱化所得光學模組之強韌性。此外,在FOWLP程序期間,因為Si-PIC之耦合器尚未與光學耦合組件或光纖陣列耦合,所以其易於遭受來自缺乏適當防護之模製流的污染及破壞。然而,可具挑戰性的是最佳化FOWLP程序以保護耦合器並防止污染。
另一方式涉及將光纖插入Si-PIC之背側上的盲通孔(BVS)中,用於與Si-PIC之光子耦合器耦合。例如,BVC被形成在Si-PIC之背側處,且EIC與Si-PIC被晶圓級集成以具有較佳的RF性能及較高的頻寬密度。然而,此方式要求光纖陣列被垂直地插入至PIC之背側中,其使得經封裝模組具有較大的輪廓。此使得與標準外殼之全模組集成極有挑戰性。此外,插入至Si-PIC中之懸掛光纖陣列產生處置問題,由於對大量生產時之外力的易損性。
因此,本揭露提出消除目前方式之缺點的各種光學封裝實施例。這些各個實施例利用晶圓級或面板級嵌入式模製(EIM)程序以集成光學模組(包括扇出晶圓級封裝(FOWLP))、嵌入式多晶粒互連橋(EMIB)封裝、及全向互連(ODI)封裝。在許多實施例中,光學模組之建築塊─諸如EIC及Si-PIC、連同可插入式光學耦合連接器(POCC)─被完全地嵌入在模具中。POCC被設計以提供使用單石製造之準直透鏡的擴張光束準直、連同經由可插入式光纖陣列單元(FAU)的被動光學對準。POCC亦被封裝在封裝程序之早期階段中,在文中稱為「光學最先」,如相對於「光學最後」,其中透鏡/鏡陣列被組裝為最後步驟其中一者。這些實施例亦提供各種機制以保護POCC及光學介面不受封裝/模製程序期間之污染。
這些實施例提供多個優點。例如,因為POCC係客製化裝置且完全地嵌入在模具中,所以集成光學模組具有具備高強韌性之小巧形狀因數。POCC/PFAU亦消除相關於懸掛光纖之處置問題,在一些光學封裝處理中。
再者,藉由針對光學模組之集成調適晶圓級和面板級EIM程序,這些實施例最大化晶圓/面板處理能力之優點─諸如精細線寬度/節距製造─以高精確度。這些實施例亦增進電I/O之頻寬密度,其對於未來高性能光學互連應用之集成係基本的,諸如共封裝光學(CPO)及人工智慧(AI)、及CPU至CPU(記憶體)光學互連。個別POCC之小巧設計亦維持/增進光學I/O密度,即使具有併入POCC設計中之擴張光束準直特徵。
此外,RF性能係藉由最佳化在橋晶粒或再分佈層(RDL)中之RF信號傳輸線來增進(經由高精確度製造),因此消除使用接合佈線於互連之需求。此導致對外力具有高抗性的高度強韌光學模組。
藉由使用「光學最先」方式以晶圓級組裝PIC及POCC,由晶粒至晶粒及晶粒至板光學封裝所造成的污染及損害被消除。此增進總循環時間及產量,且進一步節省成本,由於完整封裝程序被履行在晶圓/面板級。再者,通至光學模組之外部光學互連係藉由僅被動地插入FAU連接器來形成。
此解決方案亦提供針對半導體產品之生產的各種成本相關優點,包括: 1. 較短循環時間及每小時較高單元數(UPH)。例如,單一晶圓/面板可具有數十或甚至數百經封裝光學模組,且已知良好封裝(KGP)可在較早階段被選擇。 2. 增進的RF信號完整性。 3. 藉由在一晶圓/面板中盡可能靠近地合併所有必要組件之小巧形狀因數。 4. 無基材集成光學模組,其係藉由回流及共晶或覆晶接合程序而可表面安裝的。例如,所製造的光學模組具有平坦頂部表面,其使得易於設計一種提供有效熱管理的熱槽。
本揭露中之各個實施例通常落入以下範疇之一者中,其被更詳細地描述在以下的相應區段中: 1. 使用通至Si-PIC之背側的重新定向光束之嵌入式模製(EIM)光學封裝; 2. 使用混合光學/電中介層之嵌入式模製(EIM)光學封裝;及 3. 使用全向互連(ODI)處理之嵌入式模製光學封裝。 使用通至 Si-PIC 之背側的重新定向光束之嵌入式模製 (EIM) 光學封裝
1繪示具有嵌入式模製(EIM)光學封裝之光學模組100的範例實施例,該EIM光學封裝重新指定光束至/自矽光子積體電路(Si-PIC) 110之背側。在所示的實施例中,EIM光學模組100包括電子積體電路(EIC) 108、Si-PIC 110、及可插入式光學耦合連接器(POCC) 120,其所有均使用嵌入式模製(EIM)組裝程序而被完全地嵌入聚合物模具102中,如以下之進一步描述。
EIC 108可包括任何類型的電子積體電路,諸如轉換阻抗放大器(TIA)、驅動器電路,等等。Si-PIC 110被用以透過光纖138來傳送並接收光學信號。POCC 120係配合可插入式光纖陣列單元(PFAU) 130來使用以提供介於Si-PIC 110與光纖138之間的光學耦合。例如,光纖陣列單元(FAU),其亦可被稱為光纖陣列,通常係用於光學傳輸之光纖的陣列,諸如用於耦合介於Si-PIC 110與外部光纖138之間的光。在一些情況下,光纖陣列可被形成在一束光纖之末端處而非涵蓋整個光纖長度。此外,在一些實施例中,POCC 120及/或PFAU 130係玻璃裝置,其可經由可插入式介面而被連接且包括用以準直、重新聚焦、及/或反射/重新指定介於Si-PIC 110與光纖138之間的光束之各種透鏡/鏡,如進一步描述於下。
在所示的實施例中,EIC 108、Si-PIC 110、及POCC 120係使用嵌入式模製(EIM)組裝程序而被完全地嵌入聚合物模具102中。模具102可包括任何聚合物及/或電介質模製材料。EIC 108及Si-PIC 110被面向下地對準在模具102中且經由再分佈層(RDL) 104而被電連接,該再分佈層係用以將光學模組100之不同部分相互電連接及/或與其他組件電連接的金屬互連(例如,銅(Cu))之層。POCC 120被對準至Si-PIC 110之背側且進一步與PFAU 130介接,該PFAU被設計以插入至POCC 120中來提供被動光學對準。
Si-PIC 110包括雷射二極體(LD)/調變器(LD-MOD) 112、光二極體(PD) 113、及耦合器111。LD-MOD 112被用以傳輸光學信號,而PD 113被用以接收光學信號。
針對光學傳輸,LD-MOD 112產生雷射光束139,其被重新指定90度且發射自Si-PIC 110之背側。光束139接著進入POCC 120,其中光束通過準直透鏡122,其係經設計以準直(例如,窄化/對準)光束139之彎曲鏡或透鏡。經準直光束139接著進入PFAU 130,其包括重新聚焦透鏡132,用以重新聚焦光束139、以及45度角的總內反射(TIR)鏡135,用以將光束139反射並聚焦至光纖138中以供傳輸(例如,單模式光纖(SMF))。例如,45度角的TIR鏡125正交地以約略90度將光束反射至光纖138中。
接收光學信號之程序係類似的,除了光束139以反方向行進。例如,光束139透過光纖138而被接收並通過PFAU 130及POCC 120,且接著至Si-PIC 110之背側中,在該時點光二極體(PD) 113將光束139轉換成電信號以供進一步處理。
用於光學模組100之光學封裝方式提供各種優點。例如,藉由將光學模組100之關鍵組件完全地嵌入模具102(例如,EIC 108、Si-PIC 110、及POCC 120)中,經組裝模組具有強韌且小巧的形狀因數而無懸掛光纖。光學模組100亦可被覆晶或回流組裝至印刷電路板。
此外,PFAU 130係藉由簡單插入而被動地對準至POCC 120,其消除與具有直接對準至Si-PIC之懸掛光纖陣列的FAU相關聯的處置問題。此可插入式光學耦合方式亦減少成本,因為PFAU 130可使用一般精確度對準器而在生產期間被對準,其係相對價格不貴且亦增加生產率(例如,每小時單元數(UPH))。
再者,藉由將Si-PIC 110面向下放置在模具102中,穿越矽通孔(TSV)─其加諸對於Si-PIC晶圓之最大厚度的限制─係不需要的,針對與Si-PIC之電互連。因此,Si-PIC之厚度可被維持在500 μm之上,其增加成本效率並改善處置問題。
特別地,增加Si-PIC 110之厚度導致在POCC 120中由準直透鏡122所產生之經準直光束的較大模式場直徑(MFD)。因為矽具有相對高的折射指數,所以藉由矽之折射光束角係相對小的且通常將需要相對長的光路徑來獲得所要求的模式場直徑(MFD)。
2A-F繪示圖1之光學模組100的範例光學封裝程序,而 3繪示圖2A-F之光學封裝程序的範例程序流300。
在程序流300中,光學封裝程序開始在區塊302,藉由沈積犧牲層103在載體晶圓101上。接下來,下方凸塊金屬化(UBM)被形成在犧牲層103上,連同再分佈層(RDL) 104及銅(Cu)柱凸塊105。所得結構被顯示在圖2A中。
在區塊304,EIC 108及Si-PIC 110被取放式對準─面向下─在RDL 104及UBM 105上。所得結構被顯示在圖2B中。
在區塊306,POCC 120係利用高精確度對準器而被對準至Si-PIC 110之背側,使得Si-PIC 110與POCC 110之基準123被對準。基準標記123可係圓形銅標記,其被使用為用於藉由取放式組裝機器之對準的參考點。紫外線(UV)-可硬化環氧樹脂被接著塗敷並硬化至Si-PIC 110上之經對準POCC 120的邊緣。POCC 120亦包括暫時蓋,用以保護空腔內部免受程序流之下一階段中的模製流。所得結構被顯示在圖2C中。
在區塊308,覆模被用以將EIC 108、Si-PIC 110、及POCC 120嵌入模具102中,使用聚合物模製材料。所得結構被顯示在圖2D中。
在區塊310,頂部表面被研磨以薄化晶圓且暴露POCC 120空腔。所得結構被顯示在圖2E中。
在區塊312,載體晶圓101被釋放,球陣列106被形成,且單片化被履行以分離/隔離所得模組100。最後,PFAU 130被插入至POCC 120中且被環氧樹脂密封以產生介於Si-PIC 110與光纖138之間的光學連接。例如,針對光學連接,POCC 120包括準直透鏡122,用以將光束一路準直至PFAU 130。再者,PFAU 130包括重新聚焦透鏡132,用以重新聚焦經準直光束、連同45度角TIR鏡135,用以光束反射90度,其中光束被接著耦合至單模式光纖(SMF) 138的核心,其係使用V形槽(未顯示)而被精確地嵌入PFAU 130中。已完成光學模組100被顯示在圖2F中。
4繪示具有嵌入式模製(EIM)光學封裝之光學模組400的替代實施例,該EIM光學封裝重新指定光束至/自矽光子積體電路(Si-PIC) 110之背側。在所示的實施例中,準直透鏡122被直接地製造在Si-PIC 110之背側上,而POCC 120─其作用為PFAU 130之插座─被精確地對準至準直透鏡122。各別組件(例如,EIC 108、Si-PIC 110、及POCC 120)係使用EIM程序而被完全地嵌入聚合物模具102中。用於光學模組400之光學封裝程序係類似於光學模組100之圖2-3中所示的程序,除了其在將Si-PIC 110放置/接合在載體晶圓101上之後,POCC 120必須被精確地對準至Si-PIC 110之背側上的準直透鏡122並以環氧樹脂黏著劑固定。
在EIM程序完成之後(例如,組件已被嵌入模具102中且POCC 120之頂部已被研磨掉以暴露導引空腔),PFAU 130藉由插入至POCC 120中而被被動地對準,因此提供介於Si-PIC 110的耦合器111與光纖138之間的光學耦合。 使用混合光學 / 電中介層之嵌入式模製 (EIM) 光學封裝
此段落提出具有使用混合光學/電中介層之嵌入式模製(EIM)光學封裝的光學模組之各個實施例。再者,所述之實施例包括具有邊緣耦合器及垂直耦合器兩者之矽(Si)光子積體電路(Si-PIC)。例如,光纖至晶片耦合器係一種可傳輸並導引光之被動裝置,其被用於平面波導電路(例如,Si-PIC)與光纖之間的光之耦合。這些耦合器通常係以邊緣耦合器(例如,平面中耦合/直接耦合)及垂直耦合器(例如,平面外耦合)之形式,其係基於Si-PIC與光纖或其他中間耦合結構或組件的相對位置。圖5-11中之實施例包括具有邊緣耦合器111(例如,平面中耦合/直接耦合)之Si-PIC 110,而圖12-20中之實施例包括具有垂直耦合器111(例如,平面外耦合)之Si-PIC 110。
5繪示具有嵌入式模製(EIM)光學封裝之光學模組500的範例實施例,其使用混合光學/電中介層114作為用於光學及電互連之基線封裝結構。在一些實施例中,例如,混合中介層114係由其可由光學波長穿透之玻璃或任何其他適當材料製成。混合中介層114亦包括以銅及/或任何其他適當金屬填充的通孔(諸如穿越玻璃通孔(TGV) 107),用以提供介於EIC 108、Si-PIC 110、及光學模組500的其他組件之間的電互連。
再者,混合中介層114包括內建光學耦合組件,用以致能介於Si-PIC 110與外部光纖陣列138之間的光學互連。在所示的實施例中,例如,玻璃混合中介層114包括光學波導陣列118。波導118係一種結構,其藉由限制在特定方向上之能量的傳輸來以最小能量損失導引電磁波(例如,光波或光束)。在所示的實施例中,光學波導陣列118被製造在玻璃混合中介層114中,用於經由直接耦合而與Si-PIC 110之邊緣耦合器111光學耦合。波導陣列118之另一端延伸入混合中介層114中之導引孔117,其作用為在外部多光纖推入式(MPO)連接器136上之導引管腳137的可插入式介面。以此方式,波導陣列118可被被動地連接至MOP連接器136中之外部光纖陣列138,經由可插入式導引孔117及導引管腳137組合。
6A-F繪示圖5之光學模組500的範例光學封裝程序,而 7繪示圖6A-F之光學封裝程序的範例程序流700。
在702,犧牲層103被沈積在載體晶圓101上。接下來,下方凸塊金屬化(UBM)被形成在犧牲層103上,連同用於再分佈層(RDL) 104之Cu柱凸塊105及將由混合中介層114所提供之其他互連。所得結構被顯示在圖6A中。
在704,混合中介層114被置放在且接合至載體晶圓/面板101上(例如,使用晶粒至晶圓(D2W)接合;例如,載體晶圓/面板上之晶粒的重建)。混合中介層114包括用於垂直及水平電互連之穿越玻璃通孔(TGV) 107,其係與形成在犧牲層103上之凸塊105對準。此外,中介層114包括暫時封蓋126,用以覆蓋導引孔117以供在覆模程序期間保護免於模製流。封蓋126後續將在切割程序期間被移除,以暴露/打開導引孔117。所得結構被顯示在圖6B中。
在706,EIC 108及Si-PIC 110被取放式對準並接合─面向下─在混合中介層114上,利用介於Si-PIC 110的邊緣耦合器111與中介層114的波導陣列118之間的光學對準。在一些實施例中,為了光學對準之目的,Si-PIC 110被附接與高精確度晶粒接合器(例如,具有在3-sigma處優於0.5 μm之準確度)。所得結構被顯示在圖6C中。
在708,在Si-PIC 110已與中介層114對準之後,介於Si-PIC 110的耦合器陣列111與中介層114的波導陣列118之間的間隙被填充以光學環氧樹脂116,其在Si-PIC 110所操作之波長處對於電磁/光學信號係透明的。接下來,覆模被用以將EIC 108、Si-PIC 110、及混合中介層114嵌入模具102中,使用聚合物模製材料。所得結構被顯示在圖6D中。
在710,模具102之頂部表面被研磨,導致圖6E中所示之結構。
在712,載體晶圓101被釋放且球陣列106被形成在中介層114下方。接著履行單片化以分離/隔離所得模組500,並移除暫時封蓋126以打開導引孔117。最後,MPO 136經由導引管腳137及導引孔117而被插入至中介層114中,因此產生介於Si-PIC 110的邊緣耦合器111與MPO 136中的外部光纖陣列138之間的光學連接。已完成光學模組500被顯示在圖6F中。
8A-E繪示具有使用混合光學/電中介層114、可插入式光學耦合連接器(POCC) 120、及可插入式光纖陣列單元(PFAU) 130之嵌入式模製(EIM)光學封裝的光學模組800之範例實施例。
特別地,圖8A顯示光學模組800之橫斷面視圖,該光學模組包括EIC 108、Si-PIC 110、混合中介層114、POCC 120、及PFAU 130。POCC 120被耦合至Si-PIC 110之邊緣耦合器111,且使用POCC 120內部之準直透鏡122而達成光束準直。EIC 108及Si-PIC 110背面向下組裝在混合光學/電中介層114上,其包括用於電互連之穿越玻璃通孔(TGV) 107。混合中介層114亦被設計以與POCC 120集成,該POCC係具有光束準直特徵之可插入式光學耦合連接器。再者,EIC 108、Si-PIC 110、中介層114、及POCC 120係使用嵌入式模製(EIM)程序(諸如覆模)而被全部嵌入模具102中。
POCC 120及PFAU 130之設計及組裝結構被顯示在圖8B-E中。例如,圖8B顯示無封蓋126之POCC 120的三維(3D)視圖。如圖8B中所示,POCC 120包括僅前側打開之中空空腔,其作用為PFAU 130之可插入式介面。再者,準直透鏡陣列122被製造在POCC 120之內部表面上,其被用以準直來自Si-PIC 110之耦合器陣列111的光束(當POCC 120與耦合器陣列111對準時)。
圖8C顯示具有封蓋126之POCC 120的3D視圖。特別地,POCC 120需在文中所述之光學封裝程序(例如,FOWLP、EMIB、及ODI程序)期間被保護免於模製流。因此,暫時封蓋126被用以在嵌入式模製(EIM)程序期間覆蓋POCC 120空腔,且封蓋126後續在切割程序期間被移除,因此重新打開POCC 120之空腔讓PFAU 130得以被插入。
圖8D顯示PFAU 130之3D視圖,其包括重新聚焦透鏡132、光學隔離器133、及嵌入在V形槽134中之光纖陣列138。重新聚焦透鏡132(其被製造在PFAU 130之前表面上)被用以將來自POCC 120之經準直光束重新聚焦至單模式光纖(SMF)陣列138之核心中。光學隔離器133係一種光學組件(例如,光學二極體),其容許僅在一個方向上之光的傳輸,因此限制光能夠行進的方向。以此方式,隔離器133迫使光束以特定方向傳播,因此避免由光束反射所造成之不想要的光學回饋或雜訊。在所示的實施例中,隔離器133被定位在PFAU 130中的重新聚焦透鏡132與光纖陣列138之間。
圖8E顯示經插入至POCC 120中之PFAU 130的3D視圖。特別地,PFAU 130藉由被動地插入至POCC 120之空腔中而被光學地對準。
9A-F繪示圖8之光學模組800的範例光學封裝程序,而 10繪示圖9A-F之光學封裝程序的範例程序流1000。
在1002,犧牲層103被沈積在載體晶圓101上。接下來,下方凸塊金屬化(UBM)被形成在犧牲層103上,連同用於再分佈層(RDL) 104之Cu柱凸塊105及將由混合中介層114所提供之其他互連。所得結構被顯示在圖9A中。
在1004,混合中介層114被置放在(且接合至)載體晶圓101上(例如,使用晶粒至晶圓(D2W)接合),使得中介層114之穿越玻璃通孔(TGV) 107與形成在犧牲層103上之相應凸塊105對準,以供RDL 104及其他互連。所得結構被顯示在圖9B中。
在1006,EIC 108及Si-PIC 110被置放面向下在(且接合至)其對準各個組件之各別互連的混合中介層114上。所得結構被顯示在圖9C中。
在1008,POCC 120被置放且對準在其相鄰於Si-PIC 110之光學耦合器111的中介層114上。POCC 120具有在側邊上之導引空腔(例如,用以致能與PFAU 130之水平耦合),其係以暫時蓋126覆蓋,用於保護在嵌入式模製(EIM)程序期間免於模製流。接下來,介於Si-PIC 110的光學耦合器111、中介層114、與POCC 120之間的間隙被填充以光學環氧樹脂116。覆模被接著用以將EIC 108、Si-PIC 110、及混合中介層114嵌入模具102中,使用聚合物模製材料。所得結構被顯示在圖9D中。
在1010,模具102之頂部表面被研磨,導致圖9E中所示之結構。
在1012,載體晶圓101被釋放,且球陣列106被形成在中介層114下方。接著履行單片化以分離/隔離所得模組800,以及並移除暫時封蓋126以打開POCC 120之側上的導引空腔。最後,PFAU 130被插入至POCC 120中,因此產生介於Si-PIC 110的邊緣耦合器111與PFAU 130中的光纖陣列138之間的光學連接。已完成光學模組800被顯示在圖9F中。
11 A-E繪示具有使用混合光學/電中介層114、可插入式光學耦合連接器(POCC) 120、及可插入式光纖陣列單元(PFAU) 130之嵌入式模製(EIM)光學封裝的光學模組1100之替代實施例。特別地,圖11A顯示光學模組1100之橫斷面視圖。圖11B顯示無封蓋126之POCC 120的三維(3D)及側視圖。圖11C顯示具有封蓋126之POCC 120的3D視圖,用於保護免於模製流。圖11D顯示PFAU 130之3D及側視圖。圖11E顯示經垂直地插入至POCC 120之頂部中的PFAU 130之3D及側視圖。
在所示的實施例中,光學模組1100係類似於圖8A之光學模組800,除了POCC 120之導引空腔係打開在上垂直方向─而因此PFAU 130從垂直方向被插入至POCC 120中─且多個總內反射(TIR)鏡125、135被用以重新指定光束從Si-PIC 110之耦合器111(通過POCC 120及PFAU 130)至光纖核心138中,如下之進一步描述。
在所示的實施例中,POCC 120包括有角度的總內反射(TIR)鏡125及其內部的準直透鏡122、連同用於對準的基準123,如圖11B中所示。PFAU 130包括重新聚焦透鏡132、有角度的TIR鏡135、光學隔離器133、及嵌入V形槽134中之光纖陣列138如圖11D中所示。
如圖11A中所描繪,來自Si-PIC 110之邊緣耦合器111的光束進入POCC 120且藉由在垂直向上方向上之有角度的TIR鏡125而被90度反射,其中光束接著藉由準直透鏡122而被準直。經準直光束接著進入PFAU 130,其中重新聚焦透鏡132將光束重新聚焦至另一有角度的TIR鏡135中,其將光束重新反射/重新指定90度而至單模式光纖(SMF)陣列138之核心中,因此產生介於Si-PIC 110的耦合器111與光纖陣列138之間的光學連接。
用於光學模組1100之光學封裝程序係類似於用於光學模組800的圖9-10中所示之程序流,除了其因為POCC 120係從頂部(用於垂直插入)而非從側邊(用於水平插入)打開,所以用於模製流保護之封蓋126被置放在POCC 120之頂部上而非在側邊上。
12 A-D繪示具有使用混合光學/電中介層114以及可插入式光學耦合連接器(POCC) 120(具有集成光纖陣列138)之嵌入式模製(EIM)光學封裝的光學模組1200之範例實施例。特別地,圖12A顯示光學模組1200之橫斷面視圖。圖12B顯示包括POCC 120之光學模組1200的部分之三維(3D)視圖。圖12C顯示具有封蓋126之POCC 120的3D視圖,用於保護免於模製流。圖12D顯示具有嵌入V形槽134中之光纖陣列138的POCC 120之3D視圖。
在所示的實施例中,光學模組1200係類似於圖8A之光學模組800,除了其混合中介層114包括用於光束準直之金屬電鍍的拋物線反射鏡119,且POCC 120包括重新聚焦透鏡132及V形槽134中之嵌入式光纖陣列138,因此免除分離的可插入式FAU (PFAU)組件。
特別地,混合(例如,玻璃)中介層114包括金屬塗佈的拋物線反射鏡119─亦稱為微透鏡─用於光束準直,其被直接地製造為中介層114之部分。
在所示的實施例中,POCC 120係中空玻璃塊,其包括重新聚焦透鏡132及用於光纖陣列138置放之V形槽134。此外,POCC 120被置放在中介層114上,使得重新聚焦透鏡132係與由中介層114中之拋物線鏡119所產生的經準直光束對準。
POCC 120之前側係打開的,而因此在蝕刻及製造程序期間,POCC 120之開口被暫時地用蓋126封蓋以保護內空腔免於模製流。在覆模及其他後端程序完成之後,蓋126在單片化程序期間被移除,因此打開POCC 120空腔以供光纖陣列138之置放在V形槽134中。
13 A-E繪示圖12之光學模組1200的範例光學封裝程序,而 14繪示圖13A-E之光學封裝程序的範例程序流1400。
在1402,犧牲層103被沈積在載體晶圓101上。接下來,下方凸塊金屬化(UBM)被形成在犧牲層103上,連同用於再分佈層(RDL) 104之Cu柱凸塊105及將由混合中介層114所提供之其他互連。EIC 108及Si-PIC 110被接著置放面向下在(且接合至)其對準各個組件之各別互連的混合中介層114上。如上所述,中介層114包括金屬電鍍的拋物線鏡119,用以準直由Si-PIC 110之耦合器111所產生的光束。因此,Si-PIC 110最好是使用高精確度對準器(例如,具有在3-sigma處優於0.5 μm之準確度)來對準以確保光束之高度準確對準至拋物線鏡119。所得結構被顯示在圖13A中。
在1404,POCC 120被置放在混合中介層114上,其中重新聚焦透鏡陣列132與來自拋物線鏡119之經準直光束對準,以利增進的對準容許度。POCC 120接著使用UV可硬化環氧樹脂而被接合在中介層114之溝槽的頂部表面上。POCC 120亦以暫時蓋126密封來保護內空腔在覆模程序期間免於模製流。所得結構被顯示在圖13B中。
在1406,光學環氧樹脂116被塗敷以填充介於Si-PIC 110的光學耦合介面111、拋物線鏡119、與POCC 120的重新聚焦透鏡132之間的間隙。覆模被接著用以將EIC 108、Si-PIC 110、混合中介層114、及POCC 120嵌入模具102中,使用聚合物模製材料。所得結構被顯示在圖13C中。
在1408,模具102之頂部表面被研磨以薄化該封裝且暴露POCC 120開口,以供光纖陣列138之後續置放。所得結構被顯示在圖13D中。
在1410,載體晶圓101被釋放,且球陣列106被形成在中介層114下方。單片化被接著履行以分離/隔離所得模組1200。在單片化期間,POCC封蓋126亦被移除以在水平方向上打開POCC 120,使其較易於將光纖陣列138置放在V形槽134中。光纖陣列138被接著置放在POCC 120之V形槽134中並以環氧樹脂黏著劑固定,因此產生介於Si-PIC 110的耦合器111與光纖陣列138之間的光學連接。已完成光學模組1200被顯示在圖13E中。
15 -18繪示具有使用混合光學/電中介層114、Si-PIC 110(具有用於光學對準之垂直耦合器111)、及光束準直特徵之嵌入式模製(EIM)光學封裝的光學模組之其他實施例。
15中所示之實施例中,光學模組1500包括混合玻璃中介層114,其具有用以將光束從垂直耦合器111以水平方向偏轉90度至POCC 120中之有角度的TIR鏡115。POCC 120及PFAU 130具有如圖8A-E之光學模組800中的相同設計。因此,集成程序係類似的,除了中介層114包括有角度的TIR鏡115以將光束從耦合器111偏轉90度至POCC 120中。
16中所示之實施例中,光學模組1600包括混合玻璃中介層114,其具有用以水平地偏轉光束90度之有角度的TIR鏡115,但該光束係藉由POCC 120中之有角度的TIR鏡125而被再次以垂直方向偏轉。POCC 120及PFAU 130具有如圖11A-E之光學模組1100中的相同設計。因此,集成程序係類似的,除了中介層114包括有角度的TIR鏡115以將光束從耦合器111偏轉90度至POCC 120中。
17中所示之實施例中,光學模組1700包括準直透鏡122,其係直接地附接至Si-PIC 110且與Si-PIC 110之垂直耦合器111光學地對準。EIC 108、及具有已附接準直透鏡122之Si-PIC 110係使用嵌入式模製(EIM)程序而被組裝在中介層114上。中介層114包括重新聚焦透鏡132、連同光學波導118,其從重新聚焦透鏡132延伸至中介層114之側面或導引孔117中,其被用以產生與外部MPO光纖纜線(例如,圖5之MPO 136)之被動光學互連。
18中所示之實施例中,光學模組1800具有相較於本揭露之其他實施例的不同光學封裝結構,特別是相關於Si-PIC 110中之穿越矽通孔(TSV) 107的使用。例如,Si-PIC 110包括用於與EIC 108之電互連的TSV 107。Si-PIC 110被置放面向上,而EIC 108被覆晶接合面向下在Si-PIC 110上,其中電連接係藉由Si-PIC 110中之TSV 107而被形成在EIC 108與Si-PIC 110之間。POCC 120亦被直接地組裝在Si-PIC 110上,其中POCC 120之準直透鏡122係精確地對準至Si-PIC 110之耦合器111。再者,Si-PIC 110、連同EIC 108及組裝在Si-PIC 110上之POCC 120係使用嵌入式模製(EIM)程序而被完全地嵌入模具102中,且焊球106形成在Si-PIC 110下方。PFAU 130(其包括有角度的TIR鏡135)、隔離器(未顯示)、及嵌入式光纖陣列138可係被動地插入至POCC 120以產生與外部光纖之光學互連。
19 A-I繪示圖18之光學模組1800的範例光學封裝程序,而 20繪示圖19A-I之光學封裝程序的範例程序流2000。
在2002,Si-PIC 110被置放面向上在載體晶圓101上,如圖19A中所示。
在2004,EIC 108被覆晶接合面向下在Si-PIC 110上,其對準至Si-PIC 110中之TSV 107,用於在EIC 108與Si-PIC 110之間的電互連。POCC 120亦被接合在Si-PIC 110上,其中POCC 120之準直透鏡122係精確地對準至Si-PIC 110之耦合器111。此外,POCC 120之頂部表面係以暫時封蓋126保護,以防止來自模製流之污染。所得結構被顯示在圖19B中。
在2006,覆模被履行以將Si-PIC 110、EIC 108、及POCC 120嵌入聚合物模具102中,如圖19C中所示。
在2008,載體晶圓101被脫離,如圖19D中所示。
在2010,TSV 107係藉由薄化/研磨Si-POC 110之背側而被暴露,如圖19E中所示。
在2012,模具102之頂部表面被研磨以暴露POCC 120空腔,如圖19F中所示。
在2014,下方凸塊金屬化(UBM)、連同用於再分佈層(RDL)之凸塊105和焊球106以及其他互連被形成在Si-PIC 110之背側上,如圖19G中所示。
在2016,單片化被履行以分離/隔離所得模組1800,如圖19H中所示。已完成光學模組1800被顯示在圖19I中。 使用全向互連 (ODI) 處理之嵌入式模製光學封裝
在一些實施例中,全向互連(ODI)程序被用於光學模組之電子-光子異質集成,藉由將EIC 108及Si-PIC 110、以及甚至POCC 120嵌入模具102中,如結合以下實施例所顯示及描述於下。
21 A-G繪示具有嵌入模具中之EIC 108、Si-PIC 110、及可插入式耦合連接器(POCC) 120的光學模組2100之範例全向互連(ODI)封裝程序,而 22繪示用於圖21A-G之光學封裝程序的範例程序流2200。
在所示的實施例中,光學模組2100係使用ODI/EIM封裝程序而被集成,其中EIC 108、Si-PIC 110、及POCC 120被嵌入具有光束準直及可插入式光學封裝特徵之模具102中,如以下所描述。
在2202,柱109被形成在玻璃載體晶圓101之犧牲層103上,如圖21A中所示。
在2204,Si-PIC 110被置放在載體晶圓101上,而Si-PIC 110之光學耦合器111係以犧牲膜103覆蓋以保護免於模製流。Si-PIC 110(具有塗敷至光學耦合器111以供保護之犧牲膜103)被接著嵌入模具102中,連同柱109。所得結構被顯示在圖21B中。
在2206,形成光可成像電介質(PID)增建層140,其中犧牲膜插入103位在將放置POCC 120之區域。接下來,微邏輯凸塊(MLB) 105被製造在PID增建層140上,且接著EIC 108被接合在MLB 105上以與Si-PIC 110互連。所得結構被顯示在圖21C中。
在2208,犧牲層103被移除自PID增建層140,如圖21D中所示。
在2210,POCC 120被組裝在Si-PIC 110之上。特別地,POCC 120具有在空腔中之準直透鏡122,用以耦合並準直來自Si-PIC 110之耦合器111的光束。因此,POCC 120之準直透鏡122被對準至Si-PIC 110之耦合器111。POCC 120之頂部亦以暫時封蓋126密封覆蓋來保護內部空腔在ODI程序期間免於模製材料。接下來,光學環氧樹脂被塗敷以覆蓋Si-PIC 110之耦合器111,其在光學模組所操作的光束之波長處係透明的。替代地,環氧樹脂可被塗敷至POCC 120之周邊,在POCC 120被對準至Si-PIC 110之耦合器111以後。在此情況下,環氧樹脂無須在工作波長處係透明的。所得結構被顯示在圖21E中。
在2212,在POCC 120之對準及組裝後,覆模被履行以將EIC 108及POCC 120嵌入模具102中。接下來,頂部表面被研磨以從POCC 120移除暫時封蓋126並打開導引空腔。所得結構被顯示在圖21F中。
在2214,載體晶圓101被移除,且RDL 104、凸塊105、及焊球106被製造在集成模組之封裝側凸塊(PSB)側處。最後,單片化被履行以分離/隔離所得模組1800。已完成光學模組2100被顯示在圖21G中。
23 A-G繪示用於具有EIC 108及Si-PIC 110(具有嵌入模具中之垂直耦合器111)的光學模組2300之範例全向互連(ODI)封裝程序,而 24繪示用於圖23A-G之光學封裝程序的範例程序流2400。
在所示的實施例中,光學模組2300係使用ODI/EIM封裝程序而被集成,其中EIC 108及Si-PIC 110被嵌入模具102中,其具有保護膜103以在模製程序期間覆蓋Si-PIC 110之垂直耦合器111。
在2402,柱109及微邏輯凸塊(MLB) 105被形成在玻璃載體晶圓/面板101之犧牲層103上,如圖23A中所示。
在2404,柱109及微邏輯凸塊(MLB) 105被嵌入第1模具102中,如圖23B中所示。
在2406,光可成像電介質(PID)增建層140被形成,且微邏輯凸塊(MLB) 105被製造在PID增建層140上。接下來,EIC 108及Si-PIC 110被附接/接合至PID增建層140上之MLB介面105,且Si-PIC 110之垂直耦合器111以犧牲保護膜103被覆蓋以供保護免於模製流。所得結構被顯示在圖23C中。
在2408,覆模被履行以將EIC 108及Si-PIC 110(具有在耦合器111上之保護膜103)嵌入第2模具102中,連同頂部表面研磨及背側金屬化(留下保護膜103在耦合器111上)。所得結構被顯示在圖23D中。
在2410,載體晶圓101被移除,且RDL 104、凸塊105、及焊球106被製造在集成模組之封裝側凸塊(PSB)側處。所得結構被顯示在圖23E中。
在2412,雷射剖削被履行且耦合器區111經由雷射碎裂而被顯露(其中仍塗敷保護膜103),如圖23F中所示。
在2414,單片化被履行以分離/隔離所得模組2300,且保護膜103被移除自耦合器111以致能光纖陣列單元(FAU)耦合(未顯示)。已完成光學模組2300被顯示在圖23G中。
25繪示具有使用全向互連(ODI)封裝之EIC 108及Si-PIC 110(具有嵌入模具中之邊緣耦合器111)的光學模組2500之範例實施例。在所示的實施例中,光學模組2500係使用ODI/EIM封裝程序而被集成,其中EIC 108及Si-PIC 110被嵌入模具102中,其具有保護膜103以在模製程序期間覆蓋Si-PIC 110之邊緣耦合器111。光學模組2500係類似於圖23A-G中之光學模組2300,除了Si-PIC 110具有邊緣耦合器111而非垂直耦合器。因此,封裝程序亦類似,除了邊緣耦合器111之保護膜被塗敷在Si-PIC 110之邊緣上而非在頂部上。 範例積體電路實施例
26繪示範例電氣裝置2600(亦稱為計算裝置)之方塊圖,其可包括文中所揭露之實施例的一或多者。例如,電氣裝置2600及/或其各別組件(例如,通訊組件2612、處理器單元2602、記憶體2604)可包括光學模組或收發器,依據文中所述之實施例的任一者。特別地,通訊組件2612之一或多者可係或可包括如文中所揭露之光學模組。數個組件被繪示在圖26中為包括在電氣裝置2600中,但這些組件之任何一或更多者可被省略或複製,如針對應用所適當的。在一些實施例中,電氣裝置2600中所包括之組件的部分或全部可被裝附至一或更多主機板、主板、或系統板。在一些實施例中,這些組件之一或多者被製造至單一系統單晶片(SoC)晶粒上。
此外,在各個實施例中,電氣裝置2600可不包括圖26中所繪示之組件的一或多者,但電氣裝置2600可包括用於耦合至一或多個組件的介面電路。例如,電氣裝置2600可不包括顯示裝置2606,但可包括顯示裝置介面電路(例如,連接器及驅動器電路),顯示裝置2606可被耦合至該顯示裝置介面電路。於另一組範例中,電氣裝置2600可不包括音頻輸入裝置2624或音頻輸出裝置2608,但可包括音頻輸入或輸出裝置介面電路(例如,連接器及支援電路),音頻輸入裝置2624或音頻輸出裝置2608可被耦合至該音頻輸入或輸出裝置介面電路。
電氣裝置2600可包括一或多個處理器單元2602(例如,一或多個處理器單元)。如文中所使用,術語「處理器單元」、「處理單元」或「處理器」可指稱任何裝置或裝置之部分,其處理來自暫存器及/或記憶體之電子資料以將該電子資料轉變為其可被儲存於暫存器及/或記憶體中之其他電子資料。處理器單元2602可包括一或多個數位信號處理器(DSP)、特定應用積體電路(ASIC)、中央處理單元(CPU)、圖形處理單元(GPU)、通用GPU (GPGPU)、加速處理單元(APU)、場可編程閘極陣列(FPGA)、神經網路處理單元(NPU)、資料處理器單元(DPU)、加速器(例如,圖形加速器、壓縮加速器、人工智慧加速器)、控制器加密處理器(執行硬體內之密碼演算法的特殊化處理器)、伺服器處理器、控制器、或任何其他適當類型的處理器單元。如此一來,處理器單元可被稱為XPU(或xPU)。
電氣裝置2600可包括記憶體2604,其本身可包括一或多個記憶體裝置,諸如揮發性記憶體(例如,動態隨機存取記憶體(DRAM)、靜態隨機-存取記憶體(SRAM))、非揮發性記憶體(例如,唯讀記憶體(ROM)、快閃記憶體、硫屬化物為基的相位改變非電壓記憶體)、固態記憶體、及/或硬碟。在一些實施例中,記憶體2604可包括其被置於如處理器單元2602之相同積體電路晶粒上的記憶體。此記憶體可被使用為快取記憶體(例如,第1階(L1)、第2階(L2)、第3階(L3)、第4階(L4)、最後階快取(LLC))且可包括嵌入式動態隨機存取記憶體(eDRAM)或自旋轉移力矩磁性隨機存取記憶體(STT-MRAM)。
在一些實施例中,電氣裝置2600可包含一或多個處理器單元2602,其針對電氣裝置2600中之另一處理器單元2602係異質或非對稱的。在衡量各種優點方面,包括架構、微架構、熱、功率消耗特性等等,在系統中的處理單元2602之間可有多樣差異。這些差異可有效地顯現自身為在電氣裝置2600中的處理器單位2602之間的非對稱性及異質性。
在一些實施例中,電氣裝置2600可包括通訊組件2612(例如,一或多個通訊組件)。例如,通訊組件2612可管理無線通訊,以供資料之轉移至及自電氣裝置2600。術語「無線」及其衍生詞可被用以描述電路、裝置、系統、方法、技術、通訊頻道,等等,其可藉由使用透過非固體媒體之經調變的電磁輻射來傳遞資料。該術語「無線」並未暗示其相關裝置不含有任何佈線,雖然於某些實施例中其可能不含有。
通訊組件2612可實施任何數目的無線標準或協定,包括(但不限定於)電機電子工程師學會(IEEE)標準,其包括Wi-Fi(IEEE 802.11家族)、IEEE 802.16標準(例如,IEEE 802.16-2005修正)、長期演進(LTE)計畫連同任何修正、更新、及/或修訂(例如,先進LTE計畫、超行動寬頻(UMB)計畫(亦稱為「3GPP2」)等等)。IEEE 802.16相容的寬頻無線存取(BWA)網路通常被稱為WiMAX網路,其為代表全球互通微波存取之縮寫,其為通過IEEE 802.16標準之符合性及可交互操作性測試的產品之驗證標記。通訊組件2612可依據全球行動通訊系統(GSM)、通用封包無線電服務(GPRS)、環球行動電訊系統(UMTS)、高速封包存取(HSPA)、演進的HSPA(E-HSPA)、或LTE網路而操作。通訊組件2612可依據GSM演進之增強資料(EDGE)、GSM EDGE無線電存取網路(GERAN)、環球陸地無線電存取網路(UTRAN)、或演進的UTRAN(E-UTRAN)而操作。通訊組件2612可依據分碼多重存取(CDMA)、分時多重存取(TDMA)、數位增強的無線電訊(DECT)、演進資料最佳化(EV-DO)、及其衍生者、以及其被命名為3G、4G、5G及以上之任何其他無線協定而操作。通訊組件2612可依據其他實施例中之其他無線協定而操作。在一些實施例中,通訊組件2612可包括射頻(RF)前端電路。電氣裝置2600可包括天線2622,用以促進無線通訊及/或用以接收其他無線通訊(諸如AM或FM無線電傳輸)。
在一些實施例中,通訊組件2612可管理有線通訊,諸如電氣、光學、或任何其他適當的通訊協定(例如,IEEE 802.3乙太網路標準)。如上所述,通訊組件2612可包括多數通訊組件。例如,第一通訊組件2612可專用於較短距離無線通訊,諸如Wi-Fi或藍牙;而第二通訊組件2612可專用於較長距離無線通訊,諸如全球定位系統(GPS)、EDGE、GPRS、CDMA、WiMAX、LTE、EV-DO或其他。在一些實施例中,第一通訊組件2612可專用於無線通訊,而第二通訊組件2612可專用於有線通訊。在一些實施例中,通訊組件2612可包括網路介面控制器。
電氣裝置2600可包括電池/電源電路2614。電池/電源電路2614可包括一或更多能量儲存裝置(例如,電池或電容)及/或電路,用於將電氣裝置2600之組件耦合至一與電氣裝置2600分離的能量來源(例如,AC線電源)。
電氣裝置2600可包括顯示裝置2606(或相應的介面電路,如以上所討論)。顯示裝置2606可包括一或多個嵌入式或有線或無線連接的外部視覺指示器,諸如抬頭顯示、電腦監視器、投影器、觸控式螢幕顯示、液晶顯示(LCD)、發光二極體顯示、或平板顯示。
電氣裝置2600可包括音頻輸出裝置2608(或相應的介面電路,如以上所討論)。音頻輸出裝置2608可包括任何產生聽得到的指示器之嵌入式或有線或無線連接的外部裝置,諸如揚聲器、頭戴式耳機、或耳塞式耳機。
電氣裝置2600可包括音頻輸入裝置2624(或相應的介面電路,如以上所討論)。音頻輸入裝置2624可包括任何產生代表聲音之信號的嵌入式或有線或無線連接的裝置,諸如麥克風、麥克風陣列、或數位儀器(例如,具有音樂儀器數位介面(MIDI)輸出之儀器)。電氣裝置2600可包括全球導航衛星系統(GNSS)裝置2618(或相應的介面電路,如以上所討論),諸如全球定位系統(GPS)裝置。GNSS裝置2618可與衛星為基的系統通訊且可基於從一或多個GNSS衛星所接收的資訊來判定電氣裝置2600之地理位置,如本技術中所已知。
電氣裝置2600可包括其他輸出裝置2610(或相應的介面電路,如以上所討論)。其他輸出裝置2610之範例可包括音頻編碼解碼器、視頻編碼解碼器、印表機、有線或無線傳輸器,用於提供資訊至其他裝置、或額外儲存裝置。
電氣裝置2600可包括其他輸入裝置2620(或相應的介面電路,如以上所討論)。其他輸入裝置2620之範例可包括加速計、迴轉儀、羅盤、影像擷取裝置(例如,單像或立體相機)、軌跡球、軌跡板、觸控板、鍵盤、游標控制裝置,諸如滑鼠、尖筆、觸控螢幕、鄰近感測器、麥克風、條碼讀取器、快速回應(QR)碼讀取器、心電圖(ECG)感測器、PPG(光體積變化描記圖)感測器、膚電反應感測器、任何其他感測器、或射頻識別(RFID)讀取器。
電氣裝置2600可具有任何所欲的形狀因數,諸如手持式或行動電氣裝置(例如,行動電話、智慧型手機、行動網際網路裝置、音樂播放器、平板電腦、膝上型電腦、二合一可轉換式電腦、可攜式多合一電腦、小筆電、輕薄型筆電、個人數位助理(PDA)、超行動個人電腦、可攜式遊戲控制台,等等)、桌上型電氣裝置、伺服器、機架級計算解決方案(例如,葉片、盤或滑道計算系統)、工作站或其他網連計算組件、印表機、掃描器、監視器、機上盒、娛樂控制單元、固定遊戲控制台、智慧型電視、車輛控制單元、數位相機、數位錄影機、穿戴式電氣裝置或嵌入式計算系統(例如,其為車輛、智慧型家用器具、消費者電子產品或設備、製造設備之部分的計算系統)。在一些實施例中,電氣裝置2600可為處理資料之任何其他電子裝置。在一些實施例中,電氣裝置2600可包含多個離散實體組件。給定其電氣裝置2600在各個實施例中可表現之裝置的範圍,在一些實施例中,電氣裝置2600可被稱為計算裝置或計算系統。
27係可被包括在文中所揭露的實施例之任一者中的晶圓2700及晶粒2702之頂部視圖。晶圓2700可由半導體材料所組成且可包括一或更多晶粒2702,其具有在晶圓2700之表面上所形成的積體電路結構。個別晶粒2702可為包括任何適當積體電路之積體電路產品的重複單元。在半導體產品之製造程序完成後,晶圓2700可經歷一種單片化製程,其中晶粒2702被彼此分離以提供積體電路產品之離散「晶片」。晶粒2702可為文中所揭露的晶粒之任一者。晶粒2702可包括一或更多電晶體、支援電路,用以發送電信號至電晶體、被動組件(例如,信號軌線、電阻、電容、或電感)、及/或任何其他積體電路組件。在一些實施例中,晶圓2700或晶粒2702可包括記憶體裝置(例如,隨機存取記憶體(RAM)裝置,諸如靜態RAM (SRAM)裝置、磁性RAM (MRAM)裝置、電阻RAM (RRAM)裝置、導電橋接RAM (CBRAM)裝置,等等)、邏輯裝置(例如,AND、OR、NAND、或NOR閘)、或任何其他適當的電路元件。這些裝置之多者可被結合在單一晶粒2702上。例如,由多數記憶體裝置所形成的記憶體陣列可被形成在相同晶粒2702上而成為處理器單元(例如,圖26之處理器單元2602)或其他邏輯,其被組態成儲存記憶體裝置中之資訊或執行記憶體陣列中所儲存的指令。文中所揭露的微電子總成之各者可使用一種晶粒至晶圓總成技術來製造,其中一些晶粒被裝附至晶圓2700,其包括晶粒之其他者,且晶圓2700被接著單片化。
28係其可包括在文中所揭露的任何實施例之積體電路裝置2800(例如,在晶粒之任何者中)的橫斷面側視圖。積體電路裝置2800之一或多者可被包括在一或多個晶粒2702中(圖27)。積體電路裝置2800可被形成在晶粒基材2802(例如,圖27之晶圓2700)上且可被包括在晶粒(例如,圖27之晶粒2702)中。晶粒基材2802可為由半導體材料系統所組成的半導體基材,該等半導體材料系統包括(例如)n型或p型材料系統(或兩者之組合)。晶粒基材2802可包括(例如)使用大塊矽或矽絕緣體(SOI)子結構所形成的結晶基材。在一些實施例中,晶粒基材2802可使用替代材料而被形成,該些材料可或可不與矽結合,其包括(但不限定於)鍺、銻化銦、碲化鉛、砷化銦、磷化銦、砷化鎵、或銻化鎵。被分類為族II-VI、III-V、或IV之進一步材料亦可被使用以形成晶粒基材2802。雖然其可用來形成晶粒基材2802之材料的一些範例被描述於此,但其可作用為積體電路裝置2800之基礎的任何材料均可被使用。晶粒基材2802可為單片化晶粒(例如,圖27之晶粒2702)或晶圓(例如,圖27之晶圓2700)的部分。
積體電路裝置2800可包括配置在晶粒基材2802上之一或多個裝置層2804。裝置層2804可包括形成在晶粒基材2802上之一或更多電晶體2840(例如,金氧半導體場效電晶體(MOSFET))的特徵。電晶體2840可包括(例如)一或多個源極及/或汲極(S/D)區2820、用以控制介於S/D區2820之間的電流之閘極2822、及用以將電信號發送至/自S/D區2820之一或多個S/D接點2824。電晶體2840可包括為了簡潔之目的而未描繪出之額外特徵,諸如裝置隔離區、閘極接點,等等。電晶體2840不限於圖28中所描繪的類型及組態且可包括多種其他類型及組態,諸如(例如)平面電晶體、非平面電晶體、或兩者之組合。非平面電晶體可包括FinFET電晶體(諸如雙閘極電晶體或三閘極電晶體)、及圍繞或包圍閘極電晶體(諸如奈米帶、奈米片、或奈米線電晶體)。
回到圖28,電晶體2840可包括由至少兩層(閘極電介質及閘極電極)所形成的閘極2822。閘極電介質可包括一層或層之堆疊。一或更多層可包括氧化矽、二氧化矽、碳化矽、及/或高k電介質材料。
高k電介質材料可包括元件,諸如鉿、矽、氧、鈦、鉭、鑭、鋁、鋯、鋇、鍶、釔、鉛、鈧、鈮、及鋅。可用於閘極電介質之高k材料的範例包括(但不限定於)氧化鉿、氧化鉿矽、氧化鑭、氧化鑭鋁、氧化鋯、氧化鋯矽、氧化鉭、氧化鈦、氧化鋇鍶鈦、氧化鋇鈦、氧化鍶鈦、氧化釔、氧化鋁、氧化鉛鈧鉭、及鈮酸鉛鋅。於一些實施例中,退火製程可被執行在閘極電介質上以增進其品質,當使用高k材料時。
閘極電極可被形成在閘極電介質上且可包括至少一p型工作函數金屬或n型工作函數金屬,根據電晶體2840將為p型金氧半導體(PMOS)或n型金氧半導體(NMOS)電晶體。在一些實施方式中,閘極電極可包括二或更多金屬層之堆疊,其中一或更多金屬層為工作函數金屬層且至少一金屬層為填充金屬層。進一步金屬層可被包括以供其他目的,諸如障壁層。
針對PMOS電晶體,其可被用於閘極電極之金屬包括(但不限定於)釕、鈀、鉑、鈷、鎳、導電金屬氧化物(例如,氧化釕)、以及參考NMOS電晶體而討論於下之任何金屬(例如,用於工作函數調諧)。針對NMOS電晶體,可用於閘極電極之金屬包括(但不限定於)鉿、鋯、鈦、鉭、鋁、這些金屬之合金、這些金屬之碳化物(例如,碳化鉿、碳化鋯、碳化鈦、碳化鉭、及碳化鋁)、以及參考PMOS電晶體而討論於上之任何金屬(例如,用於工作函數調諧)。
在一些實施例中,當觀察為沿著源極-通道-汲極方向之電晶體2840的橫斷面時,閘極電極可包括U狀結構,其包括實質上平行於晶粒基材2802之表面的底部部分及實質上垂直於晶粒基材2802之頂部表面的兩側壁部分。在其他實施例中,形成閘極電極之金屬層的至少一者可僅為平面層,其係實質上平行於晶粒基材2802之頂部表面而不包括實質上垂直於晶粒基材2802之頂部表面的側壁部分。於其他實施例中,閘極電極可包括U狀結構及平面、非U狀結構之組合。例如,閘極電極可包括一或更多U狀金屬層,其係形成於一或更多平面、非U狀層之頂部上。
於一些實施例中,一對側壁間隔物可被形成於用以包圍閘極堆疊之閘極堆疊的相對側上。側壁間隔物可被形成自材料,諸如氮化矽、氧化矽、碳化矽、摻雜碳之氮化矽、及氧氮化矽。用以形成側壁間隔物之程序為本技術中眾所周知的,且通常包括沈積及蝕刻製程步驟。於一些實施例中,複數間隔物對可被使用;例如,兩對、三對、或四對側壁間隔物可被形成於閘極堆疊之相對側上。
S/D區2820可被形成在相鄰於個別電晶體2840之閘極2822的晶粒基材2802內。S/D區2820可使用植入/擴散製程或蝕刻/沈積製程來形成,舉例而言。在前者之製程中,諸如硼、鋁、銻、磷或砷等摻雜物可被離子植入晶粒基材2802內以形成S/D區2820。一種活化摻雜物並致使其進一步擴散入晶粒基材2802之退火製程可接續於離子植入製程之後。在後者之製程中,晶粒基材2802可首先被蝕刻以形成凹陷於S/D區2820之位置上。外延沈積製程可接著被執行而利用其用來製造S/D區2820之材料以填充該等凹陷。在一些實施方式中,S/D區2820可使用諸如矽鍺或碳化矽等矽合金來製造。於一些實施例中,外延地沈積的矽合金可被原處摻雜以諸如硼、砷、或磷等摻雜物。在一些實施例中,S/D區2820可使用一或更多替代的半導體材料(諸如鍺或III-V族材料或合金)來形成。在進一步實施例中,一或多層金屬及/或金屬合金可被用以形成S/D區2820。
電信號,諸如電力及/或輸入/輸出(I/O)信號,可透過一或多個配置在裝置層2804上之互連層(在圖28中繪示為互連層2806-2810)而被發送至及/或自裝置層2804之裝置(例如,電晶體2840)。例如,裝置層2804之導電特徵(例如,閘極2822及S/D接點2824)可被電耦合與互連層2806-2810之互連結構2828。一或多個互連層2806-2810可形成積體電路裝置2800之金屬化堆疊(亦稱為「ILD堆疊」)2819。
互連結構2828可被配置於互連層2806-2810內以依據多種設計來發送電信號;特別地,該配置不限於圖28中所描繪之互連結構2828的特定組態。雖然特定數目的互連層2806-2810被描繪在圖28中,但本揭露之實施例包括具有比所描繪者更多或更少互連層之積體電路裝置。
在一些實施例中,互連結構2828可包括線2828a及/或通孔2828b,其係填充以導電材料(諸如金屬)。線2828a可被配置以於一平面之方向發送電信號,該平面係實質上與晶粒基材2802(於其上形成裝置層2804)之表面平行。例如,線2828a可於入及出頁面之方向及/或於跨頁面之方向(從圖28之觀點)發送電信號。通孔2828b可被配置以於一平面之方向發送電信號,該平面係實質上與晶粒基材2802(於其上形成裝置層2804)之表面垂直。在一些實施例中,通孔2828b可將不同互連層2806-2810之線2828a電耦合在一起。
互連層2806-2810可包括配置於互連結構2828之間的電介質材料2826,如圖28中所示。在一些實施例中,配置於不同互連層2806-2810的互連結構2828之間的電介質材料2826可具有不同的組成;於其他實施例中,介於不同互連層2806-2810之間的電介質材料2826之組成可為相同的。裝置層2804可包括配置在電晶體2840與金屬化堆疊的底部層之間的電介質材料2826。裝置層2804中所包括之電介質材料2826可具有與互連層2806-2810中所包括之電介質材料2826不同的組成;在其他實施例中,裝置層2804中所包括之電介質材料2826的組成可相同於互連層2806-2810的任一者中所包括之電介質材料2826。
第一互連層2806(稱為金屬1或「M1」)可被形成直接於裝置層2804上。在一些實施例中,第一互連層2806可包括線2828a及/或通孔2828b,如圖所示。第一互連層2806之線2828a可與裝置層2804之接點(例如,S/D接點2824)耦合。第一互連層2806之通孔2828b可與第二互連層2808之線2828a耦合。
第二互連層2808(稱為金屬2或「M2」)可被形成直接於第一互連層2806上。在一些實施例中,第二互連層2808可包括通孔2828b,用以將第二互連層2808之線2828與第三互連層2810之線2828a耦合。雖然線2828a及通孔2828b係以個別互連層內之線來結構上描繪(為了簡潔的緣故),但線2828a及通孔2828b可為結構上及/或材料上相連的(例如,於雙金屬-鑲嵌製程期間同時地填充),在一些實施例中。
第三互連層2810(稱為金屬3或「M3」)(及額外互連層,如所欲)可被依序形成在第二互連層2808上,依據相關於第二互連層2808或第一互連層2806所述的類似技術及組態。在一些實施例中,其係在積體電路裝置2800中之金屬化堆疊2819中的「上級」之互連層(亦及,更遠離裝置層2804)可比其在金屬化堆疊2819中較低處之互連層更厚,其中在較高互連層中之線2828a及通孔2828b比在較低互連層中之那些更厚。
積體電路裝置2800可包括焊料抗蝕劑材料2834(例如,聚醯亞胺或類似材料)以及形成在互連層2806-2810上之一或多個導電接點2836。在圖28中,導電接點2836被繪示為具有接合墊之形式。導電接點2836可被電耦合與互連結構2828並組態成將電晶體2840之電信號發送至外部裝置。例如,焊料接合可被形成在一或多個導電接點2836上以將包括積體電路裝置2800之積體電路晶粒機械地及/或電地耦合與另一組件(例如,印刷電路板)。積體電路裝置2800可包括額外或替代結構,用以發送來自互連層2806-2810之電信號;例如,導電接點2836可包括其他類似特徵(例如,柱),其係發送電信號至外部組件。導電接點2836可作用為遍及本揭露所描述之導電接點的任一者。
在一些實施例中,其中積體電路裝置2800為雙側晶粒,積體電路裝置2800可包括在裝置層2804之相反側上的另一金屬化堆疊(未顯示)。此金屬化堆疊可包括如參考互連層2806-2810之以上所討論的多數互連層,用以提供介於裝置層2804與額外導電接點(未顯示)之間的導電路徑(例如,包括導電線及通孔),該等額外導電接點係位於與導電接點2836相反的積體電路裝置2800之側上。這些額外導電接點可作用為遍及本揭露所描述之導電接點的任一者。
在其他實施例中,其中積體電路裝置2800為雙側晶粒,積體電路裝置2800可包括通過晶粒基材2802之一或多個穿越矽通孔(TSV);這些TSV可與裝置層2804接觸,且可提供介於裝置層2804與額外導電接點(未顯示)之間的導電路徑,且該等額外導電接點係位於與導電接點2836相反的積體電路裝置2800之側上。這些額外導電接點可作用為遍及本揭露所描述之導電接點的任一者。在一些實施例中,延伸通過基材之TSV可被用於將電力及接地信號從與導電接點2836之積體電路裝置2800的相反側上之導電接點發送至電晶體2840以及經集成至晶粒2800中之任何其他組件,且金屬化堆疊2819可被用以將I/O信號從導電接點2836發送至電晶體2840以及經集成至晶粒2800中之任何其他組件。
多個積體電路裝置2800可被堆疊,其中在個別經堆疊裝置中之一或多個TSV提供介於該等裝置的一者至該堆疊中之其他裝置的任一者之間的連接。例如,一或多個高頻寬記憶體(HBM)積體電路晶粒可被堆疊在基礎積體電路晶粒之頂部上,且該等HBM晶粒中之TSV可提供介於個別HBM與基礎積體電路晶粒之間的連接。導電接點可提供介於該堆疊中的相鄰積體電路晶粒之間的額外連接。在一些實施例中,導電接點可為細節距焊料凸塊(微凸塊)。
29係其可包括文中所揭露的任何實施例之積體電路裝置總成2900的橫斷面側視圖。在一些實施例中,積體電路裝置總成2900可係微電子總成。積體電路裝置總成2900包括配置在電路板2902(其可係主機板、系統板、主板等等)上之數個組件。積體電路裝置總成2900包括配置在電路板2902之第一面2940以及電路板2902之相對第二面2942上的組件;通常,組件可被配置在一或兩面2940和2942上。以下參考積體電路裝置總成2900所討論的任何積體電路組件可具有文中所揭露的微電子總成100之任何適當實施例的形式。
在一些實施例中,電路板2902可係一種印刷電路板(PCB),其包括藉由電介質材料之層而彼此分離且藉由導電通孔而互連的多個金屬(或互連)層。個別金屬層包含導電軌線。金屬層之任何一或更多者可被形成以所欲的電路型態來發送電信號(選擇性地配合其他金屬層)於其耦合至電路板2902的組件之間。在其他實施例中,電路板2902可係非PCB基材。圖29中所繪示的積體電路裝置總成2900包括中介層上封裝結構2936,其係藉由耦合組件2916而被耦合至電路板2902之第一面2940。耦合組件2916可電氣地且機械地耦合中介層上封裝結構2936至電路板2902,且可包括焊球(如圖29中所示)、管腳(例如,當作管腳柵格陣列(PGA)之部分)、接點(例如,當作陸柵格陣列(LGA)之部分)、插座之公和母部分、黏著劑、下填材料、及/或任何其他適當的電氣及/或機械耦合結構。耦合組件2916可作用為針對文中所述之基材總成或基材總成組件的任一者所繪示或描述之耦合組件,適當地。
中介層上封裝結構2936可包括積體電路組件2920,其係藉由耦合組件2918而被耦合至中介層2904。耦合組件2918可具有針對應用之任何適當的形式,諸如以上參考耦合組件2916所討論的形式。雖然圖29係顯示單一積體電路組件2920,但多個積體電路組件可被耦合至中介層2904;確實,額外中介層可被耦合至中介層2904。中介層2904可提供用以橋接電路板2902與積體電路組件2920之中間基材。
積體電路組件2920可係封裝或未封裝積體電路產品,其包括一或多個積體電路晶粒(例如,圖27之晶粒2702,圖28之積體電路裝置2800)及/或一或多個其他適當組件。封裝積體電路組件包含安裝在封裝基材上之一或多個積體電路晶粒,其中積體電路晶粒及封裝基材係囊封於外殼材料中,諸如金屬、塑膠、玻璃或陶瓷。在未封裝積體電路組件2920之一範例中,單一單石積體電路晶粒包含裝附至晶粒上之接點的焊料凸塊。焊料凸塊容許晶粒被直接地裝附至中介層2904。積體電路組件2920可包含一或多個計算系統組件,諸如一或多個處理器單元(例如,系統單晶片(SoC)、處理器核心、圖形處理器單元(GPU)、加速器、晶片組處理器)、I/O控制器、記憶體、或網路介面控制器。在一些實施例中,積體電路組件2920可包含一或多個額外的主動或被動裝置,諸如電容、解耦電容、電阻、電感、熔絲、二極體、變換器、感測器、靜電放電(ESD)裝置、及記憶體裝置。
在其中積體電路組件2920包含多個積體電路晶粒之實施例中,該等晶粒可係相同類型(同質多晶粒積體電路組件)或二或多個不同類型(異質多晶粒積體電路組件)。多晶粒積體電路組件可被稱為多晶片封裝(MCP)或多晶片模組(MCM)。
除了包含一或多個處理器單元之外,積體電路組件2920可包含額外組件,諸如嵌入式DRAM、堆疊高頻寬記憶體(HBM)、共用快取記憶體、輸入/輸出(I/O)控制器、或記憶體控制器。這些額外組件之任一者可被置於相同積體電路晶粒上以當作處理器單元,或者於與包含處理器單元之積體電路晶粒分離的一或多個積體電路晶粒上。這些分離的積體電路晶粒可被稱為「小晶片」。在其中積體電路組件包含多個積體電路晶粒之實施例中,介於晶粒之間的互連可由以下提供:封裝基材、一或多個矽中介層、一或多個嵌入在封裝基材中之矽橋(諸如Intel®嵌入式多晶粒互連橋(EMIB))、或其組合。
通常,中介層2904可將連接延伸至較寬的節距或者將連接重新發送至不同連接。例如,中介層2904可將積體電路組件2920耦合至耦合組件2916之一組球柵陣列(BGA)導電接點,以供耦合至電路板2902。在圖29所繪示的實施例中,積體電路組件2920及電路板2902被裝附至中介層2904之相反側;在其他實施例中,積體電路組件2920及電路板2902可被裝附至中介層2904之相同側。在一些實施例中,三或更多組件係經由中介層2904而被互連。
在一些實施例中,中介層2904可被形成為一種PCB,其包括藉由電介質材料之層而彼此分離且藉由導電通孔而互連的多數金屬層。在一些實施例中,中介層2904可由以下所形成:環氧樹脂、玻璃纖維強化環氧樹脂、具有無機填料之環氧樹脂、陶瓷材料、或聚合物材料(諸如聚醯亞胺)。在一些實施例中,中介層2904可被形成以替代的堅硬或彈性材料,其可包括用於半導體基材中之上述的相同材料,諸如矽、鍺、及其他III-V族及IV族材料。中介層2904可包括金屬互連2908及通孔2910,包括(但不限定於)穿孔通孔2910-1(其從中介層2904之第一面2950延伸至中介層2904之第二面2954)、盲通孔2910-2(其從中介層2904之第一或第二面2950或2954延伸至內金屬層)、及埋入式通孔2910-3(其連接內金屬層)。
在一些實施例中,中介層2904可包含矽中介層。延伸通過矽中介層之穿越矽通孔(TSV)可將矽中介層之第一面上的連接件連接至矽中介層之相對第二面。在一些實施例中,包含矽中介層之中介層2904可進一步包含一或多個路由層,用以將中介層2904之第一面上的連接件發送至中介層2904之相對第二面。
中介層2904可進一步包括嵌入式裝置2914,包括被動和主動裝置兩者。此等裝置可包括(但不限定於)電容、解耦電容、電阻、電感、熔絲、二極體、變壓器、感應器、靜電放電(ESD)裝置、及記憶體裝置。諸如射頻裝置、功率放大器、功率管理裝置、天線、陣列、感應器、及微電機系統(MEMS)裝置等更複雜的裝置亦可被形成於中介層2904上。中介層上封裝結構2936可具有本技術中所已知的任何中介層上封裝結構的形式。在其中中介層係非印刷電路板之實施例中。
積體電路裝置總成2900包括積體電路組件2924,其係藉由耦合組件2922而被耦合至電路板2902之第一面2940。耦合組件2922可具有以上參考耦合組件2916所討論之任何實施例的形式,而積體電路組件2924可具有以上參考積體電路組件2920所討論之任何實施例的形式。
圖29中所繪示的積體電路裝置總成2900包括堆疊式封裝結構2934,其係藉由耦合組件2928而被耦合至電路板2902之第二面2942。堆疊式封裝結構2934可包括藉由耦合組件2930而被耦合在一起的積體電路組件2926及積體電路組件2932,以致其積體電路組件2926被配置在電路板2902與積體電路組件2932之間。耦合組件2928和2930可具有以上所討論之耦合組件2916的任何實施例之形式,而積體電路組件2926和2932可具有以上所討論之積體電路組件2920的任何實施例之形式。堆疊式封裝結構2934可依據本技術中所已知的任何堆疊式封裝結構而被組態。 範例實施例
遍及本揭露所描述之技術的說明性範例被提供於下。這些技術之實施例可包括以下所描述之範例的任何一或多個、及其任何組合。在一些實施例中,在先前圖形之一或多者中所提出的系統或組件之至少一者可被組態成履行如以下範例中所提出之一或多個操作、技術、程序、及/或方法。
範例1包括一種光學模組,包含:一電子積體電路(EIC);用以傳送或接收光學信號之一光子積體電路(PIC);及相鄰於該PIC之一可插入式光學耦合連接器(POCC),其中該POCC包含用以光學地耦合一光纖陣列至該PIC之一可插入式介面;其中該EIC、該PIC、及該POCC被嵌入一模具中。
範例2包括範例1之光學模組,進一步包含:一可插入式光纖陣列單元(PFAU),其中該PFAU包含該光纖陣列,及其中該PFAU被組態成插入至該POCC之該可插入式介面中以光學地耦合該光纖陣列至該PIC。
範例3包括範例2之光學模組,其中該POCC進一步包含用以準直其通過該POCC之光的一準直透鏡。
範例4包括範例2-3的任一者之光學模組,其中該PFAU進一步包含用以重新聚焦其通過該PFAU之光的一重新聚焦透鏡。
範例5包括範例2-4的任一者之光學模組,其中:該PIC係面向下在該模具中,其中該PIC被組態成從該PIC之一背側發射光;該POCC係與該PIC之該背側對準;及該PFAU進一步包含用以正交地反射其通過該PFAU之光的一有角度鏡;其中,當該PFAU被插入至該POCC之該可插入式介面時,從該PIC之該背側發射的光被指定通過該POCC,至該PFAU中,且藉由該PFAU中之該有角度鏡而被正交地反射至該光纖陣列中。
範例6包括範例5之光學模組,其中該PIC進一步包含用以準直其通過該PIC之該背側的光之一準直透鏡。
範例7包括範例2-6的任一者之光學模組,其中該PFAU進一步包含用以限制其中光通過該PFAU之一方向的一光學隔離器。
範例8包括範例2-7的任一者之光學模組,其中:該POCC進一步包含用以正交地反射其通過該POCC之光的一第一有角度鏡;及該PFAU進一步包含用以正交地反射其通過該PFAU之光的一第二有角度鏡。
範例9包括範例2-8的任一者之光學模組,進一步包含:嵌入該模具中之一混合中介層,其中該混合中介層包含:介於該EIC與該PIC之間的一或多個電互連;及介於該PIC與該POCC之間的一或多個光學互連。
範例10包括範例9之光學模組,其中:該混合中介層包含用於該一或多個光學互連之一玻璃結構;且該玻璃結構包含用於該一或多個電互連之一或多個穿越玻璃通孔。
範例11包括範例9-10的任一者之光學模組,其中該混合中介層進一步包含用以準直其透過該混合中介層而在該PIC與該POCC之間傳遞的光之一拋物線鏡。
範例12包括範例11之光學模組,其中該POCC進一步包含用以重新聚焦其透過該POCC而在該混合中介層與該光纖陣列之間傳遞的光之一重新聚焦透鏡。
範例13包括範例9-12的任一者之光學模組,其中該混合中介層進一步包含用以正交地反射其透過該混合中介層而在該PIC與該POCC之間傳遞的光之一有角度鏡。
範例14包括範例13之光學模組,其中:該POCC進一步包含用以正交地反射其透過該POCC而在該混合中介層與該PFAU之間傳遞的光之一第二有角度鏡;及該PFAU進一步包含用以正交地反射其透過該PFAU而在該POCC與該光纖陣列之間傳遞的光之一第三有角度鏡。
範例15包括範例1-14的任一者之光學模組,其中該模具包含一電介質材料。
範例16包括一計算裝置,包含:處理電路;記憶體電路;及通訊電路,其中該通訊電路包含用以傳送並接收光學傳輸之一光學收發器,其中該光學收發器包含:一電子積體電路(EIC);一光子積體電路(PIC);及相鄰於該PIC之一可插入式光學耦合連接器(POCC),其中該POCC包含用以光學地耦合一光纖陣列至該PIC之一可插入式介面;其中該EIC、該PIC、及該POCC被嵌入一模具中。
範例17包括範例16之計算裝置,其中該光學收發器進一步包含:一可插入式光纖陣列單元(PFAU),其中該PFAU包含該光纖陣列,及其中該PFAU被組態成插入至該POCC之該可插入式介面中以光學地耦合該光纖陣列至該PIC。
範例18包括範例16-17的任一者之計算裝置,其中該光學收發器進一步包含:嵌入該模具中之一混合中介層,其中該混合中介層包含:介於該EIC與該PIC之間的一或多個電互連;及介於該PIC與該POCC之間的一或多個光學互連。
範例19包括一種封裝一光學模組之方法,包含:形成互連結構在一晶圓上;接合一電子積體電路(EIC)與一光子積體電路(PIC)面向下在該晶圓上,其中該EIC及該PIC係與該等互連結構對準;接合一可插入式光學耦合連接器(POCC)在該PIC之一背側上,其中該POCC包含:用以光學地耦合一光纖陣列至該PIC之一可插入式介面;及用以保護該可插入式介面免於污染之一暫時封蓋;嵌入該EIC、該PIC、及該POCC在一模具中;研磨該模具之一頂部表面以移除該POCC之該暫時封蓋;釋放該晶圓;形成一球陣列在該光學模組下方;及單片化該光學模組。
範例20包括範例19之方法,進一步包含:插入一可插入式光纖陣列單元(PFAU)至該POCC中,其中該PFAU包含該光纖陣列,及其中該PFAU被組態成插入至該POCC之該可插入式介面中以光學地耦合該光纖陣列至該PIC。
在前述描述中,說明性實施方式的各個態樣將使用常由那些熟悉此技藝人士所運用的術語來描述,以將其工作的本質傳達給熟悉此技藝的其他人。然而,熟悉此項技術人士將清楚:本揭露可僅以所述態樣之部分來實行。為了解釋之目的,特定數字、材料及組態被提出以提供說明性實施方式之透徹瞭解。然而,熟悉此項技術人士將清楚本揭露可被實施而無該些特定細節。在其他例子中,眾所周知的特徵被省略或簡化以免混淆說明性實施方式。
各個操作將被描述為多個離散的依序操作,以一種最有助於瞭解本揭露之方式。然而,描述之順序不應被理解為暗示這些操作一定是跟順序相關的。特別地,這些操作可不以所提呈之順序來執行。
為了本發明之目的,用語「A及/或B」表示(A)、(B)、或(A及B)。為了本發明之目的,用語「A、B及/或C」表示(A)、(B)、(C)、(A及B)、(A及C)、(B及C)、或(A、B及C)。
如文中所使用之術語「上方」、「下方」、「之間」、「之上」、「上」及/或「接近」指的是一材料層或組件相對於其他層或組件之相對位置。例如,配置於另一層上方或下方之一層可直接地接觸該另一層或者可有一或更多中間層。再者,配置於兩層間之一層可直接地接觸該兩層或者可有一或更多中間層。類似地,於第二層「上」之第一層可直接或間接接觸與該第二層。類似地,除非另外明確地指明,一配置於兩特徵間之特徵可直接接觸相鄰特徵或者可具有一或更多中間特徵。
描述可使用用語「於一實施例中」、或「於實施例中」,其可指稱一或更多相同或者不同的實施例。再者,術語「包含」、「包括」、「具有」等等(如針對本發明之實施例所使用者)為同義的。
術語「耦合與」連同其衍生詞可被使用於文中。「耦合」可表示以下的一或更多者。「耦合」可表示二或更多元件係直接地物理或電氣接觸。然而,「耦合」亦可表示其二或更多元件間接地彼此接觸,但仍彼此合作或互動,且可表示其一或更多其他元件被耦合或連接於其被稱為彼此耦合的元件之間。術語「直接耦合」可表示二或更多元件係直接接觸。
於各個實施例中,用詞「形成、沈積、或者配置於第二特徵上之第一特徵」可表示其第一特徵被形成、沈積、或配置於第二特徵之上,且第一特徵之至少一部分可與第二特徵之至少一部分直接接觸(例如,直接物理及/或電氣接觸)或間接接觸(例如,具有介於第一特徵與第二特徵之間的一或更多其他特徵)。
雖然本說明中提及「一」或「第一」元件或其同等物,此等說明係包括一或更多此等元件,未要求且未排除二或更多此等元件。此外,針對已識別元件之順序指標(例如,第一、第二,或第三)被用以區別於元件之間,而非指示或暗示此等元件之必要或限定的數目,且其並非指示此等元件之特定位置或順序,除非另有特別聲明。
如文中所使用,術語「電路」可指下列、是下列各者之部分,或者是包括:執行一或更多軟體或韌體程式之特定應用積體電路(ASIC)、電子電路、處理器(共用的、專屬的、或族群),及/或記憶體(共用的、專屬的、或族群);組合式邏輯電路;及/或提供上述功能之其他適當硬體組件。如文中所使用,「電腦實施方法」可指稱由以下之一或多者所執行的任何方法:處理器、具有一或多個處理器之電腦系統、諸如智慧型手機之行動裝置(其可包括一或多個處理器)、平板、膝上型電腦、機上盒、遊戲控制台,等等。
100:光學模組 101:載體晶圓 102:模具 103:犧牲層 104:再分佈層(RDL) 105:銅(Cu)柱凸塊 106:球陣列 107:穿越玻璃通孔(TGV) 108:電子積體電路(EIC) 109:柱 110:矽光子積體電路(Si-PIC) 111:耦合器 112:雷射二極體(LD)/調變器(LD-MOD) 113:光二極體(PD) 114:混合光學/電中介層 115:有角度的TIR鏡 116:光學環氧樹脂 117:導引孔 118:光學波導陣列 119:金屬電鍍的拋物線反射鏡 120:可插入式光學耦合連接器(POCC) 122:準直透鏡 123:基準 125:TIR鏡 126:暫時封蓋 130:可插入式光纖陣列單元(PFAU) 132:重新聚焦透鏡 133:光學隔離器 134:V形槽 135:TIR鏡 136:外部多光纖推入式(MPO)連接器 137:導引管腳 138:光纖 139:雷射光束 140:光可成像電介質(PID)增建層 300:範例程序流 302,304,306,308,310,312:區塊 400:光學模組 500:光學模組 700:範例程序流 800:光學模組 1000:範例程序流 1100:光學模組 1200:光學模組 1400:範例程序流 1500:光學模組 1600:光學模組 1700:光學模組 1800:光學模組 2000:範例程序流 2100:光學模組 2200:範例程序流 2300:光學模組 2400:範例程序流 2500:光學模組 2600:電氣裝置 2602:處理器單元 2604:記憶體 2606:顯示裝置 2608:音頻輸出裝置 2610:其他輸出裝置 2612:通訊組件 2614:電池/電源電路 2618:全球導航衛星系統(GNSS)裝置 2620:其他輸入裝置 2622:天線 2624:音頻輸入裝置 2700:晶圓 2702:晶粒 2800:積體電路裝置 2802:晶粒基材 2804:裝置層 2806-2810:互連層 2819:金屬化堆疊 2820:源極及/或汲極(S/D)區 2822:閘極 2824:S/D接點 2826:電介質材料 2828:互連結構 2828a:線 2828b:通孔 2834:焊料抗蝕劑材料 2836:導電接點 2840:電晶體 2900:積體電路裝置總成 2902:電路板 2904:中介層 2908:金屬互連 2910:通孔 2910-1:穿孔通孔 2910-2:盲通孔 2910-3:埋入式通孔 2914:嵌入式裝置 2916,2918,2922,2928,2930:耦合組件 2920,2924,2926,2932:積體電路組件 2934:堆疊式封裝結構 2936:中介層上封裝結構 2940,2950:第一面 2942,2954:第二面
[ 1]繪示具有嵌入式模製(embedded-in-mold, EIM)光學封裝之光學模組的範例實施例,該EIM光學封裝重新指定光束至/自矽光子積體電路(Si-PIC)之背側。
[ 2 A-F]繪示用於圖1之光學模組的範例光學封裝程序。
[ 3]繪示用於圖2A-F之光學封裝程序的範例程序流。
[ 4]繪示具有EIM光學封裝之光學模組400的替代實施例,該EIM光學封裝重新指定光束至/自Si-PIC之背側。
[ 5]繪示具有使用混合光學/電中介層之EIM光學封裝的光學模組之範例實施例。
[ 6 A-F]繪示用於圖5之光學模組的範例光學封裝程序。
[ 7]繪示用於圖6A-F之光學封裝程序的範例程序流。
[ 8 A-E]繪示具有使用混合中介層及可插入式光學耦合連接器(POCC)/可插入式光纖陣列單元(PFAU)之EIM光學封裝的光學模組之範例實施例。
[ 9 A-F]繪示用於圖8之光學模組的範例光學封裝程序。
[ 10]繪示用於圖9A-F之光學封裝程序的範例程序流。
[ 11 A-E]繪示具有使用混合中介層及POCC /PFAU之EIM光學封裝的光學模組之另一實施例。
[ 12 A-D]繪示具有使用混合中介層及POCC(具有集成光纖陣列)之EIM光學封裝的光學模組之範例實施例。
[ 13 A-E]繪示用於圖12之光學模組的範例光學封裝程序。
[ 14]繪示用於圖13A-E之光學封裝程序的範例程序流。
[ 15]、[ 16]、[ 17]及[ 18]繪示具有使用混合中介層及Si-PIC(具有用於光學對準之垂直耦合器)之EIM光學封裝的光學模組之各個實施例。
[ 19 A-I]繪示用於圖18之光學模組的範例光學封裝程序。
[ 20]繪示用於圖19A-I之光學封裝程序的範例程序流。
[ 21 A-G]繪示具有EIC、Si-PIC、及POCC嵌入式模具之光學模組的範例全向互連(ODI)封裝程序。
[ 22]繪示用於圖21A-G之光學封裝程序的範例程序流。
[ 23 A-G]繪示具有EIC及Si-PIC(具有垂直耦合器、嵌入式模具)之光學模組的範例ODI封裝程序。
[ 24]繪示用於圖23A-G之光學封裝程序的範例程序流。
[ 25]繪示具有EIC及Si-PIC(具有邊緣耦合器、使用ODI封裝之嵌入式模具)之光學模組的範例程序。
[ 26]繪示其可包括本揭露之一或更多個實施例的範例電氣裝置之方塊圖。
[ 27]係一微電子總成中可包括之晶圓及晶粒的頂部視圖,依據文中所揭露的實施例之任一者。
[ 28]係一微電子總成中可包括之積體電路裝置的橫斷面側視圖,依據文中所揭露的實施例之任一者。
[ 29]係一可包括微電子總成之積體電路裝置總成的橫斷面側視圖,依據文中所揭露的實施例之任一者。
100:光學模組
102:模具
104:再分佈層(RDL)
105:銅(Cu)柱凸塊
106:球陣列
108:電子積體電路(EIC)
110:矽光子積體電路(Si-PIC)
111:耦合器
112:雷射二極體(LD)/調變器(LD-MOD)
113:光二極體(PD)
120:可插入式光學耦合連接器(POCC)
121:導引空腔
122:準直透鏡
123:基準
130:可插入式光纖陣列單元(PFAU)
132:重新聚焦透鏡
135:TIR鏡
138:光纖
139:雷射光束

Claims (20)

  1. 一種光學模組,包含: 一電子積體電路(EIC); 用以傳送或接收光學信號之一光子積體電路(PIC);及 相鄰於該PIC之一可插入式光學耦合連接器(POCC),其中該POCC包含用以光學地耦合一光纖陣列至該PIC之一可插入式介面; 其中該EIC、該PIC、及該POCC被嵌入一模具中。
  2. 如請求項1之光學模組,進一步包含: 一可插入式光纖陣列單元(PFAU),其中該PFAU包含該光纖陣列,及其中該PFAU被組態成插入至該POCC之該可插入式介面中以光學地耦合該光纖陣列至該PIC。
  3. 如請求項2之光學模組,其中該POCC進一步包含用以準直其通過該POCC之光的一準直透鏡。
  4. 如請求項2-3之任一項的光學模組,其中該PFAU進一步包含用以重新聚焦其通過該PFAU之光的一重新聚焦透鏡。
  5. 如請求項2-3之任一項的光學模組,其中: 該PIC係面向下在該模具中,其中該PIC被組態成從該PIC之一背側發射光; 該POCC係與該PIC之該背側對準;及 該PFAU進一步包含用以正交地反射其通過該PFAU之光的一有角度鏡; 其中,當該PFAU被插入至該POCC之該可插入式介面時,從該PIC之該背側發射的光被指定通過該POCC,至該PFAU中,且藉由該PFAU中之該有角度鏡而被正交地反射至該光纖陣列中。
  6. 如請求項5之光學模組,其中該PIC進一步包含用以準直其通過該PIC之該背側的光之一準直透鏡。
  7. 如請求項2-3之任一項的光學模組,其中該PFAU進一步包含用以限制其中光通過該PFAU之一方向的一光學隔離器。
  8. 如請求項2-3之任一項的光學模組,其中: 該POCC進一步包含用以正交地反射其通過該POCC之光的一第一有角度鏡;及 該PFAU進一步包含用以正交地反射其通過該PFAU之光的一第二有角度鏡。
  9. 如請求項2-3之任一項的光學模組,進一步包含: 嵌入該模具中之一混合中介層,其中該混合中介層包含: 介於該EIC與該PIC之間的一或多個電互連;及 介於該PIC與該POCC之間的一或多個光學互連。
  10. 如請求項9之光學模組,其中: 該混合中介層包含用於該一或多個光學互連之一玻璃結構;及 該玻璃結構包含用於該一或多個電互連之一或多個穿越玻璃通孔。
  11. 如請求項9之光學模組,其中該混合中介層進一步包含用以準直其透過該混合中介層而在該PIC與該POCC之間傳遞的光之一拋物線鏡。
  12. 如請求項11之光學模組,其中該POCC進一步包含用以重新聚焦其透過該POCC而在該混合中介層與該光纖陣列之間傳遞的光之一重新聚焦透鏡。
  13. 如請求項9之光學模組,其中該混合中介層進一步包含用以正交地反射其透過該混合中介層而在該PIC與該POCC之間傳遞的光之一有角度鏡。
  14. 如請求項13之光學模組,其中: 該POCC進一步包含用以正交地反射其透過該POCC而在該混合中介層與該PFAU之間傳遞的光之一第二有角度鏡;及 該PFAU進一步包含用以正交地反射其透過該PFAU而在該POCC與該光纖陣列之間傳遞的光之一第三有角度鏡。
  15. 如請求項1-3之任一項的光學模組,其中該模具包含一電介質材料。
  16. 一種計算裝置,包含: 處理電路; 記憶體電路;及 通訊電路,其中該通訊電路包含用以傳送並接收光學傳輸之一光學收發器,其中該光學收發器包含: 一電子積體電路(EIC); 一光子積體電路(PIC);及 相鄰於該PIC之一可插入式光學耦合連接器(POCC),其中該POCC包含用以光學地耦合一光纖陣列至該PIC之一可插入式介面; 其中該EIC、該PIC、及該POCC被嵌入一模具中。
  17. 如請求項16之計算裝置,其中該光學收發器進一步包含: 一可插入式光纖陣列單元(PFAU),其中該PFAU包含該光纖陣列,及其中該PFAU被組態成插入至該POCC之該可插入式介面中以光學地耦合該光纖陣列至該PIC。
  18. 如請求項16-17之任一項的計算裝置,其中該光學收發器進一步包含: 嵌入該模具中之一混合中介層,其中該混合中介層包含: 介於該EIC與該PIC之間的一或多個電互連;及 介於該PIC與該POCC之間的一或多個光學互連。
  19. 一種封裝一光學模組之方法,包含: 形成互連結構在一晶圓上; 接合一電子積體電路(EIC)與一光子積體電路(PIC)面向下在該晶圓上,其中該EIC及該PIC係與該等互連結構對準; 接合一可插入式光學耦合連接器(POCC)在該PIC之一背側上,其中該POCC包含: 用以光學地耦合一光纖陣列至該PIC之一可插入式介面;及 用以保護該可插入式介面免於污染之一暫時封蓋; 嵌入該EIC、該PIC、及該POCC在一模具中; 研磨該模具之一頂部表面以移除該POCC之該暫時封蓋; 釋放該晶圓; 形成一球陣列在該光學模組下方;及 單片化該光學模組。
  20. 如請求項19之方法,進一步包含: 插入一可插入式光纖陣列單元(PFAU)至該POCC中,其中該PFAU包含該光纖陣列,及其中該PFAU被組態成插入至該POCC之該可插入式介面中以光學地耦合該光纖陣列至該PIC。
TW111143945A 2021-12-24 2022-11-17 使用嵌入式模製光學模組集成之光學封裝 TW202327114A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/561,818 2021-12-24
US17/561,818 US20230204879A1 (en) 2021-12-24 2021-12-24 Optical packaging using embedded-in-mold (eim) optical module integration

Publications (1)

Publication Number Publication Date
TW202327114A true TW202327114A (zh) 2023-07-01

Family

ID=86897664

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111143945A TW202327114A (zh) 2021-12-24 2022-11-17 使用嵌入式模製光學模組集成之光學封裝

Country Status (4)

Country Link
US (1) US20230204879A1 (zh)
CN (1) CN117616570A (zh)
TW (1) TW202327114A (zh)
WO (1) WO2023121828A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102515663B1 (ko) * 2015-10-29 2023-03-30 삼성전자주식회사 광 집적 회로 패키지
WO2019050477A1 (en) * 2017-09-06 2019-03-14 Agency For Science, Technology And Research PHOTONIC INTEGRATED CIRCUIT BOX AND METHOD FOR FORMING THE SAME
US10852476B2 (en) * 2019-02-26 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package, integrated optical communication system and manufacturing method of integrated optical communication system
US11614592B2 (en) * 2020-01-22 2023-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US11287585B2 (en) * 2020-03-11 2022-03-29 Nubis Communications, Inc. Optical fiber-to-chip interconnection

Also Published As

Publication number Publication date
US20230204879A1 (en) 2023-06-29
WO2023121828A1 (en) 2023-06-29
CN117616570A (zh) 2024-02-27

Similar Documents

Publication Publication Date Title
US20180180808A1 (en) Wafer-level packaged optoelectronic module
US8559474B2 (en) Silicon carrier optoelectronic packaging
US8411719B2 (en) 3D optoelectronic packaging
US20190137706A1 (en) Photonic package with a bridge between a photonic die and an optical coupling structure
US11841541B2 (en) Package assembly and manufacturing method thereof
US20170131469A1 (en) Optical i/o system using planar light-wave integrated circuit
US20120207426A1 (en) Flip-chip packaging for dense hybrid integration of electrical and photonic integrated circuits
TW201337371A (zh) 有效率之背面發射/收集光柵耦合器
US11886023B2 (en) Photonic optoelectronic module packaging
US20240061195A1 (en) Package assembly and manufacturing method thereof
US20230185033A1 (en) Photonic integrated circuit packaging architectures
TW202327114A (zh) 使用嵌入式模製光學模組集成之光學封裝
US20240027697A1 (en) Pluggable optical connector with interfacial alignment features
US20230185035A1 (en) Technologies for a pluggable connector for photonic integrated circuits
US20240027710A1 (en) Embedded photonics integrated circuit in glass core of substrate
US20240027700A1 (en) Technologies for a beam expansion for vertically-emitting photonic integrated circuits
EP4310563A1 (en) Improved photonics integrated circuit device packaging
US20230204877A1 (en) Technologies for a beam expansion and collimation for photonic integrated circuits
US20240094476A1 (en) Technologies for a pluggable optical connector
US20240027699A1 (en) Technologies for a beam expansion in glass substrates
US20230204876A1 (en) Technologies for coupling from photonic integrated circuits with an optical isolator
US20240027698A1 (en) Photonics integrated circuit device including metalens structure and system including same
US20230089433A1 (en) Photonic integrated circuit packaging architectures
US20230089494A1 (en) Photonic integrated circuit packaging architectures
US20230087809A1 (en) Photonic integrated circuit packaging architectures