TW202314965A - Self-aligned wide backside power rail contacts to multiple transistor sources - Google Patents

Self-aligned wide backside power rail contacts to multiple transistor sources Download PDF

Info

Publication number
TW202314965A
TW202314965A TW111129513A TW111129513A TW202314965A TW 202314965 A TW202314965 A TW 202314965A TW 111129513 A TW111129513 A TW 111129513A TW 111129513 A TW111129513 A TW 111129513A TW 202314965 A TW202314965 A TW 202314965A
Authority
TW
Taiwan
Prior art keywords
drain
source
forming
trenches
substrate
Prior art date
Application number
TW111129513A
Other languages
Chinese (zh)
Inventor
蘇凱杜阿朗 派利克
阿希什 帕爾
艾爾梅蒂 巴吉吉
偉雄 楊
尼汀K 英格爾
艾文德 桑達羅傑
源輝 徐
瑪蒂努斯瑪麗亞 柏肯斯
山彌爾A 德什潘德
巴拉薩拉瑪年 普蘭薩西哈蘭
楊雁筑
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202314965A publication Critical patent/TW202314965A/en

Links

Images

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Geometry (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Junction Field-Effect Transistors (AREA)

Abstract

Semiconductor devices and methods of manufacturing the same are described. The method includes front side processing to form a deep source/drain cavity and filling the cavity with a sacrificial material. The sacrificial material is then removed during processing of the backside to form a backside power rail via that is filled with a metal fill.

Description

至多個電晶體來源之自對準的寬背部電力導軌觸點Self-aligning wide-back power rail contacts to multiple transistor sources

本揭露內容的實施例一般涉及到半導體元件。更具體地說,本揭露內容的實施例是針對電力軌結構、3D封裝和半導體元件的製造方法。Embodiments of the present disclosure relate generally to semiconductor devices. More specifically, embodiments of the present disclosure are directed to power rail structures, 3D packaging, and methods of manufacturing semiconductor elements.

半導體製程行業繼續努力提高產量,同時提高沉積在具有較大表面積的基板上的層的均勻性。這些因素與新材料相結合,也為基板的單位面積提供了更高的電路集成度。隨著電路集成度的提高,對更大的均勻性和層厚度之製程式控制制的需求也在增加。因此,各種技術已經被開發出來,以一種具有成本效益的方式在基板上沉積層,同時保持對層的特性的控制。The semiconductor process industry continues to strive to increase throughput while improving the uniformity of layers deposited on substrates with large surface areas. These factors, combined with new materials, also provide a higher degree of circuit integration per unit area of the substrate. As the level of circuit integration increases, so does the need for greater process control of uniformity and layer thickness. Accordingly, various techniques have been developed to deposit layers on substrates in a cost-effective manner while maintaining control over the properties of the layers.

半導體元件通常是藉由在半導體基板上依次沉積絕緣層或介電層、導電層和半導體材料層,並使用微影術對各種材料層進行圖形化,以形成其上的電路部件和元素。導電層有利於各種電氣部件的電氣佈線,包括電晶體、放大器、逆變器、控制邏輯、記憶體、電力管理電路、緩衝器、過濾器、諧振器、電容器、電感器、電阻器等。Semiconductor elements are usually deposited on a semiconductor substrate by sequentially depositing an insulating layer or dielectric layer, a conductive layer, and a semiconductor material layer, and using lithography to pattern the various material layers to form circuit components and elements thereon. Conductive layers facilitate the electrical routing of various electrical components, including transistors, amplifiers, inverters, control logic, memory, power management circuits, buffers, filters, resonators, capacitors, inductors, resistors, and more.

電晶體是大多數積體電路的關鍵部件。由於電晶體的驅動電流(也就是速度)與電晶體的閘極寬度成正比,更快的電晶體通常需要更大的閘極寬度。因此,在電晶體的尺寸和速度之間有所妥協,而「鰭片」場效應電晶體(finFETs)已經被開發出來,以解決具有最大驅動電流和最小尺寸的電晶體的衝突目標。FinFETs的特點是有鰭狀通道區域,它大大增加了電晶體的尺寸,而沒有明顯增加電晶體的佔地面積,而現在正被應用於許多積體電路。然而,finFETs也有自己的缺點。Transistors are key components of most integrated circuits. Since the drive current (ie, speed) of a transistor is directly proportional to the gate width of the transistor, faster transistors generally require larger gate widths. Consequently, there is a compromise between transistor size and speed, and "fin" field-effect transistors (finFETs) have been developed to resolve the conflicting goals of having the largest drive current and smallest transistor size. FinFETs, which feature finned channel regions that greatly increase the size of transistors without significantly increasing the transistor's footprint, are now being used in many integrated circuits. However, finFETs also have their own drawbacks.

隨著電晶體元件的特徵尺寸不斷縮小以實現更大的電路密度和更高的效能,有必要改進電晶體元件結構,以改善靜電耦合並減少負面效應,如寄生電容和斷態漏電。電晶體元件結構的例子包括平面結構、鰭式場效電晶體(FinFET)結構和水平環繞式閘極(hGAA)結構。hGAA元件結構包括幾個晶格匹配的通道,以堆疊的方式懸浮並由源/汲極區連接。hGAA結構提供了良好的靜電控制,並可在互補金屬氧化物半導體(CMOS)晶圓製造中得到廣泛採用。As the feature size of transistor components continues to shrink to achieve greater circuit density and higher performance, it is necessary to improve the structure of transistor components to improve electrostatic coupling and reduce negative effects, such as parasitic capacitance and off-state leakage. Examples of transistor device structures include planar structures, Fin Field Effect Transistor (FinFET) structures, and horizontal gate-all-around (hGAA) structures. The hGAA element structure consists of several lattice-matched channels suspended in a stacked fashion and connected by source/drain regions. The hGAA structure provides good electrostatic control and can be widely adopted in complementary metal-oxide-semiconductor (CMOS) wafer fabrication.

將半導體連接到電力軌上通常是在電池的正面進行,這需要大量的電池面積。因此,有著使用較少電池面積將半導體元件連接到電力軌上的需要。Connecting the semiconductors to the power rails is usually done on the front side of the battery, which requires a lot of battery area. Therefore, there is a need to connect semiconductor components to power rails using less battery area.

揭露內容的一個或多個實施例是針對形成半導體元件的方法。在一個或多個實施例中,形成半導體元件的方法包括:在基板的頂面上形成超晶格結構,超晶格結構包括複數個水平通道層和相應複數個半導體材料層交替排列成複數個堆疊對;在基板上超晶格結構附近形成複數個源極溝槽與複數個汲極溝槽;擴大複數個源極溝槽的至少一者與複數個汲極溝槽的至少一者以形成源極空腔與汲極空腔;在源極空腔與汲極空腔中沉積犧牲材料;形成源極區與汲極區;形成電連接至源極區與汲極區的CT與CG;形成鑲嵌溝槽通過基板;至少部分地移除犧牲材料以形成延伸至鑲嵌溝槽的至少一開口;及在至少一開口與鑲嵌溝槽中沉積金屬。One or more embodiments of the disclosure are directed to methods of forming semiconductor devices. In one or more embodiments, the method for forming a semiconductor element includes: forming a superlattice structure on the top surface of the substrate, the superlattice structure includes a plurality of horizontal channel layers and a corresponding plurality of semiconductor material layers arranged alternately in a plurality of Stacking pairs; forming a plurality of source trenches and a plurality of drain trenches near the superlattice structure on the substrate; expanding at least one of the plurality of source trenches and at least one of the plurality of drain trenches to form source and drain cavities; depositing sacrificial material in the source and drain cavities; forming source and drain regions; forming CT and CG electrically connected to the source and drain regions; forming a damascene trench through the substrate; at least partially removing the sacrificial material to form at least one opening extending to the damascene trench; and depositing metal in the at least one opening and the damascene trench.

揭露內容的額外實施例是針對形成半導體元件的方法。在一個或多個實施例中,形成半導體元件的方法包括:在基板的頂面上形成超晶格結構,超晶格結構包括複數個水平通道層和相應複數個半導體材料層交替排列成複數個堆疊對;在超晶格結構的頂面上形成閘極結構;在基板上超晶格結構附近形成複數個源極溝槽與複數個汲極溝槽;擴大複數個源極溝槽的至少一者與複數個汲極溝槽的至少一者以形成源極空腔與汲極空腔;在源極空腔與汲極空腔中沉積犧牲材料;在複數個水平通道層的各個水平通道層上形成內間隔物層;形成源極區與汲極區;在基板上形成層間介電層;形成替換金屬閘極;形成電連接至源極區與汲極區的CT與CG;形成第一金屬線;旋轉半導體元件180度;平坦化基板;平坦化基板;在基板上沉積層間介電質材料;在基板中形成背側電力軌通孔;擴大背側電力軌通孔以形成鑲嵌溝槽;移除犧牲材料以形成至少一開口;及在至少一開口與鑲嵌溝槽中沉積金屬。Additional embodiments of the disclosure are directed to methods of forming semiconductor devices. In one or more embodiments, the method for forming a semiconductor element includes: forming a superlattice structure on the top surface of the substrate, the superlattice structure includes a plurality of horizontal channel layers and a corresponding plurality of semiconductor material layers arranged alternately in a plurality of Stacking pairs; forming a gate structure on the top surface of the superlattice structure; forming a plurality of source trenches and a plurality of drain trenches near the superlattice structure on the substrate; expanding at least one of the plurality of source trenches or at least one of a plurality of drain trenches to form a source cavity and a drain cavity; a sacrificial material is deposited in the source cavity and the drain cavity; each horizontal channel layer of the plurality of horizontal channel layers forming an inner spacer layer; forming a source region and a drain region; forming an interlayer dielectric layer on the substrate; forming a replacement metal gate; forming CT and CG electrically connected to the source region and the drain region; forming a first metal lines; rotate semiconductor element 180 degrees; planarize substrate; planarize substrate; deposit interlayer dielectric material on substrate; form backside power rail vias in substrate; enlarge backside power rail vias to form damascene trenches ; removing the sacrificial material to form at least one opening; and depositing metal in the at least one opening and the damascene trench.

在描述揭露內容的幾個示例性實施例之前,應理解揭露內容不限於以下描述的結構細節或製程步驟。揭露內容能夠有其他的實施例,並且能夠以各種方式進行實踐或執行。Before describing several exemplary embodiments of the disclosure, it is to be understood that the disclosure is not limited to the structural details or process steps described below. The disclosure is capable of other embodiments and of being practiced or being carried out in various ways.

在本說明書和所附申請專利範圍中,術語「基板」指的是製程所作用的表面或表面的一部分。本領域的技術人員還將理解,除非上下文明確指出,對基板的提及也可以僅指基板的一部分。此外,提到在基板上沉積可以指裸露的基板和在其上沉積或形成有一個或多個膜或特徵的基板。In this specification and the appended claims, the term "substrate" refers to a surface or a portion of a surface on which a process is applied. Those skilled in the art will also understand that reference to a substrate may also refer to only a portion of a substrate, unless the context clearly dictates otherwise. Additionally, references to depositing on a substrate can refer to a bare substrate as well as a substrate having one or more films or features deposited or formed thereon.

本文所使用的「基板」是指在製造過程中,在其上進行膜製程的任何基板或在基板上形成的材料表面。例如,可進行製程的基板表面包括諸如矽、氧化矽、應變矽、絕緣體上矽(SOI)、摻雜碳的氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石以及任何其他材料,諸如金屬、金屬氮化物、金屬合金和其他導電材料,具體取決於應用。基板包括但不限於半導體晶圓。基板可以暴露在預處理過程中,以便對基板表面進行拋光、蝕刻、還原、氧化、羥基化(或以其他方式產生或嫁接目標化學基團以賦予化學功能)、退火和/或烘烤。除了直接在基板本身的表面上進行膜製程外,在本揭露內容中,任何公開的膜製程步驟也可以在形成在基板上的底層上進行,如下面詳細公開的那樣,而術語「基板表面」旨在包括這種底層,如上下文所示。因此,舉例來說,當膜/層或部分膜/層被沉積到基板表面上時,新沉積的膜/層的暴露表面就成為基板表面。一個給定的基板表面包括什麼,將取決於要沉積的膜,以及所使用的特定化學成分。As used herein, "substrate" refers to any substrate on which a film process is performed or the surface of a material formed on a substrate during a manufacturing process. Examples of processable substrate surfaces include silicon, silicon oxide, strained silicon, silicon-on-insulator (SOI), carbon-doped silicon oxide, silicon nitride, doped silicon, germanium, gallium arsenide, glass, sapphire, and Any other materials such as metals, metal nitrides, metal alloys and other conductive materials, depending on the application. Substrates include, but are not limited to, semiconductor wafers. The substrate may be exposed to pretreatment processes to polish, etch, reduce, oxidize, hydroxylate (or otherwise generate or graft target chemical groups to impart chemical functionality), anneal, and/or bake the substrate surface. In addition to performing film processing directly on the surface of the substrate itself, in this disclosure, any of the disclosed film processing steps may also be performed on an underlying layer formed on the substrate, as disclosed in detail below, whereas the term "substrate surface" It is intended to include such an underlying layer, as the context indicates. Thus, for example, when a film/layer or part of a film/layer is deposited onto a substrate surface, the exposed surface of the newly deposited film/layer becomes the substrate surface. What a given substrate surface consists of will depend on the film being deposited, as well as the specific chemical composition used.

在本說明書和所附申請專利範圍中,術語「前驅物」、「反應物」、「反應性氣體」等可互換使用,指任何可與基板表面反應的氣體物種。In this specification and the appended claims, the terms "precursor", "reactant", and "reactive gas" are used interchangeably to refer to any gaseous species that can react with the surface of the substrate.

電晶體是通常在半導體元件上形成的電路部件或元素。根據電路設計,除了電容、電感、電阻、二極體、導電線或其他元素外,電晶體形成在半導體元件上。一般來說,電晶體包括在源極區和汲極區之間形成的閘極。在一個或多個實施例中,源極區和汲極區包括基板的摻雜區,並表現出適合特定應用的摻雜輪廓。閘極位於通道區之上,並包括閘極介電層,閘極介電層介於閘極電極和基板中的通道區之間。Transistors are circuit components or elements usually formed on semiconductor components. Transistors are formed on semiconductor components in addition to capacitors, inductors, resistors, diodes, conductive lines or other elements depending on the circuit design. Generally, a transistor includes a gate formed between a source region and a drain region. In one or more embodiments, the source and drain regions comprise doped regions of the substrate and exhibit a doping profile suitable for a particular application. The gate is located above the channel region and includes a gate dielectric layer interposed between the gate electrode and the channel region in the substrate.

本文所使用的術語「場效電晶體」或「FET」是指使用電場來控制元件的電氣行為的電晶體。增強模式場效電晶體通常在低溫下顯示非常高的輸入阻抗。汲極和源極之間的導電性由元件中的電場控制,電場是由元件的本體和閘極之間的電壓差產生的。FET的三個終端是源極(S),載子通過它進入通道;汲極(D),載子通過它離開通道;以及閘極(G),調控通道導電性的終端。傳統上,在源極(S)進入通道的電流被稱為I S,而在汲極(D)進入通道的電流被稱為I D。汲極到源極的電壓被稱為V DS。藉由對閘極(G)施加電壓,可以控制在汲極進入通道的電流(即,I D)。 As used herein, the term "field effect transistor" or "FET" refers to a transistor that uses an electric field to control the electrical behavior of a device. Enhancement mode field effect transistors usually exhibit very high input impedance at low temperatures. The conductivity between the drain and source is controlled by the electric field in the element, which is created by the voltage difference between the body and gate of the element. The three terminals of the FET are the source (S), through which carriers enter the channel; the drain (D), through which carriers leave the channel; and the gate (G), the terminal that regulates the channel's conductivity. Traditionally, the current entering a channel at the source (S) is called I S , while the current entering the channel at the drain (D) is called I D . The drain-to-source voltage is referred to as V DS . By applying a voltage to the gate (G), the current entering the channel at the drain (ie, I D ) can be controlled.

金屬-氧化物-半導體場效電晶體(MOSFET)是場應電晶體(FET)的一種類型。它有絕緣的閘極,其電壓決定了元件的導電性。這種隨著施加電壓的大小而改變電導率的能力被用於放大或切換電子信號。MOSFET的基礎是藉由位於本體電極和位於本體上方的閘極電極之間的金屬-氧化物-半導體(MOS)電容對電荷濃度進行調控,並藉由閘極介電層與所有其他元件區域絕緣。與MOS電容相比,MOSFET包括兩個額外的終端(源極和汲極),每個終端都連接到被主體區域隔開的各個高摻雜區域。這些區域可以是p型或n型,但它們都是同一類型,並且與主體區域的類型相反。源極和汲極(與本體不同)是高度摻雜的,在摻雜類型後面有「+」號表示。A metal-oxide-semiconductor field-effect transistor (MOSFET) is a type of field-effect transistor (FET). It has an insulated gate whose voltage determines the conductivity of the element. This ability to change conductivity with the magnitude of the applied voltage is used to amplify or switch electronic signals. The basis of the MOSFET is the regulation of the charge concentration by means of a metal-oxide-semiconductor (MOS) capacitance between the body electrode and the gate electrode above the body, and is insulated from all other component areas by the gate dielectric layer . Compared to MOS capacitors, MOSFETs include two additional terminals (source and drain), each connected to respective highly doped regions separated by the body region. These regions can be p-type or n-type, but they are all of the same type and the opposite type of the bulk region. The source and drain (unlike the body) are highly doped, indicated by a "+" after the doping type.

如果MOSFET是n-通道或nMOS FET,那麼源極和汲極是n+區域,而主體是p區域。如果MOSFET是p-通道或pMOS FET,那麼源極和汲極是p+區,而主體是n區。源極之所以被稱為源極,是因為它是流經通道的電荷載體(n-通道為電子,p-通道為電洞)的來源;同樣地,汲極是電荷載體離開通道的地方。If the MOSFET is an n-channel or nMOS FET, then the source and drain are n+ regions and the body is p region. If the MOSFET is a p-channel or pMOS FET, then the source and drain are p+ regions and the body is n region. The source is called the source because it is the source of the charge carriers (electrons for n-channels and holes for p-channels) flowing through the channel; similarly, the drain is where the charge carriers leave the channel.

本文所用的術語「鰭式場效電晶體(FinFET)」是指建立在基板上的MOSFET電晶體,其中的閘極被放置在通道的兩個或三個側面,形成雙閘極或三閘極結構。由於通道區域在基板上形成了「鰭片」,所以FinFET元件被賦予了FinFET的通用名稱。FinFET元件具有快速開關時間和高電流密度。The term "Fin Field Effect Transistor (FinFET)" as used herein refers to a MOSFET transistor built on a substrate in which the gates are placed on two or three sides of the channel, forming a double-gate or triple-gate structure . The FinFET component has been given the generic name of FinFET because the channel region forms the "fin" on the substrate. FinFET components have fast switching times and high current densities.

本文所用的術語「環繞式閘極(GAA)」是指電子元件(例如,電晶體)其中的閘極材料在所有側面都圍繞著通道區域。GAA電晶體的通道區域可包括奈米線或奈米板或奈米片、條形通道、或本領域技術人員已知的其他合適的通道配置。在一個或多個實施例中,GAA元件的通道區域具有多個垂直間隔的水平奈米線或水平條,使GAA電晶體成為堆疊的水平環繞式閘極(hGAA)電晶體。As used herein, the term "gate all around (GAA)" refers to an electronic component (eg, transistor) in which the gate material surrounds the channel region on all sides. The channel region of the GAA transistor may include nanowires or nanoplates or nanosheets, strip channels, or other suitable channel configurations known to those skilled in the art. In one or more embodiments, the channel region of the GAA element has a plurality of vertically spaced horizontal nanowires or bars, making the GAA transistor a stacked horizontal wraparound gate (hGAA) transistor.

本文所用的術語「奈米線」指的是直徑在奈米(10 -9米)的數量級的奈米結構。奈米線也可以定義為長度與寬度的比率大於1000。另外,奈米線可以被定義為結構的厚度或直徑被限制在幾十奈米或更小,而長度不受限制。奈米線被用於電晶體和一些雷射應用中,在一個或多個實施例中,奈米線由半導體材料、金屬材料、絕緣材料、超導材料或分子材料製成。在一個或多個實施例中,奈米線被用於邏輯CPU、GPU、MPU和揮發性(如DRAM)和非揮發性(如NAND)元件的電晶體。本文所用的術語「奈米片」指的是二維奈米結構,其厚度範圍從約0.1奈米到約1000奈米。 The term "nanowire" as used herein refers to a nanostructure with a diameter on the order of nanometers (10 −9 meters). Nanowires can also be defined as having a length to width ratio greater than 1000. Alternatively, nanowires can be defined as structures whose thickness or diameter is constrained to a few tens of nanometers or less, but not limited in length. Nanowires are used in transistors and some laser applications, and in one or more embodiments, the nanowires are made of semiconductor, metallic, insulating, superconducting, or molecular materials. In one or more embodiments, nanowires are used in transistors for logic CPUs, GPUs, MPUs, and volatile (eg, DRAM) and non-volatile (eg, NAND) components. As used herein, the term "nanosheet" refers to a two-dimensional nanostructure with a thickness ranging from about 0.1 nm to about 1000 nm.

揭露內容的實施例是藉由圖式來描述的,圖式說明了根據揭露內容的一個或多個實施例的元件(例如,電晶體)和形成電晶體的製程。所示製程只是說明所公開的製程的可能用途,而熟練的技術人員將認識到,所公開的製程並不限於所說明的應用。Embodiments of the disclosure are described with reference to drawings illustrating elements (eg, transistors) and processes for forming the transistors in accordance with one or more embodiments of the disclosure. The processes shown are only illustrative of possible uses of the disclosed processes, and skilled artisans will recognize that the disclosed processes are not limited to the illustrated applications.

參照圖式描述了揭露內容的一個或多個實施例。在一個或多個實施例的方法中,使用標準的製程流程製造電晶體,例如環繞式閘極電晶體。在一些實施例中,提供矽晶圓,並在矽晶圓上形成了埋入式蝕刻終止層。沉積磊晶層(例如,磊晶矽)。然後,使晶圓接受元件和前端製程。前端製程後,晶圓經歷混合鍵結,例如鍵結到銅或氧化物,然後晶圓被有利地變薄。薄化晶圓可提供所需的平整度和鍵結,使背面的電力軌成為可能。為了使晶圓變薄,具有起始第一厚度的矽基板層被研磨到第二厚度,第二厚度小於第一厚度。研磨後,在一些實施例中,使矽晶圓接受化學機械研磨(CMP)中,然後進行蝕刻和CMP拋光,以將矽的厚度減少到第三厚度,第三厚度小於第二厚度。在一個或多個實施例中,蝕刻停止在埋入式蝕刻終止層。然後,觸點被預先填充了金屬,並進行了金屬化。One or more embodiments of the disclosure are described with reference to the drawings. In the method of one or more embodiments, a transistor, such as a wraparound gate transistor, is fabricated using standard process flows. In some embodiments, a silicon wafer is provided and a buried etch stop layer is formed on the silicon wafer. An epitaxial layer (eg, epitaxial silicon) is deposited. The wafer is then subjected to components and front-end processes. After front-end processing, the wafer undergoes hybrid bonding, such as bonding to copper or oxide, and the wafer is then advantageously thinned. Thinning the wafer provides the required flatness and bonding to enable power rails on the backside. To thin the wafer, the silicon substrate layer having an initial first thickness is ground to a second thickness, the second thickness being less than the first thickness. After grinding, in some embodiments, the silicon wafer is subjected to chemical mechanical polishing (CMP) followed by etching and CMP polishing to reduce the thickness of the silicon to a third thickness that is less than the second thickness. In one or more embodiments, the etch stop is at a buried etch stop layer. The contacts are then pre-filled with metal and metallized.

在替代實施例中,使用標準的製程流程製造電晶體,例如環繞式閘極電晶體。在一些實施例中,提供矽晶圓,並在矽晶圓上形成了埋入式蝕刻終止層。沉積磊晶層(例如,磊晶矽)。然後,使晶圓接受元件和前端製程。前端製程後,晶圓經歷混合鍵結,例如鍵結到銅或氧化物,然後晶圓被有利地變薄。薄化晶圓可提供所需的平整度和鍵結,使背面的電力軌成為可能。為了使晶圓變薄,具有起始第一厚度的矽基板層被研磨到第二厚度,第二厚度小於第一厚度。研磨後,沉積大遮罩並在遮罩中形成介層窗。然後,蝕刻晶圓通過介層窗到埋入式蝕刻終止層,然後蝕刻終止層被選擇性地移除,並發生掀離(liftoff)。In an alternative embodiment, standard process flows are used to fabricate transistors, such as wraparound gate transistors. In some embodiments, a silicon wafer is provided and a buried etch stop layer is formed on the silicon wafer. An epitaxial layer (eg, epitaxial silicon) is deposited. The wafer is then subjected to components and front-end processes. After front-end processing, the wafer undergoes hybrid bonding, such as bonding to copper or oxide, and the wafer is then advantageously thinned. Thinning the wafer provides the required flatness and bonding to enable power rails on the backside. To thin the wafer, the silicon substrate layer having an initial first thickness is ground to a second thickness, the second thickness being less than the first thickness. After grinding, a large mask is deposited and vias are formed in the mask. The wafer is then etched through the vias to the buried etch stop layer, which is then selectively removed and liftoff occurs.

在一個或多個實施例的方法中,使用標準的製程流程製造電晶體,例如環繞式閘極電晶體。在源/汲極空腔凹陷後,源/汲極空腔的尺寸被擴大,並沉積了犧牲性填充材料。製造過程中,形成了內部間隔物,源/汲極磊晶,形成了層間介電質,替換閘極形成,CT和CG形成,以及正面金屬線形成。基板隨後被翻轉和平面化。層間介電質被沉積在背側,背側電力系統的通孔經圖案化,而層間介電質被蝕刻。形成鑲嵌溝槽,並移除犧牲性填充物以形成開口。在開口中沉積金屬,然後形成背側金屬線。在一個或多個實施例中,犧牲性填充材料具有良好的選擇性,以便在蝕刻時形成自對準的溝槽和/或通孔,從而避免錯位。In the method of one or more embodiments, a transistor, such as a wraparound gate transistor, is fabricated using standard process flows. After the source/drain cavity is recessed, the size of the source/drain cavity is enlarged and a sacrificial fill material is deposited. During fabrication, inner spacers are formed, source/drain epitaxy, interlayer dielectric formation, replacement gate formation, CT and CG formation, and front metal line formation. The substrate is then flipped and planarized. The ILD is deposited on the backside, the backside power system vias are patterned, and the ILD is etched. A damascene trench is formed, and the sacrificial fill is removed to form an opening. Metal is deposited in the openings and then backside metal lines are formed. In one or more embodiments, the sacrificial fill material has good selectivity to form self-aligned trenches and/or vias when etched to avoid dislocations.

在一個或多個實施例的方法中,使用標準的製程流程製造電晶體,例如環繞式閘極電晶體。深孔用單獨的遮罩蝕刻,或者用常規的接觸式或通孔遮罩進行蝕刻。在蝕刻常規通孔後,放置遮罩,然後將電力軌通孔蝕刻到元件以下的深度,以便於背側連接。標準孔和深孔/觸點都同時用氮化鈦/鎢(TiN/W)或氮化鈦/釕(TiN/Ru)或鉬(Mo)接觸填充,然後再進行平坦化。晶圓可以選擇性地進行薄化。在背側,蝕刻通孔以連接到深孔。然後進行金屬化。In the method of one or more embodiments, a transistor, such as a wraparound gate transistor, is fabricated using standard process flows. Deep holes are etched with a separate mask, or with a conventional contact or via mask. After etching the regular vias, a mask is placed, and then the power rail vias are etched to a depth below the component for backside connections. Both standard holes and deep holes/contacts are simultaneously filled with titanium nitride/tungsten (TiN/W) or titanium nitride/ruthenium (TiN/Ru) or molybdenum (Mo) contacts and then planarized. Wafers can be selectively thinned. On the backside, vias are etched to connect to the deep holes. Metallization is then performed.

圖1A描繪了根據本揭露內容的某些實施例形成半導體元件的方法6的製程流程圖。圖1B是描繪了根據一個或多個實施例的方法6之圖1A的製程流程圖的延續。圖2A-2U描述了根據揭露內容的某些實施例製造半導體結構的階段。下面將結合圖2A-2U對方法6進行描述。圖2A-2U是根據一個或多個實施例的電子元件(例如GAA)的橫截面圖。方法6可以是半導體元件的多步驟製造製程的一部分。因此,方法6可以在與群集工具耦合的任何合適製程腔室中執行。群集工具可包括用於製造半導體元件的製程腔室,如配置用於蝕刻、沉積、物理氣相沉積(PVD)、化學氣相沉積(CVD)、氧化的腔室或任何其他用於製造半導體元件的適當腔室。FIG. 1A depicts a process flow diagram of a method 6 of forming a semiconductor device according to certain embodiments of the present disclosure. FIG. 1B is a continuation of the process flow diagram of FIG. 1A depicting method 6 according to one or more embodiments. 2A-2U depict stages in the fabrication of semiconductor structures according to certain embodiments of the disclosure. Method 6 will be described below with reference to FIGS. 2A-2U . 2A-2U are cross-sectional views of an electronic component, such as a GAA, according to one or more embodiments. Method 6 may be part of a multi-step manufacturing process for a semiconductor device. Accordingly, Method 6 may be performed in any suitable process chamber coupled to a cluster tool. A cluster tool may include a process chamber for fabricating semiconductor elements, such as a chamber configured for etching, deposition, physical vapor deposition (PVD), chemical vapor deposition (CVD), oxidation, or any other chamber for fabricating semiconductor elements appropriate chamber.

圖2A-2U是圖1A-1B中的操作8至54的製造步驟。參照圖1A,形成元件100的方法6從操作8開始,提供基板102。在一些實施例中,基板102可是半導體基板塊。本文所使用的術語「半導體基板塊」指的是基板的全部由半導體材料組成的基板。半導體基板塊可以包括任何合適的半導體材料和/或半導體材料的組合,以形成半導體結構。例如,半導體層可以包括一種或多種材料,如結晶矽(例如,Si<100>或Si<111>)、氧化矽、應變矽、矽鍺、摻雜或未摻雜的多晶矽、摻雜或未摻雜的矽晶圓、圖案或未圖案化的晶圓、摻雜矽、鍺、砷化鎵或其他合適的半導電材料。在一些實施例中,半導體材料是矽(Si)。在一個或多個實施例中,半導體基板102包括半導體材料,例如矽(Si)、碳(C)、鍺(Ge)、矽鍺(SiGe)、鍺錫(GeSn)、其他半導體材料,或其任何組合。在一個或多個實施例中,基板102包括矽(Si)、鍺(Ge)、鎵(Ga)、砷(As)或磷(P)中的一者或多者。儘管本文描述了一些可形成基板的材料的實例,但任何可作為基礎的材料都屬於本揭露內容的精神和範圍,在此基礎上可構建被動和主動電子元件(例如,電晶體、記憶體、電容器、電感器、電阻器、開關、積體電路、放大器、光電元件或任何其他電子元件)。2A-2U are fabrication steps for operations 8 through 54 in FIGS. 1A-1B . Referring to FIG. 1A , method 6 of forming component 100 begins with operation 8 , providing substrate 102 . In some embodiments, substrate 102 may be a bulk semiconductor substrate. As used herein, the term "semiconductor substrate bulk" refers to a substrate that is composed entirely of semiconductor materials. The bulk of the semiconductor substrate may comprise any suitable semiconductor material and/or combination of semiconductor materials to form a semiconductor structure. For example, the semiconductor layer may comprise one or more materials such as crystalline silicon (eg, Si<100> or Si<111>), silicon oxide, strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped Doped silicon wafers, patterned or unpatterned wafers, doped silicon, germanium, gallium arsenide or other suitable semiconducting materials. In some embodiments, the semiconductor material is silicon (Si). In one or more embodiments, the semiconductor substrate 102 includes a semiconductor material such as silicon (Si), carbon (C), germanium (Ge), silicon germanium (SiGe), germanium tin (GeSn), other semiconductor materials, or any combination. In one or more embodiments, the substrate 102 includes one or more of silicon (Si), germanium (Ge), gallium (Ga), arsenic (As), or phosphorus (P). Although some examples of materials from which substrates can be formed are described herein, it is within the spirit and scope of this disclosure to use any material upon which passive and active electronic components (e.g., transistors, memories, capacitors, inductors, resistors, switches, integrated circuits, amplifiers, optoelectronics, or any other electronic components).

在一些實施例中,半導體材料可以是摻雜材料,諸如n型摻雜矽(n-Si)或p型摻雜矽(p-Si)。在一些實施例中,基板可以使用任何合適製程(例如,離子植入製程)進行摻雜。本文所用的術語「n型」是指在製造過程中藉由在固有半導體中摻入電子供體元素而產生的半導體。術語n型來自於電子的負電荷。在n型半導體中,電子是多數載流子,而電洞是少數載流子。本文所用的術語「p型」指的是井(或電洞)的正電荷。與n型半導體相反,p型半導體的電洞濃度比電子濃度大。在p型半導體中,電洞是多數載流子,而電子是少數載流子。在一個或多個實施例中,摻雜劑選自硼(B)、鎵(Ga)、磷(P)、砷(As)、其他半導體摻雜劑或其組合中的一者或多者。In some embodiments, the semiconductor material may be a doped material, such as n-doped silicon (n-Si) or p-doped silicon (p-Si). In some embodiments, the substrate may be doped using any suitable process, such as an ion implantation process. As used herein, the term "n-type" refers to a semiconductor that is created by doping an electron-donating element into an intrinsic semiconductor during fabrication. The term n-type comes from the negative charge of electrons. In n-type semiconductors, electrons are the majority carriers and holes are the minority carriers. The term "p-type" as used herein refers to the positive charge of the well (or hole). In contrast to n-type semiconductors, p-type semiconductors have a greater concentration of holes than electrons. In p-type semiconductors, holes are the majority carriers and electrons are the minority carriers. In one or more embodiments, the dopant is selected from one or more of boron (B), gallium (Ga), phosphorus (P), arsenic (As), other semiconductor dopants, or combinations thereof.

參照圖1A,在一些未圖示的實施例中,在操作10處,可在基板的頂部表面上形成蝕刻終止層。蝕刻終止層可包括本技術人員已知的任何適當材料。在一個或多個實施例中,蝕刻終止層包括矽鍺(SiGe)。在一個或多個實施例中,蝕刻終止層具有高鍺(Ge)含量。在一個或多個實施例中,鍺的含量在30%至50%的範圍內,包括35%至45%的範圍。非意圖受到理論的約束,申請人認為鍺含量在30%到50%的範圍內會增加蝕刻終止層的選擇性,並使應力缺陷最小化。在一個或多個實施例中,蝕刻終止層的厚度在5奈米到30奈米範圍中。蝕刻終止層可在背側製程過程中作為平面化(如CMP)、乾式或濕式蝕刻的蝕刻終止。Referring to FIG. 1A , in some not-illustrated embodiments, at operation 10 an etch stop layer may be formed on the top surface of the substrate. The etch stop layer may comprise any suitable material known to those skilled in the art. In one or more embodiments, the etch stop layer includes silicon germanium (SiGe). In one or more embodiments, the etch stop layer has a high germanium (Ge) content. In one or more embodiments, the germanium content is in the range of 30% to 50%, including the range of 35% to 45%. Without intending to be bound by theory, applicants believe that a germanium content in the range of 30% to 50% increases the selectivity of the etch stop layer and minimizes stress defects. In one or more embodiments, the thickness of the etch stop layer is in the range of 5 nm to 30 nm. The etch stop layer can be used as an etch stop for planarization (eg, CMP), dry or wet etch during the backside process.

在一個或多個未圖示的實施例中,在操作12處,可將磊晶層(例如,磊晶矽)沉積在蝕刻終止層上。磊晶層的厚度可在20奈米至100奈的範圍中。In one or more non-illustrated embodiments, at operation 12 an epitaxial layer (eg, epitaxial silicon) may be deposited on the etch stop layer. The thickness of the epitaxial layer may be in the range of 20 nm to 100 nm.

參考圖1A和圖2A,在一個或多個實施例中,在操作14處,至少一個超晶格結構101形成在基板102的頂面上或在蝕刻終止層和磊晶層的頂面上。超晶格結構101包括複數個半導體材料層106和相應複數個水平通道層104交替排列成複數個堆疊對。在一些實施例中,複數個堆疊的層組包括矽(Si)和矽鍺(SiGe)組。在一些實施例中,複數個半導體材料層106包括矽鍺(SiGe),而複數個水平通道層104包括矽(Si)。在其他實施例中,複數個水平通道層104包括矽鍺(SiGe),而複數個半導體材料層106包括矽(Si)。Referring to FIGS. 1A and 2A , in one or more embodiments, at operation 14 at least one superlattice structure 101 is formed on the top surface of the substrate 102 or on top of the etch stop layer and the epitaxial layer. The superlattice structure 101 includes a plurality of semiconductor material layers 106 and a corresponding plurality of horizontal channel layers 104 alternately arranged in a plurality of stacked pairs. In some embodiments, the plurality of stacked layer sets includes silicon (Si) and silicon germanium (SiGe) sets. In some embodiments, the plurality of semiconductor material layers 106 includes silicon germanium (SiGe), and the plurality of horizontal channel layers 104 includes silicon (Si). In other embodiments, the plurality of horizontal channel layers 104 includes silicon germanium (SiGe), and the plurality of semiconductor material layers 106 includes silicon (Si).

在一些實施例中,複數個半導體材料層106和相應複數個水平通道層104可以包括適合形成超晶格結構204的任何數量的晶格匹配材料對。在一些實施例中,複數個半導體材料層106和相應複數個水平通道層104包括約2至約50對晶格匹配材料。In some embodiments, the plurality of semiconductor material layers 106 and the corresponding plurality of horizontal channel layers 104 may include any number of lattice-matched material pairs suitable for forming the superlattice structure 204 . In some embodiments, the plurality of semiconductor material layers 106 and the corresponding plurality of horizontal channel layers 104 include about 2 to about 50 pairs of lattice matching materials.

在一個或多個實施例中,複數個半導體材料層106和複數個水平通道層104的厚度在約2奈米至約50奈米的範圍內,在約3奈米至約20奈米的範圍內,或在約2奈米至約15奈米的範圍內。In one or more embodiments, the thickness of the plurality of semiconductor material layers 106 and the plurality of horizontal channel layers 104 is in the range of about 2 nm to about 50 nm, and in the range of about 3 nm to about 20 nm. within, or within the range of about 2 nm to about 15 nm.

參照圖1A和圖2B,在一個或多個實施例中,在操作16處,超晶格結構101被圖案化以在相鄰堆疊105之間形成開口108。圖案化可以藉由熟悉技術人士已知的任何合適手段完成。在這方面使用的術語「開口」是指任何有意的表面不規則性。開口的合適實例包括但不限於具有頂部、兩個側壁和底部的溝槽。開口可具有任何合適的深寬比(特徵的深度與特徵的寬度的比率)。在一些實施例中,深寬比大於或等於約5:1、約10:1、約15:1、約20:1、約25:1、約30:1、約35:1或約40:1。Referring to FIGS. 1A and 2B , in one or more embodiments, at operation 16 the superlattice structure 101 is patterned to form openings 108 between adjacent stacks 105 . Patterning can be accomplished by any suitable means known to those skilled in the art. The term "opening" as used in this context refers to any intentional surface irregularity. Suitable examples of openings include, but are not limited to, trenches having a top, two sidewalls, and a bottom. The openings may have any suitable aspect ratio (the ratio of the depth of the feature to the width of the feature). In some embodiments, the aspect ratio is greater than or equal to about 5:1, about 10:1, about 15:1, about 20:1, about 25:1, about 30:1, about 35:1, or about 40:1. 1.

參照圖1A和圖2C,在操作18處,形成淺溝槽隔離(STI)110。本文所用的術語「淺溝槽隔離(STI)」是指防止電流洩漏的積體電路特徵。在一個或多個實施例中,STI是藉由沉積一種或多種介電質材料(如二氧化矽)來填充溝槽或開口108,並使用例如化學機械平坦化的技術去除多餘介電質而形成的。Referring to FIGS. 1A and 2C , at operation 18 , shallow trench isolation (STI) 110 is formed. As used herein, the term "shallow trench isolation (STI)" refers to an integrated circuit feature that prevents current leakage. In one or more embodiments, STI is achieved by depositing one or more dielectric materials, such as silicon dioxide, to fill the trenches or openings 108, and removing the excess dielectric using techniques such as chemical mechanical planarization. Forming.

參照圖1A和圖2D,在一些實施例中,在超晶格結構101的上方和附近形成替換的閘極結構113(例如,假性閘極結構)。假性閘極結構113界定了電晶體元件的通道區域。假性閘極結構113可以使用本領域已知的任何合適傳統沉積和圖案化製程來形成。Referring to FIGS. 1A and 2D , in some embodiments, an alternate gate structure 113 (eg, a dummy gate structure) is formed over and adjacent to the superlattice structure 101 . The dummy gate structure 113 defines the channel region of the transistor device. The dummy gate structure 113 may be formed using any suitable conventional deposition and patterning process known in the art.

在一個或多個實施例中,假性閘極結構包括閘極114和多矽層112的一者或多者。在一個或多個實施例中,假性閘極結構包括鎢(W)、鈷(Co)、鉬(Mo)、釕(Ru)、氮化鈦(TiN)、氮化鉭(TaN)、鈦鋁(TiAl)和N型摻雜多晶矽中的一者或多者。In one or more embodiments, the dummy gate structure includes one or more of gate 114 and polysilicon layer 112 . In one or more embodiments, the pseudo-gate structure includes tungsten (W), cobalt (Co), molybdenum (Mo), ruthenium (Ru), titanium nitride (TiN), tantalum nitride (TaN), titanium One or more of aluminum (TiAl) and N-type doped polysilicon.

參照圖1A和圖2E,在一些實施例中,在操作22處,沿著假性閘極結構113的外側壁並在超晶格101上形成側壁間隔物116。側壁間隔物116可以包括本領域已知的任何合適絕緣材料,例如氮化矽、氧化矽、氧氮化矽、碳化矽等等。在一些實施例中,側壁間隔物是使用本領域已知的任何合適常規沉積和圖案化製程形成的,諸如原子層沉積、電漿輔助原子層沉積、電漿輔助化學氣相沉積、低壓化學氣相沉積或各向同性沉積。Referring to FIGS. 1A and 2E , in some embodiments, at operation 22 , sidewall spacers 116 are formed along the outer sidewalls of the dummy gate structure 113 and on the superlattice 101 . The sidewall spacers 116 may include any suitable insulating material known in the art, such as silicon nitride, silicon oxide, silicon oxynitride, silicon carbide, and the like. In some embodiments, the sidewall spacers are formed using any suitable conventional deposition and patterning process known in the art, such as atomic layer deposition, plasma assisted atomic layer deposition, plasma assisted chemical vapor deposition, low pressure chemical vapor deposition, phase deposition or isotropic deposition.

參考圖1A和圖2F,在操作24處,在一個或多個實施例中,源/汲極溝槽118形成在超晶格結構101的附近(即在兩側)。Referring to FIGS. 1A and 2F , at operation 24 , in one or more embodiments, source/drain trenches 118 are formed adjacent to (ie, on both sides of) superlattice structure 101 .

參照圖1A和圖2G,在操作26處,在一個或多個實施例中,源/汲極溝槽118被加深和擴大以在超晶格結構101下形成空腔119。空腔119可具有任何合適的深度和寬度。在一個或多個實施例中,空腔119延伸通過淺溝槽隔離110到基板102。在一個或多個實施例中,空腔119蝕刻和假填充物延伸到淺溝槽隔離110以下,並最大限度地延伸到矽鍺(SiGe)蝕刻終止層,從而實現自對準接觸而不碰觸元件。Referring to FIGS. 1A and 2G , at operation 26 , in one or more embodiments, source/drain trenches 118 are deepened and enlarged to form cavities 119 under superlattice structure 101 . Cavity 119 may have any suitable depth and width. In one or more embodiments, cavity 119 extends through shallow trench isolation 110 to substrate 102 . In one or more embodiments, the cavity 119 etch and dummy fill extend below the shallow trench isolation 110 and maximally to the silicon germanium (SiGe) etch stop layer, thereby enabling self-aligned contacts without bumping. touch element.

空腔119可以藉由熟悉技術人士已知的任何適當手段形成。在一個或多個實施例中,沉積硬遮罩117以阻止非V ss/V dd源/汲極。在一個或多個實施例中,硬遮罩117可包括熟悉技術人士已知的合適材料。在一些實施例中,硬遮罩117是阻劑。一旦硬遮罩117形成,空腔119就藉由蝕刻形成。 Cavity 119 may be formed by any suitable means known to those skilled in the art. In one or more embodiments, a hard mask 117 is deposited to block non-V ss /V dd sources/drains. In one or more embodiments, hard mask 117 may comprise suitable materials known to those skilled in the art. In some embodiments, hard mask 117 is a resist. Once the hard mask 117 is formed, the cavity 119 is formed by etching.

操作26的蝕刻製程可包括對源汲極溝槽118具有選擇性的任何合適蝕刻製程。在一些實施例中,操作26的蝕刻製程包括濕式蝕刻製程或乾式蝕刻製程的一者或多者。蝕刻製程可以是定向蝕刻。The etch process of operation 26 may include any suitable etch process that is selective to the source-drain trench 118 . In some embodiments, the etching process of operation 26 includes one or more of a wet etching process or a dry etching process. The etch process may be a directional etch.

在一些實施例中,乾式蝕刻製程可包括傳統電漿蝕刻或遠端電漿輔助的乾式蝕刻製程,例如SiCoNi TM蝕刻製程,可從位於加州聖克拉拉的應用材料公司獲得。在SiCoNi TM蝕刻製程中,元件被暴露在H 2、NF 3和/或NH 3電漿物種中,例如電漿激發的氫和氟物種。例如,在一些實施例中,元件可以同時暴露於H 2、NF 3和NH 3電漿。SiCoNi TM蝕刻製程可在SiCoNi TMPreclean腔室中進行,該腔室可集成到多種多製程平臺中,包括Centura ®、Dual ACP、Producer ®GT和Endura ®平臺,可由Applied Materials ®取得。濕式蝕刻製程可包括氫氟酸(HF)最後製程,即所謂的「HF最後」製程,在此製程中,對表面進行HF蝕刻,使表面被氫端化。或者,也可以採用任何其他基於液體的預磊晶預清洗製程。在一些實施例中,製程包括昇華蝕刻,用於去除原生氧化物。蝕刻製程可以是基於電漿或熱。電漿製程可以是任何合適的電漿(例如,導電耦合電漿、電感耦合電漿、微波電漿)。 In some embodiments, the dry etch process may include conventional plasma etch or a remote plasma assisted dry etch process, such as the SiCoNi etch process, available from Applied Materials, Inc. of Santa Clara, CA. In the SiCoNi etch process, the device is exposed to H2 , NF3 and/or NH3 plasma species, such as plasma excited hydrogen and fluorine species. For example, in some embodiments, components may be simultaneously exposed to H2 , NF3 , and NH3 plasmas. The SiCoNi TM etch process can be performed in a SiCoNi TM Preclean chamber that can be integrated into a variety of multi-process platforms, including the Centura ® , Dual ACP, Producer ® GT and Endura ® platforms, available from Applied Materials ® . The wet etch process may include a hydrofluoric acid (HF) final process, the so-called "HF final" process, in which the surface is hydrogen-terminated by HF etching. Alternatively, any other liquid-based pre-epitaxy pre-cleaning process can also be used. In some embodiments, the process includes sublimation etching to remove native oxide. Etching processes can be plasma-based or thermal. The plasma process can be any suitable plasma (eg, conductively coupled plasma, inductively coupled plasma, microwave plasma).

參照圖1A和圖2H,在操作28處,在空腔119中沉積犧牲材料120。犧牲材料可包括熟悉技術人士已知的任何合適材料。在一些實施例中,犧牲材料120包括矽鍺(SiGe)。在一個或多個實施例中,犧牲材料120具有高鍺(Ge)含量。在一個或多個實施例中,鍺的含量在30%至50%的範圍內,包括35%至45%的範圍。不意圖受到理論的約束,申請人認為鍺含量在30%至50%的範圍內會導致犧牲材料的選擇性增加,並使應力缺陷最小化。Referring to FIGS. 1A and 2H , at operation 28 , sacrificial material 120 is deposited in cavity 119 . The sacrificial material may comprise any suitable material known to those skilled in the art. In some embodiments, the sacrificial material 120 includes silicon germanium (SiGe). In one or more embodiments, sacrificial material 120 has a high germanium (Ge) content. In one or more embodiments, the germanium content is in the range of 30% to 50%, including the range of 35% to 45%. Without intending to be bound by theory, applicants believe that a germanium content in the range of 30% to 50% results in increased selectivity of the sacrificial material and minimizes stress defects.

在一個或多個實施例中,犧牲材料120摻入了用於降低接觸電阻的摻雜劑。在一些實施例中,摻雜劑選自硼(B)、鎵(Ga)、磷(P)、砷(As)、其他半導體摻雜劑或其組合中的一者或多者。在具體實施例中,犧牲材料120是矽鍺,其鍺含量在30%至50%的範圍內,並摻有選自硼(B)、鎵(Ga)、磷(P)和砷(As)中的一者或多者的摻雜劑。In one or more embodiments, the sacrificial material 120 is doped with dopants for reducing contact resistance. In some embodiments, the dopant is selected from one or more of boron (B), gallium (Ga), phosphorus (P), arsenic (As), other semiconductor dopants, or combinations thereof. In a specific embodiment, the sacrificial material 120 is silicon germanium with a germanium content in the range of 30% to 50% and doped with a material selected from the group consisting of boron (B), gallium (Ga), phosphorus (P) and arsenic (As). A dopant of one or more of them.

參照圖1A和圖2I,在操作30處,在每個水平通道層104上形成內間隔物層121。內間隔物層121可包括熟悉技術人士已知的任何合適材料。在一個或多個實施例中,內間隔物層121包括氮化物材料。在具體實施例中,內間隔物層121包括氮化矽。Referring to FIGS. 1A and 2I , at operation 30 , an inner spacer layer 121 is formed on each horizontal channel layer 104 . The inner spacer layer 121 may comprise any suitable material known to those skilled in the art. In one or more embodiments, the inner spacer layer 121 includes a nitride material. In a specific embodiment, the inner spacer layer 121 includes silicon nitride.

參照圖2J和圖1A,在操作32處,在一些實施例中,嵌入的源/汲極區122形成在源/汲極溝槽118中。在一些實施例中,源極區122與超晶格結構101的第一端相鄰形成,而汲極區122與超晶格結構101的第二、相對端相鄰形成。在一些實施例中,源極區和/或汲極區122由任何合適的半導體材料形成,例如但不限於矽(Si)、鍺(Ge)、矽鍺(SiGe)、矽磷(SiP)、矽砷(SiAs)等。在一些實施例中,源/汲極區122可以使用任何合適的沉積製程(例如磊晶沉積製程)來形成。在一些實施例中,源/汲極區122獨立地摻入了磷(P)、砷(As)、硼(B)和鎵(Ga)中的一者或多者。Referring to FIGS. 2J and 1A , at operation 32 , embedded source/drain regions 122 are formed in source/drain trenches 118 in some embodiments. In some embodiments, source region 122 is formed adjacent to a first end of superlattice structure 101 , and drain region 122 is formed adjacent to a second, opposite end of superlattice structure 101 . In some embodiments, the source and/or drain regions 122 are formed of any suitable semiconductor material, such as, but not limited to, silicon (Si), germanium (Ge), silicon germanium (SiGe), silicon phosphorus (SiP), Silicon arsenic (SiAs), etc. In some embodiments, the source/drain regions 122 may be formed using any suitable deposition process, such as an epitaxial deposition process. In some embodiments, the source/drain regions 122 are independently doped with one or more of phosphorus (P), arsenic (As), boron (B) and gallium (Ga).

在一些實施例中,參考圖1A和圖2K,在操作34處,層間介電質(ILD)層124被覆蓋式沉積在基板102上,包括源/汲極區122、假性閘極結構113和側壁間隔物116。ILD層124可以使用傳統的化學氣相沉積方法(例如,電漿輔助化學氣相沉積和低壓化學氣相沉積)進行沉積。在一個或多個實施例中,ILD層124由任何合適的介電質材料形成,例如但不限於未摻雜的氧化矽、摻雜的氧化矽(例如,BPSG、PSG)、氮化矽和氧氮化矽。在一個或多個實施例中,ILD層124接著使用傳統的化學機械平坦化方法進行拋光,以暴露出假性閘極結構113的頂部。在一些實施例中,ILD層124被拋光以暴露出假性閘極結構113的頂部和側壁間隔物116的頂部。In some embodiments, referring to FIGS. 1A and 2K , at operation 34 , an interlayer dielectric (ILD) layer 124 is blanket deposited on the substrate 102 , including source/drain regions 122 , dummy gate structures 113 and sidewall spacers 116 . ILD layer 124 may be deposited using conventional chemical vapor deposition methods (eg, plasma assisted chemical vapor deposition and low pressure chemical vapor deposition). In one or more embodiments, the ILD layer 124 is formed of any suitable dielectric material, such as, but not limited to, undoped silicon oxide, doped silicon oxide (eg, BPSG, PSG), silicon nitride, and Silicon oxynitride. In one or more embodiments, the ILD layer 124 is then polished using conventional chemical mechanical planarization methods to expose the tops of the dummy gate structures 113 . In some embodiments, the ILD layer 124 is polished to expose the tops of the dummy gate structures 113 and the tops of the sidewall spacers 116 .

假性閘極結構101可被移除以暴露超晶格結構101的通道區域108。ILD層124在移除假性閘極結構113的過程中保護源/汲極區122。假性閘極結構113可以使用任何傳統的蝕刻方法(例如,電漿乾式蝕刻或濕式蝕刻)來移除。在一些實施例中,假性閘極結構113包括多晶矽,且假性閘極結構113是藉由選擇性蝕刻製程去除的。在一些實施例中,假性閘極結構113包括多晶矽,而超晶格結構101包括矽(Si)和矽鍺(SiGe)的交替層。The dummy gate structure 101 can be removed to expose the channel region 108 of the superlattice structure 101 . The ILD layer 124 protects the source/drain region 122 during the removal of the dummy gate structure 113 . The dummy gate structure 113 can be removed using any conventional etching method (eg, plasma dry etching or wet etching). In some embodiments, the dummy gate structure 113 includes polysilicon, and the dummy gate structure 113 is removed by a selective etching process. In some embodiments, the dummy gate structure 113 includes polysilicon, and the superlattice structure 101 includes alternating layers of silicon (Si) and silicon germanium (SiGe).

參考圖1B和圖2L,在操作38處,半導體元件(例如GAA)的形成繼續按照傳統的流程進行奈米片的釋放和替換金屬閘極形成。具體來說,在一個或多個未圖示的實施例中,複數個半導體材料層106在超晶格結構101中的複數個水平通道層104之間被選擇性地蝕刻。例如,當超晶格結構101由矽(Si)層和矽鍺(SiGe)層組成時,矽鍺(SiGe)被選擇性地蝕刻以形成通道奈米線。複數個半導體材料層106,例如矽鍺(SiGe),可以使用任何習知的蝕刻劑去除,蝕刻劑對複數個水平通道層104具有選擇性,其中蝕刻劑對複數個半導體材料層106的蝕刻率明顯高於複數個水準通道層104。在一些實施例中,可以使用選擇性乾式蝕刻或濕式蝕刻製程。在一些實施例中,當複數個水平通道層104是矽(Si)而複數個半導體材料層106是矽鍺(SiGe)時,可以使用濕式蝕刻劑選擇性地去除矽鍺層,濕式蝕刻劑例如但不限於羧酸/硝酸/HF水溶液和檸檬酸/硝酸/HF水溶液。複數個半導體材料層106的去除會在複數個水平通道層104之間留下空隙。複數個水平通道層104之間的空隙具有約3奈米至約20奈米的厚度。殘留的水平通道層104形成通道奈米線的垂直陣列,與源/汲極區122耦合。通道奈米線平行於基板102的頂面並相互對齊,以形成單列的通道奈米線。Referring to FIG. 1B and FIG. 2L , at operation 38 , the formation of the semiconductor element (eg, GAA) continues in the conventional flow with nanosheet release and replacement metal gate formation. Specifically, in one or more non-illustrated embodiments, the plurality of semiconductor material layers 106 are selectively etched between the plurality of horizontal channel layers 104 in the superlattice structure 101 . For example, when the superlattice structure 101 is composed of a silicon (Si) layer and a silicon germanium (SiGe) layer, the silicon germanium (SiGe) is selectively etched to form channel nanowires. A plurality of semiconductor material layers 106, such as silicon germanium (SiGe), can be removed using any known etchant, and the etchant is selective to the plurality of horizontal channel layers 104, wherein the etching rate of the etchant for the plurality of semiconductor material layers 106 is Significantly higher than the plurality of horizontal channel layers 104 . In some embodiments, a selective dry etch or wet etch process may be used. In some embodiments, when the plurality of horizontal channel layers 104 are silicon (Si) and the plurality of semiconductor material layers 106 are silicon germanium (SiGe), a wet etchant may be used to selectively remove the silicon germanium layer, wet etching Agents such as but not limited to carboxylic acid/nitric acid/HF aqueous solution and citric acid/nitric acid/HF aqueous solution. The removal of the semiconductor material layers 106 leaves gaps between the horizontal channel layers 104 . The gap between the plurality of horizontal channel layers 104 has a thickness of about 3 nm to about 20 nm. The remaining horizontal channel layer 104 forms a vertical array of channel nanowires coupled to source/drain regions 122 . The channel nanowires are parallel to the top surface of the substrate 102 and aligned with each other to form a single row of channel nanowires.

在一個或多個實施例中,形成高k介電質。高k介電質可以是藉由熟悉技術人士已知的任何合適沉積技術沉積的任何合適高k介電質材料。一些實施例的高k介電質包括氧化鉿。在一些實施例中,諸如氮化鈦(TiN)、鎢(W)、鈷(Co)、鋁(Al)等的導電材料被沉積在高k介電質上,以形成替換金屬閘極128。導電材料可以使用任何合適的沉積製程(例如但不限於原子層沉積(ALD))形成,以確保形成具有均勻厚度的層,圍繞複數個通道層中的每個通道層。In one or more embodiments, a high-k dielectric is formed. The high-k dielectric can be any suitable high-k dielectric material deposited by any suitable deposition technique known to those skilled in the art. The high-k dielectric of some embodiments includes hafnium oxide. In some embodiments, a conductive material such as titanium nitride (TiN), tungsten (W), cobalt (Co), aluminum (Al), etc. is deposited on the high-k dielectric to form the replacement metal gate 128 . The conductive material may be formed using any suitable deposition process, such as but not limited to atomic layer deposition (ALD), to ensure formation of a layer of uniform thickness surrounding each of the plurality of channel layers.

參照圖1B和圖2M,在操作38處,形成了到電晶體的觸點(CT)132和到閘極的觸點(CG)134。Referring to FIGS. 1B and 2M , at operation 38 , a contact to the transistor (CT) 132 and a contact to the gate (CG) 134 are formed.

參照圖1B和圖2N,在操作40處,形成金屬(M0)線142,並與通孔(V1)144電連接。這與傳統製程類似,只是M0線沒有電力軌,從而為信號線創造了充足的空間。Referring to FIGS. 1B and 2N , at operation 40 , a metal ( M0 ) line 142 is formed and electrically connected to a via ( V1 ) 144 . This is similar to the traditional process, except that the M0 line has no power rail, thus creating ample space for the signal line.

參照圖2O,在操作42處,元件100被旋轉或翻轉180度,從而使基板102現在處於圖式的頂部。此外,在一個或多個實施例中,基板102被平坦化。平坦化可以是本領域技術人員已知的任何合適平坦化製程,包括但不限於化學機械平坦化(CMP)。在一個或多個實施例中,在旋轉之前,正面在最後一層與銅(Cu)金屬化結合,用混合鍵結(氧化物對氧化物,Cu對Cu)或靜電假性晶圓鍵結。Referring to FIG. 2O, at operation 42, the component 100 is rotated or flipped 180 degrees so that the substrate 102 is now at the top of the drawing. Additionally, in one or more embodiments, the substrate 102 is planarized. Planarization may be any suitable planarization process known to those skilled in the art, including but not limited to chemical mechanical planarization (CMP). In one or more embodiments, the front side is bonded with copper (Cu) metallization on the last layer prior to spinning, with hybrid bonding (oxide-to-oxide, Cu-to-Cu) or electrostatic pseudo-wafer bonding.

參照圖1B和圖2P,在操作44處,在背側上沉積層間介電質146/148。層間介電質材料146/148可以藉由本領域技術人員已知的任何合適方式沉積。層間介電質材料146/148可包括本領域技術人員已知的任何合適材料。在一個或多個實施例中,層間介電質材料146/148包括氮化矽(SiN)、碳化物或碳化硼中的一者或多者,以實現高深寬比蝕刻和金屬化。Referring to FIGS. 1B and 2P , at operation 44 an interlayer dielectric 146 / 148 is deposited on the backside. ILD material 146/148 may be deposited by any suitable means known to those skilled in the art. The interlayer dielectric material 146/148 may comprise any suitable material known to those skilled in the art. In one or more embodiments, the ILD material 146/148 includes one or more of silicon nitride (SiN), carbide, or boron carbide to enable high aspect ratio etching and metallization.

如圖2Q所示,在操作46處,在一個或多個實施例中,形成背側電力軌道通孔152。通孔152可以藉由本領域技術人員已知的任何合適方式形成。在一個或多個實施例中,通孔152可以藉由圖案化和蝕刻層間介電質材料146/148而形成。As shown in FIG. 2Q , at operation 46 , in one or more embodiments, backside power rail vias 152 are formed. The via hole 152 can be formed by any suitable means known to those skilled in the art. In one or more embodiments, via 152 may be formed by patterning and etching ILD material 146/148.

參照圖1B和圖2R,在操作48處,藉由擴大通孔152到觸點120、122,形成鑲嵌溝槽154。擴大通孔152以形成溝槽154,使開口的大小至少增加一倍,允許自我對準。在一個或多個實施例中,通孔152的起始尺寸為約16奈米乘以26奈米,並擴展到形成具有約90奈米乘以74奈米尺寸的溝槽154。Referring to FIGS. 1B and 2R , at operation 48 , damascene trenches 154 are formed by enlarging vias 152 to contacts 120 , 122 . Enlarging via 152 to form trench 154 at least doubles the size of the opening allows self-alignment. In one or more embodiments, via 152 starts with dimensions of approximately 16 nm by 26 nm and expands to form trench 154 having dimensions of approximately 90 nm by 74 nm.

鑲嵌溝槽154停在觸點120、122。鑲嵌溝槽154可具有熟悉技術人士已知的任何合適深寬比。在一些實施例中,深寬比大於或等於約5:1、約10:1、約15:1、約20:1、約25:1、約30:1、約35:1或約40:1。在一個或多個實施例中,鑲嵌溝槽154的臨界尺寸約為16奈米×26奈米,或約10奈米×30奈米,或約15奈米×30奈米。在一個或多個實施例中,背側通孔的高度取決於在蝕刻終止層上沉積的原始磊晶層厚度。The damascene trench 154 stops at the contacts 120 , 122 . The damascene trenches 154 may have any suitable aspect ratio known to those skilled in the art. In some embodiments, the aspect ratio is greater than or equal to about 5:1, about 10:1, about 15:1, about 20:1, about 25:1, about 30:1, about 35:1, or about 40:1. 1. In one or more embodiments, the critical dimension of the damascene trench 154 is about 16 nm by 26 nm, or about 10 nm by 30 nm, or about 15 nm by 30 nm. In one or more embodiments, the height of the backside via depends on the thickness of the original epitaxial layer deposited on the etch stop layer.

在操作50處,如圖2S所示,犧牲層120被選擇性地移除,以在源/汲極122上形成開口156。在一個或多個實施例中,如果犧牲層120摻雜了Ga、B、P中的一者或多者,則可以部分移除而留下一些犧牲層120。部分去除犧牲層120可形成至剩餘犧牲層120(如SiGe)的低電阻率觸點。At operation 50 , as shown in FIG. 2S , sacrificial layer 120 is selectively removed to form opening 156 on source/drain 122 . In one or more embodiments, if the sacrificial layer 120 is doped with one or more of Ga, B, P, it may be partially removed leaving some sacrificial layer 120 . Partial removal of the sacrificial layer 120 may form a low-resistivity contact to the remaining sacrificial layer 120 (eg, SiGe).

在操作52處,如圖2T所示,在去除犧牲層120後形成的開口156中沉積金屬填充物156。金屬填充物156可包括熟悉技術人士已知的任何合適材料。在一個或多個實施例中,金屬填充物156選自鈦(Ti)、氮化鈦(TiN)、鉭(Ta)、氮化鉭(TaN)、鎢(W)、鉬(Mo)、鈷(Co)、銅(Cu)、釕(Ru)等的一者或多者。At operation 52 , as shown in FIG. 2T , a metal fill 156 is deposited in opening 156 formed after removal of sacrificial layer 120 . Metal fill 156 may comprise any suitable material known to those skilled in the art. In one or more embodiments, metal filler 156 is selected from titanium (Ti), titanium nitride (TiN), tantalum (Ta), tantalum nitride (TaN), tungsten (W), molybdenum (Mo), cobalt One or more of (Co), copper (Cu), ruthenium (Ru), and the like.

參照圖1B和圖2U,在操作54處,形成背側金屬線(M0)160。非意圖受到理論約束,申請人認為將電力軌道設在背側上,可以使電池的面積在20%至30%的範圍內得到增益。Referring to FIGS. 1B and 2U , at operation 54 , a backside metal line ( M0 ) 160 is formed. Without intending to be bound by theory, applicants believe that placing the power rails on the backside can result in cell area gains in the range of 20% to 30%.

圖3說明了根據本揭露內容的一些實施例用於薄化半導體晶圓的方法60的製程流程圖。圖4A-4E描述了根據本揭露內容的一些實施例的晶圓薄化階段。下面將結合圖4A-4E對方法60進行描述。圖4A-4E是根據一個或多個實施例的電子元件(例如GAA)的橫截面圖。方法60可為半導體元件的多步驟製造製程的一部分。因此,方法60可以在任何與群集工具耦合的合適製程腔室中執行。群集工具可包括用於製造半導體元件的製程腔室,諸如配置用於蝕刻、沉積、物理氣相沉積(PVD)、化學氣相沉積(CVD)、氧化的腔室或任何用於製造半導體元件的其他適當腔室。FIG. 3 illustrates a process flow diagram of a method 60 for thinning a semiconductor wafer according to some embodiments of the present disclosure. 4A-4E depict wafer thinning stages according to some embodiments of the present disclosure. Method 60 will be described below in conjunction with FIGS. 4A-4E . 4A-4E are cross-sectional views of an electronic component, such as a GAA, according to one or more embodiments. Method 60 may be part of a multi-step manufacturing process for a semiconductor device. Accordingly, method 60 may be performed in any suitable process chamber coupled to a cluster tool. A cluster tool may include a process chamber for fabricating semiconductor elements, such as a chamber configured for etching, deposition, physical vapor deposition (PVD), chemical vapor deposition (CVD), oxidation, or any other appropriate chambers.

圖4A-4E是圖3中的操作62至76的製造步驟。參照圖3,薄化元件400的方法60從操作62開始。參照圖3和圖4A-4E,在一個或多個實施例的方法中,使用標準製程流程製造電晶體,例如環繞式閘極電晶體。4A-4E are fabrication steps of operations 62 through 76 in FIG. 3 . Referring to FIG. 3 , method 60 of thinning element 400 begins at operation 62 . Referring to Figures 3 and 4A-4E, in the method of one or more embodiments, a transistor, such as a wraparound gate transistor, is fabricated using standard process flows.

在一些實施例中,提供矽晶圓402,並且在操作62處,在矽晶圓上形成埋入式蝕刻終止層404。埋入式蝕刻終止層404可包括本技術人員已知的任何適當材料。在一個或多個實施例中,埋入式蝕刻終止層404包括矽鍺(SiGe)。在一個或多個實施例中,埋入式蝕刻終止層404具有高鍺(Ge)含量。在一個或多個實施例中,鍺的含量在30%至50%的範圍內,包括35%至45%的範圍。非意圖受到理論約束,申請人認為鍺的含量在30%到50%的範圍內會導致埋入式蝕刻層404的選擇性增加,並使應力缺陷最小化。In some embodiments, a silicon wafer 402 is provided and at operation 62 a buried etch stop layer 404 is formed on the silicon wafer. Buried etch stop layer 404 may comprise any suitable material known to those skilled in the art. In one or more embodiments, buried etch stop layer 404 includes silicon germanium (SiGe). In one or more embodiments, buried etch stop layer 404 has a high germanium (Ge) content. In one or more embodiments, the germanium content is in the range of 30% to 50%, including the range of 35% to 45%. Without intending to be bound by theory, applicants believe that a germanium content in the range of 30% to 50% results in increased selectivity of the buried etch layer 404 and minimizes stress defects.

在一個或多個未圖示的實施例中,在操作64處,沉積磊晶層(例如,磊晶矽)。在操作66處,晶圓隨後被置於元件和前端製程中。前端製程可以是上述關於圖1A-1B中說明的方法6和圖2A-2U截面圖中描述的過程。In one or more non-illustrated embodiments, at operation 64 an epitaxial layer (eg, epitaxial silicon) is deposited. The wafer is then placed in component and front-end processing at operation 66 . The front-end process may be the process described above with respect to method 6 illustrated in FIGS. 1A-1B and the cross-sectional views in FIGS. 2A-2U .

參考圖3和圖4B,在操作68處,在一個或多個實施例中,在前端製程後,晶圓400經歷混合鍵結(例如,到銅或到氧化物),然後晶圓被有利地變薄。未意圖受到理論約束,申請人認為將晶圓變薄有利於提供所需的平整度和鍵結,使背側電力軌道成為可能。3 and 4B, at operation 68, in one or more embodiments, after front-end processing, wafer 400 undergoes hybrid bonding (e.g., to copper or to oxide), and the wafer is then advantageously Thinned. Without intending to be bound by theory, applicants believe that thinning the wafer is beneficial in providing the required flatness and bonding to enable backside power rails.

在一個或多個實施例中,參照圖3和圖4C,為了使晶圓變薄,在操作70處,將具有起始第一厚度t 1的矽基板層402研磨到第二厚度,第二厚度t 2小於第一厚度。矽基板層402可以藉由熟悉技術人士已知的任何合適方式進行研磨。在一些實施例中,矽基板層402被置於化學機械平坦化(CMP)中,然後進行蝕刻和CMP拋光。將矽基板層402的厚度降低到第三厚度t 3,第三厚度小於第二厚度。在一個或多個實施例中,第一厚度在500微米至1000微米的範圍內。在一個或多個實施例中,第二厚度在20微米至100微米的範圍內。在一個或多個實施例中,第三厚度在1微米至20微米的範圍內。 In one or more embodiments, referring to FIGS. 3 and 4C , to thin the wafer, at operation 70 , the silicon substrate layer 402 having an initial first thickness t 1 is ground to a second thickness, the second The thickness t2 is smaller than the first thickness. The silicon substrate layer 402 may be ground by any suitable means known to those skilled in the art. In some embodiments, the silicon substrate layer 402 is subjected to chemical mechanical planarization (CMP), followed by etching and CMP polishing. The thickness of the silicon substrate layer 402 is reduced to a third thickness t 3 , the third thickness being smaller than the second thickness. In one or more embodiments, the first thickness is in the range of 500 microns to 1000 microns. In one or more embodiments, the second thickness is in the range of 20 microns to 100 microns. In one or more embodiments, the third thickness is in the range of 1 micron to 20 microns.

參照圖3和圖4D,在操作72處,埋入式蝕刻終止層404被選擇性地移除,以暴露出源/汲極408。在操作74處,然後用金屬預填充觸點410,並進行金屬化,如圖4E中所示。在一個或多個實施例中,觸點410被預填充的金屬選自鈦(Ti)、氮化鈦(TiN)、鉭(Ta)、氮化鉭(TaN)、鎢(W)、鉬(Mo)、鈷(Co)、銅(Cu)、釕(Ru)等中的一者或多者。Referring to FIGS. 3 and 4D , at operation 72 , the buried etch stop layer 404 is selectively removed to expose the source/drain 408 . At operation 74, the contacts 410 are then pre-filled with metal and metallized, as shown in FIG. 4E. In one or more embodiments, the contact 410 is pre-filled with a metal selected from titanium (Ti), titanium nitride (TiN), tantalum (Ta), tantalum nitride (TaN), tungsten (W), molybdenum ( One or more of Mo), cobalt (Co), copper (Cu), ruthenium (Ru), and the like.

圖5A-5E說明了圖3中操作78-80的替代製作步驟。參照圖3,薄化元件400的方法60從操作62開始,並進行到操作70,如圖4A-4C所詳述和說明的。5A-5E illustrate alternative fabrication steps for operations 78-80 of FIG. 3 . Referring to Figure 3, the method 60 of thinning a component 400 begins at operation 62 and proceeds to operation 70, as detailed and illustrated in Figures 4A-4C.

在操作70處藉由矽研磨使矽基板402變薄後,方法可進入操作78,在操作78處,在埋入式蝕刻終止層404上形成大遮罩502。遮罩502可包括熟悉技術人士已知的任何合適材料。在一個或多個實施例中,遮罩502選自碳化物、碳化硼和氮化矽中的一者或多者。After thinning the silicon substrate 402 by silicon grinding at operation 70 , the method may proceed to operation 78 where a large mask 502 is formed over the buried etch stop layer 404 . Mask 502 may comprise any suitable material known to those skilled in the art. In one or more embodiments, the mask 502 is selected from one or more of carbide, boron carbide, and silicon nitride.

在操作80處,遮罩502被蝕刻以形成複數個通矽通孔(TSV)508,通矽通孔延伸至埋入式蝕刻終止層404。通孔508可以藉由熟悉技術人士已知的任何適當方式形成。在一個或多個實施例中,通孔508是藉由蝕刻形成的。尺寸為奈米級的TSV允許高密度地封裝這個已形成元件或連接到這個元件的其他晶片,而不需要傳統的大型TSV,這在常規的3D封裝中會增加成本和空間。At operation 80 , mask 502 is etched to form a plurality of through silicon vias (TSVs) 508 extending to buried etch stop layer 404 . Vias 508 may be formed by any suitable means known to those skilled in the art. In one or more embodiments, vias 508 are formed by etching. TSVs with dimensions on the nanometer scale allow high-density packaging of this formed component or other wafers connected to this component, without the need for conventional large TSVs, which increase cost and space in conventional 3D packaging.

在操作82處,參照圖3和圖5C,選擇性地去除埋入式蝕刻終止層404,以形成開口510。埋入式蝕刻終止層404可以藉由熟悉技術人士已知的任何合適方式選擇性地移除。在一個或多個實施例中,藉由蝕刻元件的側面,選擇性地去除埋入式蝕刻終止層404。At operation 82 , referring to FIGS. 3 and 5C , the buried etch stop layer 404 is selectively removed to form the opening 510 . The buried etch stop layer 404 can be selectively removed by any suitable means known to those skilled in the art. In one or more embodiments, the buried etch stop layer 404 is selectively removed by etching the sides of the device.

參照圖3和圖5D,在操作84處,帶有通孔508的遮罩508被掀離元件。掀離可以藉由熟悉技術人士已知的任何合適手段發生。在一個或多個實施例中,掀離允許晶圓變薄至50nm至100nm範圍內的厚度。在一個或多個實施例中,掀離的結果是薄化的晶圓在元件500中基本上沒有缺陷和劃痕。在一個或多個實施例中,掀離需要犧牲層120在晶圓上的橫向(各向同性蝕刻),這可以藉由Selectra®蝕刻實現。Referring to Figures 3 and 5D, at operation 84, the shroud 508 with the through holes 508 is lifted off the component. Lift-off may occur by any suitable means known to those skilled in the art. In one or more embodiments, lift-off allows the wafer to be thinned to a thickness in the range of 50nm to 100nm. In one or more embodiments, the lift-off results in a thinned wafer that is substantially free of defects and scratches in the component 500 . In one or more embodiments, lift-off requires lateral (isotropic etching) of the sacrificial layer 120 on the wafer, which can be achieved by Selectra® etching.

圖6說明了根據本揭露內容的一些實施例的製造半導體元件的方法600的製程流程圖。圖7A-7D描述了根據本揭露內容的一些實施例形成深通孔和背側觸點的階段。下面將結合圖7A-7D描述方法600。圖7A-7D是根據一個或多個實施例的電子元件(例如GAA)700的橫截面圖。方法600可為半導體元件的多步驟製造製程的一部分。因此,方法600可以在任何與群集工具耦合的合適製程腔室中執行。群集工具可包括用於製造半導體元件的製程腔室,諸如配置用於蝕刻、沉積、物理氣相沉積(PVD)、化學氣相沉積(CVD)、氧化的腔室或任何用於製造半導體元件的其他適當腔室。FIG. 6 illustrates a process flow diagram of a method 600 of manufacturing a semiconductor device according to some embodiments of the present disclosure. 7A-7D depict the stages of forming deep vias and backside contacts according to some embodiments of the present disclosure. Method 600 will be described below in conjunction with FIGS. 7A-7D . 7A-7D are cross-sectional views of an electronic component (eg, GAA) 700 according to one or more embodiments. Method 600 may be part of a multi-step manufacturing process for a semiconductor device. Accordingly, method 600 may be performed in any suitable process chamber coupled to a cluster tool. A cluster tool may include a process chamber for fabricating semiconductor elements, such as a chamber configured for etching, deposition, physical vapor deposition (PVD), chemical vapor deposition (CVD), oxidation, or any other appropriate chambers.

圖7A-7D是圖6中操作602至614的製作步驟。參照圖6,形成深通孔和背側觸點的方法600從操作602開始。參照圖6和圖7A-7D,在一個或多個實施例的方法600中,在操作602處,使用標準製程流程製造電晶體,例如環繞式閘極電晶體。元件700可以根據關於圖1A-1B和圖2A-2Q描述的方法形成。7A-7D are fabrication steps of operations 602 to 614 in FIG. 6 . Referring to FIG. 6 , a method 600 of forming deep vias and backside contacts begins at operation 602 . Referring to Figures 6 and 7A-7D, in method 600 of one or more embodiments, at operation 602, a transistor, such as a wraparound gate transistor, is fabricated using standard process flows. Component 700 may be formed according to the methods described with respect to FIGS. 1A-1B and 2A-2Q.

在操作604處,如圖7A所示,在正面形成至少一個深通孔702。深通孔702可具有任何合適的尺寸或形狀。深通孔702可具有任何合適的深寬比(特徵的深度與特徵的寬度的比率)。在一些實施例中,深寬比大於或等於約5:1、約10:1、約15:1、約20:1、約25:1、約30:1、約35:1或約40:1。在一個或多個實施例中,深通孔702的臨界尺寸約為16奈米×16奈米,或約10奈米×10奈米,或約15奈米×15奈米,或約20奈米×20奈米。At operation 604, at least one deep via 702 is formed on the front side as shown in FIG. 7A. Deep vias 702 may be of any suitable size or shape. The deep via 702 may have any suitable aspect ratio (the ratio of the depth of the feature to the width of the feature). In some embodiments, the aspect ratio is greater than or equal to about 5:1, about 10:1, about 15:1, about 20:1, about 25:1, about 30:1, about 35:1, or about 40:1. 1. In one or more embodiments, deep via 702 has a critical dimension of about 16 nm x 16 nm, or about 10 nm x 10 nm, or about 15 nm x 15 nm, or about 20 nm m x 20 nm.

參照圖6和圖7B,在操作606處,深通孔702可被填充金屬704。金屬704可以是本領域技術人員已知的任何合適金屬。在一個或多個實施例中,金屬704選自鈦(Ti)、氮化鈦(TiN)、鉭(Ta)、氮化鉭(TaN)、鎢(W)、鉬(Mo)、鈷(Co)、銅(Cu)、釕(Ru)等的一者或多者。Referring to FIGS. 6 and 7B , at operation 606 , deep via 702 may be filled with metal 704 . Metal 704 may be any suitable metal known to those skilled in the art. In one or more embodiments, metal 704 is selected from titanium (Ti), titanium nitride (TiN), tantalum (Ta), tantalum nitride (TaN), tungsten (W), molybdenum (Mo), cobalt (Co ), copper (Cu), ruthenium (Ru) and the like.

參照圖6和圖7C,在操作608處,接合晶圓706被鍵結到正面。在操作610處,基板708可選擇性地根據上述關於圖3的方法進行薄化。在操作612處,如圖7D所示,接著形成觸點710以與深通孔702中的金屬704電性連接。觸點710可包括熟悉技術人士所知的任何合適材料。在一個或多個實施例中,觸點710包括選自鈦(Ti)、氮化鈦(TiN)、鉭(Ta)、氮化鉭(TaN)、鎢(W)、鉬(Mo)、鈷(Co)、銅(Cu)、釕(Ru)等中的一者或多者的金屬。在操作614處,如圖7D所示,接著發生金屬化。Referring to FIGS. 6 and 7C , at operation 608 bonded wafer 706 is bonded to the front side. At operation 610 , the substrate 708 may optionally be thinned according to the method described above with respect to FIG. 3 . At operation 612 , as shown in FIG. 7D , contacts 710 are then formed to electrically connect with the metal 704 in the deep via 702 . Contact 710 may comprise any suitable material known to those skilled in the art. In one or more embodiments, the contacts 710 comprise titanium (Ti), titanium nitride (TiN), tantalum (Ta), tantalum nitride (TaN), tungsten (W), molybdenum (Mo), cobalt One or more metals of (Co), copper (Cu), ruthenium (Ru) and the like. At operation 614, as shown in Figure 7D, metallization then occurs.

在一些實施例中,整合這些方法以致沒有真空破壞。在一個或多個實施例中,通孔蝕刻(操作80)、去除埋入式犧牲層(操作82)和基板釋放掀離(操作84)可加以整合使操作之間沒有真空中斷。In some embodiments, these methods are integrated so that there is no vacuum break. In one or more embodiments, via etch (operation 80), buried sacrificial layer removal (operation 82), and substrate release lift-off (operation 84) may be integrated without vacuum breaks between operations.

揭露內容的其他實施例是針對用於形成GAA元件和方法的製程工具300,如圖8所示。可以使用各種多製程平臺,包括可從Applied Materials ®獲得的Reflexion® CMP、Selectra®蝕刻、Centura ®、Dual ACP、Producer ®GT和Endura ®平臺,以及其他製程系統。群集工具300包括至少一個具有複數個側面的中央傳送站314。機器人316被安置在中央傳送站314內,並被配置為將機器人葉片和晶圓移動到複數個側面中的每一個。 Other embodiments of the disclosure are directed to a process tool 300 for forming GAA devices and methods, as shown in FIG. 8 . A variety of multi-process platforms can be used, including the Reflexion® CMP, Selectra® Etch, Centura® , Dual ACP, Producer® GT , and Endura® platforms available from Applied Materials® , as well as other process systems. Cluster tool 300 includes at least one central transfer station 314 having a plurality of sides. A robot 316 is positioned within the central transfer station 314 and is configured to move the robot blade and wafer to each of the plurality of sides.

群集工具300包括與中央傳送站連接的複數個製程腔室308、310和312,製程腔室也被稱為製程站。多種製程腔室提供與相鄰製程站隔離的獨立製程區域。製程腔室可以是任何合適的腔室,包括但不限於預清洗腔室、沉積腔室、退火腔室、蝕刻腔室等。製程腔室和部件的具體配置可根據群集工具的不同而變化,且不應將其視為限制本揭露內容的範圍。The cluster tool 300 includes a plurality of process chambers 308, 310, and 312, also referred to as process stations, connected to a central transfer station. A variety of process chambers provide independent process areas isolated from adjacent process stations. The process chamber may be any suitable chamber, including but not limited to a pre-clean chamber, a deposition chamber, an anneal chamber, an etch chamber, and the like. The specific configuration of process chambers and components may vary from cluster tool to cluster tool and should not be considered as limiting the scope of this disclosure.

在圖8所示的實施例中,工廠介面318與群集工具300的前部相連。工廠介面318包括用於在工廠介面318的前部319上裝載和卸載的腔室302。In the embodiment shown in FIG. 8 , factory interface 318 is connected to the front of cluster tool 300 . The factory interface 318 includes a chamber 302 for loading and unloading on the front 319 of the factory interface 318 .

裝載和卸載腔室302的大小和形狀可以根據例如在群集工具300中處理的基板而變化。在所示的實施例中,裝載和卸載腔室302的大小是為了容納晶圓匣,其中有複數個晶圓被放置在匣內。The size and shape of the load and unload chambers 302 may vary depending on, for example, the substrates being processed in the cluster tool 300 . In the illustrated embodiment, the load and unload chamber 302 is sized to accommodate a cassette in which a plurality of wafers are placed.

機器人304在工廠介面318內,並可在裝載和卸載腔室302之間移動。機器人304能夠將裝載腔室302中的晶圓藉由工廠介面318傳送到裝載閘腔室320。機器人304也能夠藉由工廠介面318將晶圓從裝載閘腔室320轉移到卸載腔室302的匣。Robot 304 is within factory interface 318 and is movable between loading and unloading chamber 302 . The robot 304 can transfer the wafers in the load chamber 302 to the load lock chamber 320 through the factory interface 318 . The robot 304 is also capable of transferring wafers from the load lock chamber 320 to the cassettes of the unload chamber 302 via the factory interface 318 .

一些實施例的機器人316是多臂機器人,能夠同時獨立地移動一個以上的晶圓。機器人316被配置成可在傳送腔室314周圍的腔室之間移動晶圓。單個晶圓被搬運到位於第一機器人機構的遠端處的晶圓運輸葉片上。Robot 316 of some embodiments is a multi-armed robot capable of independently moving more than one wafer simultaneously. Robot 316 is configured to move wafers between chambers around transfer chamber 314 . A single wafer is handled onto a wafer transport blade located at the distal end of the first robotic mechanism.

系統控制器357與機器人316和複數個製程腔室308、310和312進行通信。系統控制器357可以是任何可控制製程腔室和機器人的合適部件。例如,系統控制器357可以是電腦,包括中央處理單元(CPU)392、記憶體394、輸入/輸出396、合適電路398和儲存裝置。The system controller 357 communicates with the robot 316 and the plurality of process chambers 308 , 310 and 312 . The system controller 357 can be any suitable component that can control the process chamber and the robot. For example, system controller 357 may be a computer including a central processing unit (CPU) 392, memory 394, input/output 396, appropriate circuitry 398, and storage devices.

製程一般可作為軟體常式儲存在系統控制器357的記憶體中,當軟體常式被處理器執行時,會使製程腔室執行本揭露內容的製程。軟體常式也可以由在被處理器控制的硬體遠端的第二處理器(未示出)儲存和/或執行。本揭露內容的部分或全部方法也可在硬體中執行。因此,製程可用軟體實現,並使用電腦系統執行,也可以用硬體實現,例如特定應用的積體電路或其他類型的硬體實現,或作為軟體和硬體的組合實現。軟體程式由處理器執行時,將通用電腦轉變為控制腔室操作的特定用途電腦(控制器),以便執行製程。The recipes may generally be stored in the memory of the system controller 357 as software routines that, when executed by the processor, cause the process chamber to execute the processes of the present disclosure. Software routines may also be stored and/or executed by a second processor (not shown) remote from hardware controlled by the processor. Some or all of the methods of this disclosure may also be implemented in hardware. Thus, a process may be implemented in software and executed using a computer system, in hardware such as an application specific integrated circuit or other type of hardware, or as a combination of software and hardware. The software programs, when executed by the processor, turn the general-purpose computer into a special-purpose computer (the controller) that controls the operation of the chamber to execute the process.

在一些實施例中,系統控制器357具有控制快速熱製程腔室以使範本材料結晶的配置。In some embodiments, the system controller 357 is configured to control the RTP chamber to crystallize the template material.

在一個或多個實施例中,製程工具包括:中央傳送站,包括被配置為移動晶圓的機器人;複數個製程站,每個製程站與中央傳送站相連,並提供與相鄰製程站的製程區域分離的處理區域,複數個製程站包括範本沉積腔室和範本結晶腔室;控制器,連接到中央傳送站和複數個製程站,控制器被配置為啟動機器人以在製程站之間移動晶圓,並控制每個製程站中發生的製程。In one or more embodiments, the process tool includes: a central transfer station, including a robot configured to move wafers; a plurality of process stations, each process station is connected to the central transfer station and provides communication with adjacent processing stations process area separated processing area, a plurality of process stations including a template deposition chamber and a template crystallization chamber; a controller, connected to a central transfer station and a plurality of process stations, the controller is configured to activate a robot to move between the process stations wafers, and control the processes that occur in each process station.

在描述本文所討論的材料和方法的背景下(特別是在下方申請專利範圍的背景下),術語「一」和「該」與類似指定代名詞的使用應被解釋為涵蓋單數和複數,除非本文另有說明或與上下文明顯相悖。除非本文另有說明,否則本文對數值範圍的敘述僅僅是為了作為速記方法以單獨提及落在範圍內的每個單獨數值,且每個單獨數值被併入說明書,就如同在本文中單獨敘述一樣。除非本文另有說明或與上下文有明顯矛盾,否則本文描述的所有方法可按任何合適的順序執行。使用任何和所有的實例,或本文提供的示例性語言(例如,「如」),只是為了更好地說明材料和方法,並不構成對範圍的限制,除非另有要求。說明書中的任何語言都不應被解釋為表明任何非主張的元素對所公開的材料和方法的實踐是必不可少的。In the context of describing the materials and methods discussed herein (particularly in the context of the claims below), the use of the terms "a" and "the" and similarly designated pronouns should be construed to encompass both the singular and the plural, except herein otherwise stated or clearly contradicted by the context. Recitation of ranges of values herein are merely intended to serve as a shorthand method of referring individually to each separate value falling within the range, unless otherwise indicated herein, and each separate value is incorporated into the specification as if it were individually recited herein. Same. All methods described herein can be performed in any suitable order unless otherwise indicated herein or otherwise clearly contradicted by context. The use of any and all examples, or exemplary language (eg, "such as") provided herein, is intended merely to better illuminate the materials and methods and does not pose a limitation on the scope unless otherwise claimed. No language in the specification should be construed as indicating that any non-claimed element is essential to the practice of the disclosed materials and methods.

在本說明書通篇中提到「一個實施例」、「一些實施例」、「一個或多個實施例」或「實施例」是指與實施例相關描述的特定特徵、結構、材料或特性包括在本揭露內容的至少一個實施例中。因此,在本說明書通篇各處出現諸如「在一個或多個實施例中」、「在一些實施例中」、「在一個實施例中」或「在實施例中」等短語不一定是指本揭露內容的同一實施例。此外,特定的特徵、結構、材料或特性可用任何合適的方式組合在一個或多個實施例中。Reference throughout this specification to "one embodiment," "some embodiments," "one or more embodiments," or "an embodiment" means that a particular feature, structure, material, or characteristic described in connection with the embodiment includes In at least one embodiment of the present disclosure. Thus, appearances of phrases such as "in one or more embodiments," "in some embodiments," "in one embodiment," or "in an embodiment" throughout this specification do not necessarily mean refer to the same embodiment of the present disclosure. Furthermore, the particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments.

儘管本文的揭露內容已參照特定實施例進行了描述,但本領域的技術人員將理解,所描述的實施例只是說明了本揭露內容的原理和應用。對於本領域的技術人員來說,顯然可以對本揭露內容的方法和設備進行各種修改和變化,而不偏離本揭露內容的精神和範圍。因此,本揭露內容可包括在所附申請專利範圍及其等效範圍內的修改和變化。Although the disclosure herein has been described with reference to specific embodiments, those skilled in the art will understand that the described embodiments are merely illustrative of the principles and applications of the disclosure. It will be apparent to those skilled in the art that various modifications and changes can be made in the methods and apparatus of the present disclosure without departing from the spirit and scope of the present disclosure. Accordingly, the present disclosure may include modifications and changes within the scope of the appended claims and its equivalents.

6,60,600:方法 8,10,12,14,16,18,20,22,24,26,28,30,32,34,36,38,40,42,44,46,48,50,52,54,62,64,66,68,70,72,74,76,78,80,82,84,602,604,606,608,610,612,614:操作 100,400,500,700:元件 101:超晶格結構 102,708:基板 104:水平通道層 105:堆疊 106:半導體材料層 108:開口 110:淺溝槽隔離 112:多矽層 113:假性閘極結構 114:閘極 116:側壁間隔物 117:硬遮罩 118:源/汲極溝槽 119:空腔 120:犧牲材料 121:內間隔物層 122:源/汲極區 124:層間介電質層 128:替換金屬閘極 132:到電晶體的觸點 134:到閘極的觸點 142:金屬(M0)線 144:通孔(V1) 146,148:層間介電質 152:通孔 154:鑲嵌溝槽 156:開口 160:背側金屬線(M0) 300:群集工具 302:裝載和卸載腔室 304,316:機器人 308,310,312:製程腔室 314:中央傳送站 318:工廠介面 320:裝載閘腔室 355:氣體處置系統 357:系統控制器 392:中央處理單元 394:記憶體 396:輸入/輸出 398:電路 402:矽晶圓 404:埋入式蝕刻終止層 408:源/汲極 410,710:觸點 502:遮罩 508:通孔 510:開口 702:深通孔 704:金屬 706:接合晶圓 6,60,600: method 8,10,12,14,16,18,20,22,24,26,28,30,32,34,36,38,40,42,44,46,48,50,52,54,62, 64,66,68,70,72,74,76,78,80,82,84,602,604,606,608,610,612,614: Operation 100,400,500,700: components 101: Superlattice Structures 102,708: substrate 104: Horizontal channel layer 105:Stacking 106: Semiconductor material layer 108: opening 110: shallow trench isolation 112: Multi-silicon layer 113: Pseudo gate structure 114: Gate 116: side wall spacer 117: Hard mask 118: Source/drain trench 119: cavity 120: Sacrificial material 121: inner spacer layer 122: Source/drain area 124: interlayer dielectric layer 128: Replace metal gate 132: Contact to transistor 134: Contact to gate 142: Metal (M0) wire 144: Through hole (V1) 146,148: interlayer dielectric 152: Through hole 154: mosaic groove 156: opening 160: Back side metal wire (M0) 300: Cluster Tools 302: Loading and unloading chamber 304,316: Robots 308,310,312: process chambers 314:Central Transfer Station 318: Factory interface 320:Loading lock chamber 355: Gas Disposal System 357: System Controller 392: central processing unit 394: memory 396: Input/Output 398: circuit 402: Silicon wafer 404: Buried etch stop layer 408: source/sink 410,710: contacts 502: mask 508: Through hole 510: opening 702: deep through hole 704: metal 706: Wafer Bonding

為了能夠詳細理解本揭露內容的上述特徵的方式,可以藉由參考實施例對上文簡要概述的本揭露內容進行更具體的描述,其中一些實施例在附圖中得到說明。然而,需要注意的是,附圖只說明了本揭露內容的典型實施例,因此不應認為是對其範圍的限制,因為本揭露內容可以接受其他等效實施例。So that the manner in which the above recited features of the present disclosure can be understood in detail, a more particular description of the disclosure, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the accompanying drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this disclosure and are therefore not to be considered limiting of its scope, for the disclosure may admit to other equally effective embodiments.

圖1A是根據一個或多個實施例的方法的製程流程圖;Figure 1A is a process flow diagram of a method according to one or more embodiments;

圖1B是描繪了根據一個或多個實施例的方法之圖1A的製程流程圖的延續;FIG. 1B is a continuation of the process flow diagram of FIG. 1A depicting a method according to one or more embodiments;

圖2A描繪了根據一個或多個實施例的元件的截面圖;Figure 2A depicts a cross-sectional view of an element according to one or more embodiments;

圖2B描繪了根據一個或多個實施例的元件的截面圖;Figure 2B depicts a cross-sectional view of an element according to one or more embodiments;

圖2C描繪了根據一個或多個實施例的元件的截面圖;Figure 2C depicts a cross-sectional view of an element according to one or more embodiments;

圖2D描繪了根據一個或多個實施例的元件的截面圖;Figure 2D depicts a cross-sectional view of an element according to one or more embodiments;

圖2E描繪了根據一個或多個實施例的元件的截面圖;Figure 2E depicts a cross-sectional view of an element according to one or more embodiments;

圖2F描繪了根據一個或多個實施例的元件的截面圖;Figure 2F depicts a cross-sectional view of an element according to one or more embodiments;

圖2G描繪了根據一個或多個實施例的元件的截面圖;Figure 2G depicts a cross-sectional view of an element according to one or more embodiments;

圖2H描繪了根據一個或多個實施例的元件的截面圖;Figure 2H depicts a cross-sectional view of an element according to one or more embodiments;

圖2I描繪了根據一個或多個實施例的元件的截面圖;Figure 2I depicts a cross-sectional view of an element according to one or more embodiments;

圖2J描繪了根據一個或多個實施例的元件的截面圖;Figure 2J depicts a cross-sectional view of an element according to one or more embodiments;

圖2K描繪了根據一個或多個實施例的元件的截面圖;Figure 2K depicts a cross-sectional view of an element according to one or more embodiments;

圖2L描繪了根據一個或多個實施例的元件的截面圖;Figure 2L depicts a cross-sectional view of an element according to one or more embodiments;

圖2M描繪了根據一個或多個實施例的元件的截面圖;Figure 2M depicts a cross-sectional view of an element according to one or more embodiments;

圖2N描繪了根據一個或多個實施例的元件的截面圖;Figure 2N depicts a cross-sectional view of an element according to one or more embodiments;

圖2O描繪了根據一個或多個實施例的元件的截面圖;Figure 2O depicts a cross-sectional view of an element according to one or more embodiments;

圖2P描繪了根據一個或多個實施例的元件的截面圖;Figure 2P depicts a cross-sectional view of an element according to one or more embodiments;

圖2Q描繪了根據一個或多個實施例的元件的截面圖;Figure 2Q depicts a cross-sectional view of an element according to one or more embodiments;

圖2R描繪了根據一個或多個實施例的元件的截面圖;Figure 2R depicts a cross-sectional view of an element according to one or more embodiments;

圖2S描繪了根據一個或多個實施例的元件的截面圖;Figure 2S depicts a cross-sectional view of an element according to one or more embodiments;

圖2T描繪了根據一個或多個實施例的元件的截面圖;Figure 2T depicts a cross-sectional view of an element according to one or more embodiments;

圖2U描繪了根據一個或多個實施例的元件的截面圖;Figure 2U depicts a cross-sectional view of an element according to one or more embodiments;

圖3描繪了根據一個或多個實施例的方法的製程流程圖;Figure 3 depicts a process flow diagram of a method according to one or more embodiments;

圖4A描繪了根據一個或多個實施例的元件的截面圖;Figure 4A depicts a cross-sectional view of an element according to one or more embodiments;

圖4B描繪了根據一個或多個實施例的元件的截面圖;Figure 4B depicts a cross-sectional view of an element according to one or more embodiments;

圖4C描繪了根據一個或多個實施例的元件的截面圖;Figure 4C depicts a cross-sectional view of an element according to one or more embodiments;

圖4D描繪了根據一個或多個實施例的元件的截面圖;Figure 4D depicts a cross-sectional view of an element according to one or more embodiments;

圖4E描繪了根據一個或多個實施例的元件的截面圖;Figure 4E depicts a cross-sectional view of an element according to one or more embodiments;

圖5A描繪了根據一個或多個實施例的元件的截面圖;Figure 5A depicts a cross-sectional view of an element according to one or more embodiments;

圖5B描繪了根據一個或多個實施例的元件的截面圖;Figure 5B depicts a cross-sectional view of an element according to one or more embodiments;

圖5C描繪了根據一個或多個實施例的元件的截面圖;Figure 5C depicts a cross-sectional view of an element according to one or more embodiments;

圖5D描繪了根據一個或多個實施例的元件的截面圖;Figure 5D depicts a cross-sectional view of an element according to one or more embodiments;

圖6描繪了根據一個或多個實施例的方法的製程流程圖;Figure 6 depicts a process flow diagram of a method according to one or more embodiments;

圖7A描繪了根據一個或多個實施例的元件的截面圖;Figure 7A depicts a cross-sectional view of an element according to one or more embodiments;

圖7B描繪了根據一個或多個實施例的元件的截面圖;Figure 7B depicts a cross-sectional view of an element according to one or more embodiments;

圖7C描繪了根據一個或多個實施例的元件的截面圖;Figure 7C depicts a cross-sectional view of an element according to one or more embodiments;

圖7D描繪了根據一個或多個實施例的元件的截面圖;及Figure 7D depicts a cross-sectional view of an element according to one or more embodiments; and

圖8描繪了根據一個或多個實施例的群集工具。Figure 8 depicts a clustering tool in accordance with one or more embodiments.

為了便於理解,在可能的情況下,使用了相同的元件符號來指定各圖中共同的相同元素。圖式不是按比例繪製的,且為了清楚起見可以簡化。一個實施例中的元素和特徵可有益地併入其他實施例中,而無需進一步敘述。To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the various figures. The drawings are not drawn to scale and may be simplified for clarity. Elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.

國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無 Domestic deposit information (please note in order of depositor, date, and number) none Overseas storage information (please note in order of storage country, institution, date, and number) none

100:元件 100: components

102:基板 102: Substrate

104:水平通道層 104: Horizontal channel layer

106:半導體材料層 106: Semiconductor material layer

110:淺溝槽隔離 110: shallow trench isolation

114:閘極 114: Gate

116:側壁間隔物 116: side wall spacer

117:硬遮罩 117: Hard mask

119:空腔 119: cavity

Claims (20)

一種形成一半導體元件的方法,該方法包括以下步驟: 在一基板的一頂面上形成一超晶格結構,該超晶格結構包括複數個水平通道層與相應複數個半導體材料層交替排列成複數個堆疊對; 在該基板上的該超晶格結構附近形成複數個源極溝槽與複數個汲極溝槽; 擴大該複數個源極溝槽的至少一者與該複數個汲極溝槽的至少一者以形成一源極空腔與一汲極空腔; 在該源極空腔中與該汲極空腔中沉積一犧牲材料; 形成一源極區與一汲極區; 形成與該源極區與該汲極區電連接的一CT與CG; 形成一鑲嵌溝槽通過該基板; 至少部分地移除該犧牲材料以形成延伸至該鑲嵌溝槽的至少一開口;及 在該至少一開口中與該鑲嵌溝槽中沉積一金屬。 A method of forming a semiconductor device, the method comprising the steps of: A superlattice structure is formed on a top surface of a substrate, and the superlattice structure includes a plurality of horizontal channel layers and a corresponding plurality of semiconductor material layers alternately arranged in a plurality of stacked pairs; forming a plurality of source trenches and a plurality of drain trenches near the superlattice structure on the substrate; expanding at least one of the plurality of source trenches and at least one of the plurality of drain trenches to form a source cavity and a drain cavity; depositing a sacrificial material in the source cavity and the drain cavity; forming a source region and a drain region; forming a CT and CG electrically connected to the source region and the drain region; forming a damascene trench through the substrate; at least partially removing the sacrificial material to form at least one opening extending to the damascene trench; and A metal is deposited in the at least one opening and in the damascene trench. 如請求項1所述之方法,其中擴大該複數個源極溝槽的至少一者與該複數個汲極溝槽的至少一者之步驟包括以下步驟:在該複數個源極溝槽的至少一者與該複數個汲極溝槽的至少一者上沉積一硬遮罩,且不在該複數個源極溝槽的至少一者與該複數個汲極溝槽的至少一者上沉積該硬遮罩,並蝕刻未遮罩的源極溝槽與未遮罩的汲極溝槽以形成一源極空腔與一汲極空腔。The method as described in claim 1, wherein the step of enlarging at least one of the plurality of source trenches and at least one of the plurality of drain trenches comprises the following steps: at least one of the plurality of source trenches depositing a hard mask over one and at least one of the plurality of drain trenches, and not depositing the hard mask over at least one of the plurality of source trenches and at least one of the plurality of drain trenches masking, and etching the unmasked source trench and the unmasked drain trench to form a source cavity and a drain cavity. 如請求項1所述之方法,其中該犧牲材料被完全移除。The method of claim 1, wherein the sacrificial material is completely removed. 如請求項1所述之方法,其中該犧牲材料包括矽鍺(SiGe)。The method of claim 1, wherein the sacrificial material comprises silicon germanium (SiGe). 如請求項4所述之方法,其中該矽鍺(SiGe)的一鍺(Ge)含量在一自30%至50%的範圍中。The method according to claim 4, wherein a germanium (Ge) content of the silicon germanium (SiGe) is in a range from 30% to 50%. 如請求項4所述之方法,其中該矽鍺(SiGe)摻雜有一摻雜劑,該摻雜劑係選自硼(B)、鎵(Ga)、磷(P)、砷(As)與其之組合所構成之群組。The method as described in claim 4, wherein the silicon germanium (SiGe) is doped with a dopant selected from boron (B), gallium (Ga), phosphorus (P), arsenic (As) and The group formed by the combination of . 如請求項1所述之方法,其中形成該鑲嵌溝槽之步驟包括以下步驟:蝕刻至少一通孔進入該基板並擴大該通孔以形成該鑲嵌溝槽。The method of claim 1, wherein the step of forming the damascene trench comprises the steps of: etching at least one via hole into the substrate and enlarging the via hole to form the damascene trench. 如請求項1所述之方法,其中該複數個半導體材料層與該複數個水平通道層獨立地包括矽鍺(SiGe)與矽(Si)的一者或多者。The method of claim 1, wherein the plurality of semiconductor material layers and the plurality of horizontal channel layers independently comprise one or more of silicon germanium (SiGe) and silicon (Si). 如請求項1所述之方法,其中形成該源極區與該汲極區之步驟包括以下步驟:在其上生長一磊晶層。The method as claimed in claim 1, wherein the step of forming the source region and the drain region comprises the step of: growing an epitaxial layer thereon. 如請求項1所述之方法,其中該源極區與該汲極區獨立地摻雜有磷(P)、砷(As)、硼(B)與鎵(Ga)的一者或多者。The method according to claim 1, wherein the source region and the drain region are independently doped with one or more of phosphorus (P), arsenic (As), boron (B) and gallium (Ga). 如請求項1所述之方法,進一步包括以下步驟:在該超晶格結構的一頂面上形成一閘極結構。The method as claimed in claim 1, further comprising the step of: forming a gate structure on a top surface of the superlattice structure. 如請求項11所述之方法,進一步包括以下步驟:在該閘極結構與在該超晶格結構上形成一介電層。The method as claimed in claim 11, further comprising the step of: forming a dielectric layer on the gate structure and the superlattice structure. 如請求項12所述之方法,其中該閘極結構包括鎢(W)、鈷(Co)、鉬(Mo)、釕(Ru)、氮化鈦(TiN)、氮化鉭(TaN)、鈦鋁(TiAl)和N型摻雜多晶矽中的一者或多者。The method as claimed in claim 12, wherein the gate structure comprises tungsten (W), cobalt (Co), molybdenum (Mo), ruthenium (Ru), titanium nitride (TiN), tantalum nitride (TaN), titanium One or more of aluminum (TiAl) and N-type doped polysilicon. 如請求項1所述之方法,其中該金屬包括鈦(Ti)、氮化鈦(TiN)、鉭(Ta)、氮化鉭(TaN)、鎢(W)、鉬(Mo)、鈷(Co)、銅(Cu)、釕(Ru)中的一者或多者。The method as claimed in claim 1, wherein the metal comprises titanium (Ti), titanium nitride (TiN), tantalum (Ta), tantalum nitride (TaN), tungsten (W), molybdenum (Mo), cobalt (Co ), copper (Cu), ruthenium (Ru) in one or more. 一種形成一半導體元件的方法,該方法包括以下步驟: 在一基板的一頂面上形成一超晶格結構,該超晶格結構包括複數個水平通道層和相應複數個半導體材料層交替排列成複數個堆疊對; 在該超晶格結構的一頂面上形成一閘極結構; 在該基板上的該超晶格結構附近形成複數個源極溝槽與複數個汲極溝槽; 擴大該複數個源極溝槽的至少一者與該複數個汲極溝槽的至少一者以形成一源極空腔與一汲極空腔; 在該源極空腔中與該汲極空腔中沉積一犧牲材料; 在該複數個水平通道層的各個水平通道層上形成一內間隔物層; 形成一源極區與一汲極區; 在該基板上形成一層間介電層; 形成一替換金屬閘極; 形成與該源極區與該汲極區電連接的一CT與CG; 形成一第一金屬線; 旋轉該半導體元件180度; 平坦化該基板; 平坦化該基板; 在該基板上沉積一層間介電質材料; 在該基板中形成一背側電力軌通孔; 擴大該背側電力軌通孔以形成一鑲嵌溝槽; 移除該犧牲材料以形成至少一開口;及 在該至少一開口中與該鑲嵌溝槽中沉積一金屬。 A method of forming a semiconductor device, the method comprising the steps of: A superlattice structure is formed on a top surface of a substrate, and the superlattice structure includes a plurality of horizontal channel layers and a corresponding plurality of semiconductor material layers alternately arranged in a plurality of stacked pairs; forming a gate structure on a top surface of the superlattice structure; forming a plurality of source trenches and a plurality of drain trenches near the superlattice structure on the substrate; expanding at least one of the plurality of source trenches and at least one of the plurality of drain trenches to form a source cavity and a drain cavity; depositing a sacrificial material in the source cavity and the drain cavity; forming an inner spacer layer on each of the plurality of horizontal channel layers; forming a source region and a drain region; forming an interlayer dielectric layer on the substrate; forming a replacement metal gate; forming a CT and CG electrically connected to the source region and the drain region; forming a first metal line; rotate the semiconductor element 180 degrees; planarizing the substrate; planarizing the substrate; depositing a layer of inter-dielectric material on the substrate; forming a backside power rail via in the substrate; enlarging the backside power rail via to form a damascene trench; removing the sacrificial material to form at least one opening; and A metal is deposited in the at least one opening and in the damascene trench. 如請求項15所述之方法,其中擴大該複數個源極溝槽的至少一者與該複數個汲極溝槽的至少一者之步驟包括以下步驟:在該複數個源極溝槽的至少一者與該複數個汲極溝槽的至少一者上沉積一硬遮罩,且不在該複數個源極溝槽的至少一者與該複數個汲極溝槽的至少一者上沉積該硬遮罩,並蝕刻未遮罩的源極溝槽與未遮罩的汲極溝槽以形成一源極空腔與一汲極空腔。The method according to claim 15, wherein the step of enlarging at least one of the plurality of source trenches and at least one of the plurality of drain trenches comprises the following steps: at least one of the plurality of source trenches depositing a hard mask over one and at least one of the plurality of drain trenches, and not depositing the hard mask over at least one of the plurality of source trenches and at least one of the plurality of drain trenches masking, and etching the unmasked source trench and the unmasked drain trench to form a source cavity and a drain cavity. 如請求項15所述之方法,其中該犧牲材料包括矽鍺(SiGe)。The method of claim 15, wherein the sacrificial material comprises silicon germanium (SiGe). 如請求項17所述之方法,其中該矽鍺(SiGe)的一鍺(Ge)含量在一自30%至50%的範圍中。The method of claim 17, wherein a germanium (Ge) content of the silicon germanium (SiGe) is in a range from 30% to 50%. 如請求項17所述之方法,其中該矽鍺(SiGe)摻雜有一摻雜劑,該摻雜劑係選自硼(B)、鎵(Ga)、磷(P)、砷(As)與其之組合所構成之群組。The method as described in claim 17, wherein the silicon germanium (SiGe) is doped with a dopant selected from boron (B), gallium (Ga), phosphorus (P), arsenic (As) and The group formed by the combination of . 如請求項15所述之方法,其中形成該源極區與該汲極區之步驟包括以下步驟:在其上生長一磊晶層。The method as claimed in claim 15, wherein the step of forming the source region and the drain region comprises the step of: growing an epitaxial layer thereon.
TW111129513A 2021-09-02 2022-08-05 Self-aligned wide backside power rail contacts to multiple transistor sources TW202314965A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163240183P 2021-09-02 2021-09-02
US63/240,183 2021-09-02

Publications (1)

Publication Number Publication Date
TW202314965A true TW202314965A (en) 2023-04-01

Family

ID=85511487

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111129513A TW202314965A (en) 2021-09-02 2022-08-05 Self-aligned wide backside power rail contacts to multiple transistor sources

Country Status (2)

Country Link
KR (1) KR20230034172A (en)
TW (1) TW202314965A (en)

Also Published As

Publication number Publication date
KR20230034172A (en) 2023-03-09

Similar Documents

Publication Publication Date Title
TWI819327B (en) Selective silicon etch for gate all around transistors
US20220037529A1 (en) Conformal oxidation for gate all around nanosheet i/o device
TW202230533A (en) Formation of gate all around device
US20230068312A1 (en) Backside power rail to deep vias
TW202314965A (en) Self-aligned wide backside power rail contacts to multiple transistor sources
TW202316512A (en) Method of ultra thinning of wafer
TW202331937A (en) Backside power rail to deep vias
US20230170400A1 (en) Gate all around transistor architecture with fill-in dielectric material
US20230260909A1 (en) Gate all around backside power rail with diffusion break
US20230260908A1 (en) Gate all around backside power rail formation with multi-color backside dielectric isolation scheme
US20220246742A1 (en) Gate all around device with fully-depleted silicon-on-insulator
US20230067331A1 (en) Source drain formation in gate all around transistor
TW202317464A (en) Template for nanosheet source drain formation with bottom dielectric