TW202304184A - 用於連接基於小晶片的設計之可擴充式封裝級網路 - Google Patents

用於連接基於小晶片的設計之可擴充式封裝級網路 Download PDF

Info

Publication number
TW202304184A
TW202304184A TW111115207A TW111115207A TW202304184A TW 202304184 A TW202304184 A TW 202304184A TW 111115207 A TW111115207 A TW 111115207A TW 111115207 A TW111115207 A TW 111115207A TW 202304184 A TW202304184 A TW 202304184A
Authority
TW
Taiwan
Prior art keywords
nopk
chiplets
interposer
dielets
traffic
Prior art date
Application number
TW111115207A
Other languages
English (en)
Other versions
TWI820682B (zh
Inventor
納菲德 札曼
麥倫 沙克
塔密希 蘇利
比拉爾沙菲 塞依克
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202304184A publication Critical patent/TW202304184A/zh
Application granted granted Critical
Publication of TWI820682B publication Critical patent/TWI820682B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/40Bus structure
    • G06F13/4004Coupling between buses
    • G06F13/4022Coupling between buses using switching circuits, e.g. switching matrix, connection or expansion network
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger
    • G11C11/412Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger using field-effect transistors only
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/06Arrangements for interconnecting storage elements electrically, e.g. by wiring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5221Crossover interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0806Multiuser, multiprocessor or multiprocessing cache systems
    • G06F12/0813Multiuser, multiprocessor or multiprocessing cache systems with a network or matrix configuration
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2212/00Indexing scheme relating to accessing, addressing or allocation within memory systems or architectures
    • G06F2212/10Providing a specific technical effect
    • G06F2212/1004Compatibility, e.g. with legacy hardware
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2212/00Indexing scheme relating to accessing, addressing or allocation within memory systems or architectures
    • G06F2212/10Providing a specific technical effect
    • G06F2212/1048Scalability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Geometry (AREA)
  • Data Exchanges In Wide-Area Networks (AREA)
  • Multi Processors (AREA)
  • Die Bonding (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Wire Bonding (AREA)
  • Stored Programmes (AREA)

Abstract

一種用於連接複數個小晶片的封裝級網路(NoPK)可包括:複數個介面橋接器,其經配置以將複數個小晶片使用的複數個協定轉換為公用協定;路由網路,其經配置以使用公用協定在複數個介面橋接器之間路由訊務;及控制器,其經配置以基於連接至NoPK的複數個小晶片的類型將複數個介面橋接器及路由網路程式化。NoPK可使用不同的通信協定提供來自不同生態系統的任何數量的小晶片的可擴充式連接。

Description

用於連接基於小晶片的設計之可擴充式封裝級網路
本申請案主張2021年5月7日申請之題為「SCALABLE NETWORK-ON-PACKAGE FOR CONNECTING CHIPLET-BASED DESIGNS」之美國非臨時申請案第17/314,857號的權益及優先權,其出於所有目的以全文引用的方式併入本文中。
本揭示案大體而言係關於使用不同協定連接來自不同生態系統的小晶片的封裝級路由網路。更特定言之,本揭示案描述具有可程式互連橋接器的可擴充式封裝級網路(NoPK)及用於在2D、2.5D及3D拓撲中的小晶片之間路由訊務的路由網路。
小晶片是模組化積體電路,其特定地經設計以與其他相似的模組化小晶片一起形成更大、更複雜的處理系統。如此允許在設計中將功能塊分為不同的小晶片,以在設計過程中提供更大的靈活性及模組性。與習知單片積體電路(integrated circuit; IC)設計相比,基於小晶片的設計使用連接在一起的較小的獨立染料。特定言之,每一小晶片可經設計以執行個別的功能,例如處理核心、圖形處理單元、數學共處理器、硬體加速器等。基於小晶片的設計亦降低製造成本,因為可將較大的晶粒分為較小的小晶片以改良產率及分級。由於成本增加及莫耳定律減緩,因此習知單片晶片開發的吸引力亦變得下降,因為小晶片更便宜並且上市時間製造更快。相對新的基於小晶片的生態系統的出現開始藉由將預測試的小晶片晶粒整合為較大的封裝,實現一種設計複雜系統的替代方式。
習知單片IC設計(例如單晶片系統(system-on-a-chip; SoC))通常使用晶片上組構的網路實現不同功能塊之間的通信。然而,當整合現成的小晶片時,此方法無效。由於單片IC在自概念至生產是一起設計的,因此整合組構總是經特別設計以容納一組特定的小晶片,該等小晶片以已知的拓撲佈置且具有已知的功能。目前用於連接基於小晶片的架構的解決方案需要將各個小晶片及通信框架一起協同設計。目前不存在用於現成小晶片配置的有效、可擴充式或通用的互連解決方案。
在一些實施例中,一種用於連接複數個小晶片的封裝級網路(network-on-package; NoPK)可包括:複數個介面橋接器,其經配置以將複數個小晶片使用的複數個協定轉換為公用協定;路由網路,其經配置以使用公用協定在複數個介面橋接器之間路由訊務;及控制器,其經配置以基於連接至NoPK的複數個小晶片的類型將複數個介面橋接器及路由網路程式化。
在一些實施例中,一種在複數個小晶片之間路由訊務的方法可包括:將複數個介面橋接器及一路由網路程式化,以連接複數個小晶片;在複數個介面橋接器自複數個小晶片接收訊務,其中複數個小晶片使用複數個協定;在複數個介面橋接器將訊務轉換為公用協定;及使用公用協定透過路由網路在複數個介面橋接器之間路由訊務。
在一些實施例中,一種系統可包括:第一複數個小晶片,其佈置於第一中介層上;第二複數個小晶片,其佈置於第二中介層上;及封裝,其包含第三中介層上的路由網路。第三中介層可在第一中介層與第二中介層之間。第一中介層可藉由第一組互連連接至第三中介層。第二中介層可藉由第二組互連連接至第三中介層。路由網路可經程式化以在第一複數個小晶片與第二複數個小晶片之間路由訊務。
在任何實施例中,可按任何組合且無限制地實施以下特徵的任一者或所有。NoPK亦可包括位於路由網路的線路之間的空間中的複數個分散式靜態隨機存取記憶體(static random access memory; SRAM)模組,其中可將分散式SRAM模組配置為快取記憶體或軟體管理的緩衝器。在將NoPK實體連接至複數個小晶片之後,可對控制器進行程式化。控制器可包括遙測引擎,其監測透過路由網路路由的訊務,並讀取複數個小晶片的效能暫存器。NoPK亦可包括記憶體控制器,該記憶體控制器經配置以通信耦接至NoPK外部的記憶體裝置。控制器可經程式化以在與NoPK介面連接時設定複數個小晶片的動態電壓及頻率縮放(dynamic voltage and frequency scaling; DVFS)值。控制器可經進一步配置以程式化路由網路,以優先處理複數個小晶片中第一對小晶片之間的訊務。可在專用小晶片晶粒上實施NoPK。複數個小晶片可包括來自不同製造商的處理器。複數個協定可包括複數個小晶片使用的複數個不同的匯流排通信協定。公用協定可包括複數個小晶片使用的複數個協定中之一者。公用協定可包括複數個小晶片不使用的同屬封包協定。當複數個小晶片中小晶片的數量小於小晶片的閾值數量時,可停用路由網路的一部分。互連的集合可包括垂直互連,其實體地分隔第一中介層、第二中介層及第三中介層。互連的集合可包括矽穿孔(through-silicon via; TSV)。第一複數個小晶片可透過第一中介層相互通信,第二複數個小晶片可透過第二中介層相互通信,且第一複數個小晶片可透過包含路由網路的封裝與第二複數個小晶片通信。第三中介層可包括有機、玻璃或矽中介基板。第一複數個小晶片可使用第一功率量,第二複數個小晶片可使用小於第一功率量的第二功率量,且系統進一步包含耦接至第一複數個小晶片的散熱器。
由於習知基於單片的設計的製造越來越昂貴,因此小晶片已成為系統架構中成功的替代者,以提高產率,降低製造成本,並且改良設計的模組性。通常,小晶片並非封裝類型,而是封裝架構的一部分。每一小晶片可包括由矽晶圓製造的獨立晶粒。相比於在一個大的單塊晶粒上製造系統的所有功能性(例如中央處理單元(central processing unit; CPU)、記憶體、圖形處理單元(graphic processing unit; GPU)、各種週邊設備等),基於小晶片的系統將此等功能性分為獨立晶粒,隨後可將此等獨立晶粒封裝在一起來執行相同的功能性。藉由使個別的晶粒更小,總系統的產率及製造成本得以降低。
第1圖圖示根據一些實施例的基於小晶片的系統100。可自一或多個矽晶圓將複數個小晶片104製造為獨立的晶粒。小晶片104可包括複數個不同的功能,例如特定應用單晶片系統(systems-on-a-chip; SOC)、GPU、數位訊號處理器(digital signal processor; DSP)、人工智慧(artificial intelligence; AI)加速器、各種編解碼器、Wi-Fi通信模組、記憶體控制器、快取記憶體、輸入/輸出(I/O)週邊設備等。雖然在獨立的晶粒上製造,但可使用各種選擇將此等小晶片104中之每一者連接在一起,使其以分散式的方式執行與如將由相似的單塊設計執行的基本上相同的功能。
雖然基於小晶片的系統改良產率及製造成本,但此類設計亦使不同子系統之間的通信大大複雜化。在單塊設計上,此等子系統可使用統一匯流排架構通信,或使用單晶片網路(NoC)組構以在子系統之間傳遞資訊。然而,當將此等子系統分至獨立的小晶片104上時,對於小晶片的每一設計及佈置必須獨立考慮小晶片104之間的路由。為使各個小晶片104一起連接成網路,通常將基於小晶片的系統100協同設計並製造為統一設計。換言之,在設計並實施路由網路之前,必須首先確定小晶片104的類型及實體佈置。如此極大限制基於小晶片的設計的範疇。因此,大多數現代的基於小晶片的設計由單一實體使用單一晶片生態系統的架構(例如ARM、ARC、x86)及統一的通信協定(例如PCIe、CCIX/CXL、AXI、AMBA等)設計並製造。由此,目前基於小晶片的設計通常不輕易允許不同晶片生態系統及不同協定之間交互運作,如此使系統設計師無法使用可用於實施設計的全部小晶片。相反,系統設計師限於與單一通信框架及生態系統協同設計的小晶片。
舉例而言,一個晶片製造商使用專屬系統互連架構,該架構促進基於小晶片的架構上的資料及控制傳輸。然而,雖然此組構支援多達八個CPU複合系統且可在2D封裝中實施,但其與現成的小晶片不相容。另外,此組構包含單一仲裁點,因此其無法針對各種尺寸的小晶片系統擴充。另一晶片製造商已實施基於3D的小晶片架構,該架構使用矽穿孔(Through-Silicon Vias; TSV)。在此設計中,頂層晶粒包含具有若干功能塊(包括CPU、GPU、記憶體控制器等)的單塊計算晶粒。底晶粒包含使用PCIe的I/O塊。使用TSV連接頂部及底部晶粒。雖然此等架構相比於2D方法可減少線長度並降低功率,但其需要協同設計底部晶粒及頂部晶粒,以使得TSV對準並連接至正確的功能塊。如此防止此等晶粒被獨立使用,並且需要針對系統的每一迭代設計特定的基於TSV的架構。此等解決方案均不與使用來自多個源的小晶片的可擴充式現成設計相容。
本文描述的實施例藉由使用在自身的晶粒上獨立製造的可擴充式互連解決了此等及其他技術問題。本文將該解決方案稱為「封裝級網路」(NoPK),且可將其設計為與可擴充式系統中之其他小晶片整合的專用的晶粒、封裝或小晶片。NoPK連接各個現成小晶片,並促進此等現成小晶片之間的通信。相比於現有的設計,NoPK層是通用可擴充式組構,其可與來自各種生態系統的現成小晶片一起使用。可使用TSV,使用2D方法及使用具有垂直互連的3D方法實施NoPK。另外,NoPK支援多種協定,使用介面橋接器促進不同小晶片類型之間的通信。
第2A圖圖示根據一些實施例的NoPK 200的簡化方塊圖。NoPK 200可為數位架構,其可經實施為適合小晶片的任何組合。可在專用晶粒上實施此數位架構,且可將其視為自身的小晶片或封裝。由此,NoPK 200可與組成基於小晶片的系統的任何周圍小晶片實體地分隔。可按需要擴充第2A圖所示的NoPK 200的方塊圖,以滿足任何大小的基於小晶片的系統的要求。舉例而言,在NoPK 200「設計定案(taped out)」之前,可按特定設計的要求在設計中添加額外的路由躍點、介面橋接器、微控制器、記憶體模組、記憶體控制器等。因此,提供的第2A圖所示的NoPK 200僅為實例,且不具有限制性。將理解其他實施方式可包括且不限於更多或更少的介面橋接器、控制器、路由器躍點、記憶體等。下文描述的特徵可應用於任何大小或元件計數的NoPK 200的實施方式。
NoPK 200可包括一或多個介面橋接器204。提供介面橋接器以將周圍小晶片可使用的各種協定轉換為公用協定,以使得來自不同製造商的小晶片可相互通信。介面橋接器204中之每一者可經程式化以接收在接收小晶片市場中可得到的任何可用的通信或匯流排協定。通常,介面橋接器204支援不同的協定,並且使得可在單一統一設計中使用來自不同生態系統及製造商的晶粒或小晶片。舉例而言,此等協定可包括PCIe、CCIX、CXL、AXI、AMBA等。
介面橋接器204可經程式化以接收任何可用的協定,並將彼協定轉換為NoPK 200使用的統一協定。如在本文中所使用,將透過NoPK 200接收及/或路由的小晶片之間的通信及傳輸通常稱為「訊務」。訊務可包括任何訊號,包括功率訊號、通信訊號、資料訊號、記憶體請求及/或NoPK 200可接收的其他電子傳輸。舉例而言,介面橋接器204可在介面橋接器204-1處自微處理器接收PCIe訊務。介面橋接器204-1可將PCIe訊務轉換為通用封包化格式,並透過NoPK 200的路由網路將轉換後的封包路由至介面橋接器204-3。這包括設計中之任何其他小晶片不使用的同屬封包協定。介面橋接器204-3隨後可將通用封包化格式轉換為AXI格式以與另一小晶片的Wi-Fi模組通信。
在一些實施例中,介面橋接器204可將進入的訊務轉換為進入訊務使用的協定中之一者。在以上實例中,介面橋接器204-1可自微處理器接收PCIe訊務,並將PCIe訊務簡單地傳遞至路由網路。NoPK 200的路由網路可使用PCIe作為其內部通信協定。當介面橋接器204-3接收PCIe訊務時,可將PCIe訊務轉換為AXI格式以與其他小晶片的Wi-Fi模組通信。此等實施例不需要任何專門或同屬的封包格式或協定,而是可使用周圍小晶片的協定中之一者。
在第2A圖的實例中,將介面橋接器204佈置於NoPK 200的三個側面上。取決於周圍小晶片的連接要求及定向,其他實施例可進一步將介面橋接器204放置於NoPK 200的一側、兩側或四側上。如下文將描述,將介面橋接器204沿NoPK 200的週邊定向允許自NoPK層上方及/或下方的層的垂直互連。或者,2D實施方式亦可透過介面橋接器204自NoPK 200的週邊處的相鄰小晶片接收佈線。
NoPK 200可包括內部路由網路,該網路包括引導自介面橋接器204接收的訊務的複數個內部路由器或躍點。繼續以上實例,當在介面橋接器204-1接收PCIe訊務且將其轉換為通用封包格式時,可透過路由器躍點202將此等封包傳輸透過內部路由網路傳輸,直至訊務到達介面橋接器204-3,可在介面橋接器204-3處將訊務轉換為AXI並傳輸至Wi-Fi晶片。
NoPK 200亦可包括控制器211。可使用微控制器、微處理器、FPGA及/或經配置以執行指令的任何可程式邏輯裝置或處理器實施控制器211。控制器211可根據周圍小晶片的需要程式化介面橋接器204及/或路由網路。如此允許NoPK架構具有足夠的靈活性以適用於不同的小晶片生態系統及通信協定。其亦允許擴充NoPK架構以滿足任何數量的小晶片的需要。舉例而言,控制器211可讀取/寫入控制協定轉換的介面橋接器204中的配置暫存器。控制器211亦可讀取/寫入控制介面橋接器204之間連接的路由網路中的配置暫存器。
繼續以上實例,控制器211亦可將配置值寫入至介面橋接器204-1中的配置暫存器。這可使介面橋接器204-1將自PCIe的微處理器接收的訊務轉換為路由網路中使用的公用協定。控制器211亦可將值寫入至配置暫存器,配置暫存器可使在微處理器的介面橋接器204-1處接收的訊務路由至介面橋接器204-3。這可使得將自介面橋接器204-1發送的封包定址至介面橋接器204-3上的特定埠或位置。控制器204另外將配置值寫入至介面橋接器204-3,介面橋接器204-3使自介面橋接器204-1內部接收的訊務轉換為AXI並發送至外部小晶片的Wi-Fi模組。
除基於連接至NoPK 200的小晶片的類型程式化介面橋接器及/或路由網路以外,控制器211亦可讀取/寫入路由器躍點202處的配置暫存器,以透過路由網路對訊務進行QoS策略。舉例而言,控制器211提供的可配置性允許路由器躍點202優先處理通往/來自特定外部小晶片的訊務。繼續以上實例,路由器躍點202可經由在實施GPU的小晶片與實施顯示器驅動器的小晶片之間的通信以優先處理透過NoPK 200自微處理器小晶片路由至Wi-Fi模組小晶片的訊務。由此,控制器211可程式化路由網路以優先處理設計中的一對小晶片之間的訊務,通往/來自設計中的特定小晶片的訊務,設計中任何小晶片之間特定類型的訊務等。
控制器211因此可基於連接至NoPK 200的小晶片的類型程式化NoPK 200的配置暫存器。小晶片的類型可規定介面橋接器使用的協定,以及控制介面橋接器如何轉換各種可用協定的對應配置值。小晶片的類型亦可規定小晶片使用的頻率/電壓,並且可程式化配置暫存器,使得介面橋接器與小晶片恰當地連接。另外,可使用小晶片的類型及其與NoPK的個別連接程式化路由網路的配置暫存器,以在系統中的不同小晶片之間路由訊務。
控制器211亦可包括遙測引擎,其監測訊務及小晶片進行的操作,並報告由基於小晶片的系統處理的資料的統一視圖。控制器211可對傳輸透過路由網路的任何訊務進行取樣及/或記錄。控制器211亦可藉由(例如)讀取與任何小晶片相關的效能暫存器自平臺封裝中的任何小晶片擷取資料。控制器211隨後可將遙測資料儲存於機載記憶體中,透過記憶體控制器213儲存於板外記憶體中,或將遙測資料傳輸至外部器件。舉例而言,控制器211可藉由匯流排連接將遙測資料透過介面橋接器204傳輸至基於小晶片的系統之外的監測電腦系統。替代而言,控制器211可將遙測資料透過介面橋接器204傳輸至具有將Wi-Fi模組的小晶片,該Wi-Fi模組將遙測資料傳輸至監測系統。其他實施例可將遙測資料儲存於NoPK 200的SRAM模組中,以使得在需要時可下載及/或傳輸遙測資料。如此使終端使用者獲取資料的統一視圖,並理解/解決透過NoPK 200傳輸的訊務中及/或周圍小晶片的操作中存在的任何瓶頸。
在一些實施例中,控制器211亦可程式化另外的配置暫存器以控制NoPK 200的功率管理。舉例而言,當訊務低於路由網路中的閾值位準時,控制器211可關閉路由器躍點202中之一或多者。對於使用少於閾值數量的外部小晶片的設計,控制器211亦可關閉路由器躍點202中之一或多者。這使控制器211最佳化NoPK 200使用的功率,並根據基於小晶片的系統的總體需要調整此功率消耗。控制器211亦可經配置以按需要基於周圍小晶片的即時要求打開/關閉介面橋接器204及/或介面橋接器204的部分。舉例而言,如果Wi-Fi小晶片每五秒僅在100 ms的窗中作用,則可在傳輸窗之間關閉連接至Wi-Fi小晶片的對應介面橋接器204-3。
控制器211亦可程式化介面橋接器204及/或路由網路,使其與基於小晶片的系統中的不同小晶片使用的不同位準的動態電壓及頻率縮放(DVFS)相容。舉例而言,各個小晶片可使用不同的電壓及/或頻率縮放調整個別的小晶片使用的功率量及/或速度設定。控制器211可程式化介面橋接器204,以使其在不同頻率及/或不同電壓下運行以對應於所連接的小晶片的DVFS設定。由於小晶片可在運行中調整其DVFS設定,因此應理解控制器211可在運行中程式化介面橋接器204及/或路由網路。舉例而言,製造期間在NoPK 200實體連接至複數個小晶片之後,控制器211可對介面橋接器204及/或路由網路進行初始程式化。當NoPK 200自小晶片接收並路由訊務時,控制器211亦可在運行中動態程式化介面橋接器204及/或路由網路。
2B 圖示根據一些實施例的使用可配置記憶體階層的NoPK 200的實施方式。此實施方式可包括上文描述的NoPK 200的所有特徵,且可另外包括記憶體控制器213。可透過NoPK 200存取記憶體控制器213,且記憶體控制器213可用於任何連接的小晶片。記憶體控制器213可通信耦接至NoPK 200外部的記憶體裝置。舉例而言,周圍小晶片中之一者可包括SRAM,可透過記憶體控制器213存取此SRAM。
另外,NoPK 200可包括位於第2B圖所示之路由網路之線路之間的空間中的分散式SRAM模組220。可將此等SRAM模組220配置為快取記憶體或軟體管理緩衝器。可藉由控制器211配置SRAM模組220。SRAM模組220可支援作為快取記憶體的基於小晶片的系統,可對基於小晶片的系統直接進行記憶體映射。控制器211可配置SRAM模組220以實施各種驅除/替換策略,例如最近最少使用(Least Recently Used; LRE)、先進先出(First In First Out; FIFO)及/或任何其他快取記憶體策略。這允許控制器211使用SRAM模組200作為可調式記憶體階層,可在用於不同使用案例及小晶片提供的工作量時配置此可調式記憶體階層。
第3A圖圖示根據一些實施例的在垂直互連配置中使用NoPK 200的基於小晶片的設計。在此實例中,可將NoPK 200放置於高密度中介層308上。高密度中介層308可包括中介層內的高密度路由,該中介層連接至NoPK 200的封裝的底側上的墊片。可使用有機材料、玻璃、矽及/或任何其他中介材料製造高密度中介層308。由此,NoPK 200不限於專屬小晶片設計中常常需要的特定類型的中介材料。
系統可包括相互垂直堆疊的複數個層。在此實例中,頂層可包括具有複數個小晶片304的另一高密度中介層306。為區分此等層,可將頂層稱為第一層,其包含具有第一複數個小晶片304的第一中介層。類似而言,底層可包括佈置於第二中介層310上的第二複數個小晶片302。第二中介層310可包括具有穿孔的高密度中介層,穿孔連接至系統300底部上的BGA表面安裝墊314。應指出,提供的第3A圖所示的此三個層僅為實例,不具有限制性。其他實施例可包括更多或更少層,對應的中介層上佈置有更多或更少小晶片。
各個層之間的互連可包括垂直互連312,可透過垂直互連312路由層之間的訊務。舉例而言,第一複數個小晶片304可透過第一中介層相互通信,而不需要訊務透過垂直互連312傳輸。類似而言,第二複數個小晶片302可透過第二中介層310相互通信。然而,來自第一複數個小晶片304及/或第二複數個小晶片302的訊務可透過垂直互連312傳輸至第三中介層308及NoPK 200中。NoPK 200隨後可透過上文描述的其內部路由網路轉換不同的通信協定及路由訊號。在一些實施例中,所有小晶片302、304可透過NoPK 200相互通信,不需要透過其各別的中介層310、306相互直接通信。此變通性允許2D平面中的所有小晶片與晶片上記憶體及晶片外記憶體以及同一2D平面中的其他小晶片通信。此方法的顯著優點是能將任何現有或未來的晶粒或小晶片與NoPK 200一起使用,因為NoPK 200的可擴充性及可程式化性使其與任何佈置的晶片302、304相容。如圖所示,垂直互連可將第一中介層306、第二中介層310及第三中介層308實體地分隔。
第3B圖圖示根據一些實施例的在垂直互連配置中使用NoPK 200的基於小晶片的設計的3D圖。使用3D配置,可根據功率消耗使頂層上的第一複數個小晶片304聚集在一起。舉例而言,可將相對高功率的小晶片放置於第一中介層306上。此等高功率小晶片可包括DSP 304-1、各個SOC 304-2、GPU、304-3、硬體加速器304-4、處理器及/或其他高功率小晶片。相反,安置於第二中介層310上底水平面上的第二複數個小晶片302可包括低功率小晶片(例如CODEC 302-1)、無線通信模組302-2、通信介面302-3、週邊介面等。通常,可將第一複數個小晶片304中之任一者使用的功率與第二複數個小晶片中之任一者使用的功率比較,並且可確定第一複數個小晶片使用更大功率。不需要進行具體的功率限制。雖然未明確展示,但系統300的頂層可包括外部散熱器,其可耦接至第二複數個小晶片304中之一或多者。
相比於習知NoC單塊設計,使用NoPK的此垂直3D小晶片堆疊具有特定的優點。具體而言,此佈置可用現有的現成晶粒或晶片實現3D拓撲。其亦實現極高頻寬、低潛時連接性的足夠,從而實現按需要構建各種配置以支援不同的使用案例、不同的工作量及不同的應用要求。介面橋接器可支援不同的協定,使來自不同生態系統的晶粒或小晶片可使用各種協定。亦可擴充系統,以滿足不同的功率要求及不同的系統大小。
第4圖圖示根據一些實施例之具有使用2D設計之NoPK的基於小晶片的系統。NoPK 200與除上文描述的3D垂直堆疊以外的許多不同的設計拓撲相容。在此實例中,可在與系統中使用的小晶片相容的基板420上實施NoPK 200。基板420可為上文描述的中介層、矽基板及/或任何類型的基板。不是透過垂直互連傳輸,而是可將小晶片之間的連接透過基板420直接路由至NoPK 200的介面橋接器中。舉例而言,可將處理器小晶片408、Wi-Fi小晶片402、週邊驅動器小晶片404、記憶體小晶片406、AI加速器小晶片410等均安裝於同一基板420上,且經由基板420中的佈線將其連接至NoPK 200的介面橋接器。
第5圖圖示根據一些實施例的基於小晶片的系統500,其使用TSV將小晶片連接至NoPK 200。在此實例中,可使用TSV將頂層304上的第一複數個小晶片304直接連接至NoPK 200的介面橋接器。類似而言,可使用TSV將第二複數個小晶片302直接連接至NoPK 200,以及連接至中介層或基板510。TSV設計可在小晶片與NoPK 200之間提供高度的整合。然而,這需要取捨,因為需要將小晶片及NoPK 200協同設計,以確保小晶片的TSV與NoPK 200的對應TSV對準。不同於使用垂直互連的3D方法,2D平面中的小晶片中之每一者都可能有基於TSV的直接連接至其在NoPK 200中個別的路由停止。此取捨允許在NoPK 200與周圍小晶片之間的最低潛時通信。
第6圖圖示根據一些實施例的使用NoPK在複數個小晶片之間路由訊務的方法的流程圖600。可用上文描述的NoPK執行此方法。舉例而言,可藉由控制器、介面橋接器及/或NoPK的路由網路中的路由器躍點執行此方法的操作。可結合方法的以下操作使用上文關於NoPK描述的任何特徵。
方法可包括程式化複數個介面橋接器及路由網路以連接複數個小晶片(602)。如上文所描述,NoPK上的控制器可讀取/寫入路由器躍點及/或介面橋接器中的配置暫存器以指定其操作。舉例而言,控制器可將配置值寫入至介面橋接器中的暫存器,確定進入的通信協定以及輸出的通信協定。控制器可向路由器躍點寫入配置值,以優先處理自一個小晶片向另一小晶片傳輸的訊務。控制器亦可將配置值寫入至介面橋接器及/或路由器躍點,指定在上文關於第2圖所述的系統中訊務如何自一個小晶片路由至另一小晶片。
方法亦包括在複數個介面橋接器自複數個小晶片接收訊務(604)。複數個小晶片可使用複數個不同的協定,並且如上文詳細地描述,這使NoPK能使用任何不同的架構與來自任何不同的生態系統的小晶片連接,且與任何不同的協定通信。方法可進一步包括在複數個介面橋接器將訊務轉換為公用協定(606)。公用協定可為NoPK內部使用的同屬、專屬及/或簡單的封包化協定。替代而言,公用協定可為周圍小晶片中之一者使用的協定中之一者。
方法可進一步包括使用公用協定透過路由網路在複數個介面橋接器之間路由訊務(608)。來自介面橋接器的封包可透過NoPK的路由網路傳輸至連接到其他小晶片的其他介面橋接器。這允許系統中的所有小晶片透過NoPK相互通信。
應瞭解第6圖所示的具體步驟提供根據各個實施例在可擴充式封裝級網路中的複數個小晶片之間路由訊務的特定方法。根據替代實施例,亦可執行其他步驟順序。舉例而言,替代實施例可按不同的次序執行上文敘述的步驟。此外,第6圖所示的個別的步驟可包括多個子步驟,可根據個別步驟的情況以各種順序執行該等子步驟。另外,可根據特定應用添加或去除額外的步驟。許多變體、修改及替代者亦處於本揭示案的範疇中。
可使用處理器實施上文描述的NoPK的控制器。另外,控制器可與諸如通用計算系統的外部系統通信。舉例而言,控制器可向電腦系統傳送遙測資訊,以用於由使用者或自動化程式顯示及/或分析。下文提供對處理器的有用描述,此處理器可用於但不限於通用計算系統及/或NoPK。
第7圖圖示示例性電腦系統700,其中可實施各個實施例。系統700可用於實施上文描述的任何電腦系統。如圖所示,電腦系統700包括經由匯流排子系統702與若干週邊子系統通信的處理單元704。此等週邊子系統可包括處理加速單元706、I/O子系統708、儲存子系統718及通信子系統724。儲存子系統718包括有形電腦可讀儲存媒體722及系統記憶體710。
匯流排子系統702提供使電腦系統700的各個部件及子系統按預期相互通信的機制。雖然將匯流排子系統702示意性表示為單一匯流排,但匯流排子系統的替代實施例可使用多個匯流排。匯流排子系統702可為若干類型的匯流排結構中之任一者,包括記憶體匯流排或記憶體控制器、週邊匯流排及使用各種匯流排架構中之任一者的區域匯流排。舉例而言,此類架構可包括工業標準架構(Industry Standard Architecture; ISA)匯流排、微通道架構(Micro Channel Architecture; MCA)匯流排、增強ISA(EISA)匯流排、視電標準協會(Video Electronics Standards Association; VESA)區域匯流排及週邊組件互連(Peripheral Component Interconnect; PCI)匯流排,該等匯流排可實施為按照IEEE P1386.1標準製造的夾層匯流排。
可實施為一或多個記憶體電路(例如習知微處理器或微控制器)的處理單元704控制電腦系統700的操作。處理單元704可包括一或多個處理器。此等處理器可包括單核心或多核心處理器。在某些實施例中,可將處理單元704實施為一或多個獨立的處理單元732及/或734,每一處理單元中包括單或多核心處理器。在其他實施例中,亦可將處理單元704實施為藉由將兩個雙核心處理器整合為單一晶片而形成的四核心處理單元。
在各個實施例中,處理單元704可回應於程式碼執行各種程式,並且可維持多個並行執行的程式或過程。在任何給定時間,待執行的一些或所有程式碼可常駐於處理器704及/或儲存子系統718中。透過合適的程式設計,處理器704可提供上文描述的各種功能性。電腦系統700可另外包括處理加速單元706,其可包括數位訊號處理器(digital signal processor; DSP)、專用處理器及/或類似者。
I/O子系統708可包括使用者介面輸入器件及使用者介面輸出器件。使用者介面輸入器件可包括鍵盤、諸如滑鼠或軌跡球的指向器件、納入顯示器中的觸控板或觸控螢幕、捲輪、點擊轉輪、撥號盤、按鈕、開關、小鍵盤、具有語音命令辨識系統的音訊輸入器件及其他類型的輸入器件。舉例而言,使用者介面輸入器件可包括諸如Microsoft Kinect®運動感測器的運動感測及/或手勢辨識器件,其使得使用者能透過使用手勢及口語命令的自然使用者介面控制諸如Microsoft Xbox® 360遊戲控制器的輸入器件並與其交互。使用者介面輸入器件亦可包括諸如Google Glass®閃爍偵測器的人眼手勢辨識器件,其偵測來自使用者的人眼活動(例如「閃爍」同時拍照及/或進行選項單選擇)並將人眼手勢轉換為輸入器件(例如Google Glass®)的輸入。另外,使用者介面輸入器件可包括語音辨識感測器件,其賦能使用者透過語音命令與語音辨識系統(例如Siri®導航器)交互。
使用者介面輸入器件亦可包括但不限於三維(3D)滑鼠、搖桿或指向搖桿、遊戲台及圖形輸入板、諸如揚聲器的音訊/視覺器件、數位相機、數位攝錄影機、可攜式媒體播放器、網路攝影機、影像掃描器、指紋掃描器、條碼閱讀機3D掃描器、3D列印機、雷射測距器及人眼視線追蹤器件。另外,舉例而言,使用者介面輸入器件可包括醫學成像輸入器件,例如電腦斷層攝影、磁振造影、正子放射造影、醫學超音波掃描器件。舉例而言,使用者介面輸入器件亦可包括音訊輸入器件,例如MIDI鍵盤、數位樂器及類似者。
使用者介面輸出器件可包括顯示子系統、指示燈或諸如音訊輸出器件的無視覺顯示器。顯示子系統可為陰極射線管(cathode ray tube; CRT)、平板器件(例如使用液晶顯示器(liquid crystal display; LCD)或電漿顯示器)、投影器件、觸控螢幕及類似者。通常,使用術語「輸出器件」將包括用於將來自電腦系統700的資訊輸出至使用者或其他電腦的所有可能類型的器件及機制。舉例而言,使用者介面輸出器件可包括但不限於視覺傳輸本文、圖形及音訊/視訊資訊的各種顯示器件,例如監視器、列印機、揚聲器、車用導航系統、繪圖器、語音輸出器件及數據機。
電腦系統700可具有包含軟體元件的儲存子系統718,其目前顯示為位於系統記憶體710中。系統記憶體710儲存可在處理單元704上載入且執行的程式指令,以及在執行此等程式時產生的資料。
根據電腦系統700的配置及類型,系統記憶體710可為揮發性的(例如隨機存取記憶體(random access memory; RAM))及/或非揮發性的(例如唯讀記憶體(read-only memory; ROM)、快閃記憶體等)。RAM通常包含資料及/或程式模組,可立即存取該等資料及/或程式模組或該等資料及/或程式模組目前由處理單元704運行及執行。在一些實施方式中,系統記憶體710可包括多個不同類型的記憶體,例如靜態隨機存取記憶體(static random access memory; SRAM)或動態隨機存取記憶體(dynamic random access memory; DRAM)。在一些實施方式中,ROM中通常可儲存基本輸入/輸出系統(basic input/output system; BIOS),其中包含有助於在電腦系統700中的元件之間傳送資訊(例如在啟動時)的基本常式。舉例而言而非限制,系統記憶體710亦說明應用程式712,其可包括客戶端應用、網頁瀏覽器、中層應用、關連式資料庫管理系統(relational database management system; RDBMS)等、程式資料714及作業系統716。舉例而言,作業系統716可包括各種版本的Microsoft Windows®、Apple Macintosh®及/或Linux作業系統,多種市售的UNIX®或類UNIX的作業系統(包括但不限於各種GNU/Linux作業系統、Google Chrome® OS及類似者)及/或諸如iOS、Windows® Phone、Android® OS、BlackBerry® 10 OS及Palm® OS作業系統的行動作業系統。
儲存子系統718亦可提供有形的電腦可讀儲存媒體,其儲存提供一些實施例的功能性的基本程式設計及資料構造。由處理器執行時提供上文所描述的功能性的軟體(程式、碼模組、指令)可儲存於儲存子系統718中。此等軟體模組或指令可由處理單元704執行。儲存子系統718亦可提供用於根據一些實施例儲存所使用資料的儲存庫。
儲存子系統700亦可包括電腦可讀儲存媒體閱讀器720,其可進一步連接至電腦可讀儲存媒體722。電腦可讀儲存媒體722與系統記憶體710一起(視情況與系統記憶體710組合)可綜合表示遠端、區域、固定及/或可移除的儲存器件,以及用於暫時及/或更永久地包含、儲存、傳輸及擷取電腦可讀資訊的儲存媒體。
包含碼或碼的部分的電腦可讀儲存媒體722亦可包括任何合適的媒體(包括儲存媒體及通信媒體),例如但不限於在儲存及/或傳輸資訊的任何方法或技術中實施的揮發性及非揮發性、可移除及非可移除的媒體。這可包括有形的電腦可讀儲存媒體,例如RAM、ROM、電子可抹除可程式ROM(EEPROM)、快閃記憶體或其他記憶體技術、CD-ROM、數位通用磁碟、或其他光學儲存器、磁卡、磁帶、磁碟儲存器或其他磁性儲存器件、或其他有形的電腦可讀媒體。這亦可包括無形的電腦可讀媒體,例如數位訊號、數位傳輸或可用於傳輸所要資訊且可由計算系統700存取的任何其他媒體。
舉例而言,電腦可讀儲存媒體722可包括:自非可移除、非揮發性磁性媒體讀取或寫入至非可移除、非揮發性磁性媒體的硬碟驅動器,自可移除、非揮發性磁碟讀取或寫入至可移除、非揮發性磁碟的磁碟驅動器,以及自可移除、非揮發性光碟(例如CD ROM、DVD及藍光®光碟或其他光學媒體)讀取或寫入至可移除、非揮發性光碟的光碟驅動器。電腦可讀儲存媒體722可包括但不限於Zip®驅動器、快閃記憶卡、通用串列匯流排(universal serial bus; USB)快閃驅動器、保全數位(secure digital; SD)卡、DVD碟、數位視訊帶及類似者。電腦可讀儲存媒體722亦可包括基於非揮發性記憶體的固態驅動器(solid-state drive; SSD)(例如基於快閃記憶體的SSD、企業級快閃驅動器、固態ROM及類似者)、基於揮發性記憶體的SSD(例如固態RAM、動態RAM、靜態RAM、基於DRAM的SSD、磁阻RAM(magnetoresistive RAM; MRAM)SSD)以及使用DRAM及基於快閃記憶體的SSD的組合的混合SSD。磁碟驅動器及其相關的電腦可讀媒體可提供電腦可讀指令、資料結構、程式模組及電腦系統700的其他資料的非揮發性儲存。
通信子系統724提供通往其他電腦系統及網路的介面。通信子系統724用作介面,該介面用於自電腦系統700的其他系統接收資料及將資料傳輸至此等其他系統。舉例而言,通信子系統724可賦能電腦系統700經由網際網路連接至一或多個器件。在一些實施例中,通信子系統724可包括:射頻(radio frequency; RF)收發器部件,用於存取無線語音及/或資料網路(例如使用行動電話技術、高級資料網路技術,例如3G、4G或全域進化增強資料率(enhanced data rates for global evolution; EDGE));WiFi(IEEE 802.11族標準或其他行動通信技術或其任何組合);全球定位系統(global positioning system; GPS)收發器部件及/或其他部件。在一些實施例中,除無線介面以外或代替無線介面,通信子系統724可提供有限網路連接性(例如乙太網路)。
在一些實施例中,通信子系統724亦可代表可使用電腦系統700的一或多個使用者接收結構化及/或非結構化資料饋送726、事件流728、事件更新730及類似者的形式的輸入通信。
舉例而言,通信子系統724可經配置以自社交網路及/或其他通信服務的使用者即時接收資料饋入726,例如Twitter®饋送、Facebook®更新、諸如豐富站點摘要(Rich Site Summary; RSS)饋送的網路饋送及/或來自一或多個第三方資訊源的即時更新。
另外,通信子系統724亦可經配置以接收連續資料流的形式的資料,其可包含即時事件及/或事件更新730的事件流728,具有連續或無界的性質,無明顯的終點。舉例而言,產生連續資料的應用的實例可包括感測器資料應用、自動收報機、網路效能量測工具(例如網路監測及訊務管理應用)、點選流分析工具、車用訊務監測及類似者。
通信子系統724亦可經配置以將結構化及/或非結構化資料饋送726、事件流728、事件更新730及類似者輸出至一或多個資料庫,該一或多個資料庫與耦接至電腦系統700的一或多個串流資料源電腦通信。
電腦系統700可為各種類型中之一者,包括手持式可攜器件(例如iPhone®行動電話、iPad®計算平板電腦、PDA)、隨身器件(例如Google Glass®頭戴式顯示器)、PC、工作站、大型電腦、資訊站、伺服器框架或任何其他資料處理系統。
由於電腦及網路不斷變化的性質,因此圖中描繪的電腦系統700的描述僅為特定實例。許多其他配置可能有比圖中描繪的系統更多或更少的部件。舉例而言,亦可能使用客製化硬體,及/或可能在硬體、韌體、軟體(包括小型應用程式)或其組合中實施特定元件。另外,可使用與其他計算器件(例如網路輸入/輸出器件)的連接。根據本文提供的揭示案及教示,實施各個實施例的其他方式及/或方法應為顯而易見的。
在以上的詳細描述中,為深入理解各個實施例,出於解釋的目的,闡述大量具體細節。然而,顯而易見的是,可在無此等具體細節中之一些的情況下實踐一些實施例。在其他情況下,以方塊圖形式展示已知的結構及器件。
以上的描述僅提供示例性實施例,無意限制揭示案的範疇、適用性或配置。相反,以上對各個實施例的描述將提供用於實施至少一個實施例的有用的揭示案。應理解可在不脫離所附請求項中闡述的一些實施例的精神及範疇的情況下對元件的功能及佈置作出各種改變。
為深入理解實施例,以上的描述給出具體細節。然而,將理解可在無此等具體細節的情況下實踐實施例。舉例而言,已用方塊圖形式將電路、系統、網路、製程及其他部分展示為部件,以便不在不必要的細節中模糊實施例。在其他實施例中,所展示的已知的電路、製程、演算法、結構及技術無不必要的細節,以便避免模糊實施例。
另外,應指出已將個別的實施例描述為一過程,用作業圖、流程圖、資料流程圖、結構圖或方塊圖描繪過程。雖然流程圖已將操作描述為順序的過程,但許多操作可平行或並行進行。另外,可重新安排操作的次序。過程在其操作完成時終止,但可具有圖中未包括的額外的步驟。過程可對應於方法、功能、程序、次常式、子程式等。當過程對應於功能時,其終止可對應於功能返回至呼叫功能或主功能。
術語「電腦可讀媒體」包括但不限於可攜式或固定儲存器件、光學儲存器件、無線通道及能夠儲存、包含或攜載指令及/或資料的各種其他媒體。碼段或機器可執行指令可表示程序、功能、子程式、程式、常式、次常式、模組、軟體套裝、類別或指令、資料結構或程式敘述的任何組合。可藉由傳遞及/或接收資訊、資料、引數、參數或記憶體內容將碼段耦合至另一碼段或硬體電路。可經由任何合適的工具(包括記憶體分享、訊息傳遞、符記傳遞、網路傳輸等)傳遞、轉送或傳輸資訊、引數、參數、資料等。
另外,可藉由硬體、軟體、韌體、中間軟體、微碼、硬體描述語言或其任何組合來實施實施例。當在軟體、韌體、中間軟體或微碼中實施時,執行必要任務的程式碼或碼段可儲存於機器可讀媒體中。處理器可執行必要的任務。
在以上的說明書中,參考特徵的具體實施例描述特徵,但應瞭解並非所有實施例受此限制。可單獨或共同使用一些實施例的各個特徵及態樣。另外,在本文描述的彼等之外,可在不脫離說明書的更廣泛的精神及範疇的情況下於任何數量的環境及應用中使用實施例。因此將說明書及圖式視為說明性的而非限制性的。
另外,出於說明的目的,以特定的次序描述方法。應瞭解在替代實施例中,方法可按與所描述的不同的次序進行。亦應瞭解上文描述的方法可藉由硬體部件進行或包含在機器可執行指令的順序中,可使用該等機器可執行指令使諸如通用或專用處理器或已程式化指令的邏輯電路的機器執行方法。可將此等機器可執行指令儲存於一或多個機器可讀媒體上,例如CD-ROM或其他類型的光碟、軟式磁片、ROM、RAM、EPROM、EEPROM、磁卡或光卡、快閃記憶體,或適用於儲存電子指令的其他類型的機器可讀媒體。替代而言,可藉由硬體及軟體的組合執行方法。
100:基於小晶片的系統 104-1:小晶片 104-2:小晶片 104-3:小晶片 104-4:小晶片 104-5:小晶片 104-6:小晶片 200:封裝級網路 202-1:路由器躍點 202-2:路由器躍點 202-3:路由器躍點 204-1:介面橋接器 204-2:介面橋接器 204-3:介面橋接器 211:控制器 213:記憶體控制器 220-1:分散式SRAM模組 220-2:分散式SRAM模組 220-3:分散式SRAM模組 220-4:分散式SRAM模組 220-5:分散式SRAM模組 220-6:分散式SRAM模組 300:系統 302-1:CODEC 302-2:無線通信模組 302-3:通信介面 304-1:DSP 304-2:SOC 304-3:GPU 304-4:硬體加速器 306:第一中介層 308:第三中介層 310:第二中介層 312-1:垂直互連 312-2:垂直互連 312-3:垂直互連 312-4:垂直互連 314:BGA表面安裝墊 402:Wi-Fi小晶片 404:週邊驅動器小晶片 406:記憶體小晶片 408:處理器小晶片 410:AI加速器小晶片 420:基板 500:基於小晶片的系統 510:基板 600:流程圖 602:步驟 604:步驟 606:步驟 608:步驟 700:電腦系統 702:匯流排子系統 704:處理單元 706:處理加速單元 708:I/O子系統 710:系統記憶體 712:應用程式 714:程式資料 716:作業系統 718:儲存子系統 720:電腦可讀儲存媒體閱讀器 722:電腦可讀儲存媒體 724:通信子系統 726:資料饋入 728:事件流 730:事件更新 732:處理單元 734:處理單元
可參考說明書的剩餘部分及圖式進一步理解各個實施例的性質及優點,其中在若干圖中使用相同的元件符號指相似的元件。在一些情況下,一子標記與一元件符號相關以表示多個相似部件中之一者。當提及一元件符號而未說明現有子標記時,其意為提及所有此等多個相似的元件。
第1圖圖示根據一些實施例的基於小晶片的設計。
第2A圖圖示根據一些實施例的NoPK的簡化方塊圖。
第2B圖圖示根據一些實施例的使用可配置記憶體階層的NoPK的實施方式。
第3A圖圖示根據一些實施例的在垂直互連配置中使用NoPK的基於小晶片的設計。
第3B圖圖示根據一些實施例的在垂直互連配置中使用NoPK的基於小晶片的設計的3D圖。
第4圖圖示根據一些實施例之具有使用2D設計之NoPK的基於小晶片的系統。
第5圖圖示根據一些實施例的基於小晶片的系統,其使用TSV以將小晶片連接至NoPK 200。
第6圖圖示根據一些實施例的使用NoPK在複數個小晶片之間路由訊務的方法的流程圖。
第7圖圖示其中可實施各個實施例的示例性電腦系統及處理器。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
200:封裝級網路
300:系統
302-1:CODEC
302-2:無線通信模組
302-3:通信介面
304-1:DSP
304-2:SOC
304-3:GPU
304-4:硬體加速器
306:第一中介層
308:第三中介層
310:第二中介層

Claims (20)

  1. 一種用於連接複數個小晶片的封裝級網路(NoPK),該NoPK包含: 複數個介面橋接器,其經配置以將該複數個小晶片使用的複數個協定轉換為一公用協定 一路由網路,其經配置以使用該公用協定在該複數個介面橋接器之間路由訊務;及 一控制器,其經配置以基於連接至該NoPK的該複數個小晶片的類型程式化該複數個介面橋接器及該路由網路。
  2. 如請求項1所述之NoPK,其進一步包含位於該路由網路的線路之間的空間中的複數個分散式靜態隨機存取記憶體(SRAM)模組,其中該等分散式SRAM模組可配置為快取記憶體或軟體管理的緩衝器。
  3. 如請求項1所述之NoPK,其中在將該NoPK實體連接至該複數個小晶片之後,可對該控制器進行程式化。
  4. 如請求項1所述之NoPK,其中該控制器包含一遙測引擎,其監測透過該路由網路路由的該訊務,並讀取該複數個小晶片的效能暫存器。
  5. 如請求項1所述之NoPK,其進一步包含經配置以通信耦接至該NoPK外部的一記憶體裝置的一記憶體控制器。
  6. 如請求項1所述之NoPK,其中該控制器經程式化以在與該NoPK介面連接時設定該複數個小晶片的動態電壓及頻率縮放(DVFS)值。
  7. 如請求項1所述之NoPK,其中該控制器進一步經配置以程式化該路由網路,以優先處理該複數個小晶片中之一第一對小晶片之間的訊務。
  8. 如請求項1所述之NoPK,其中在一專用小晶片晶粒中實施該NoPK。
  9. 一種在複數個小晶片之間路由訊務的方法,該方法包含以下步驟: 將複數個介面橋接器及一路由網路程式化以連接該複數個小晶片; 在該複數個介面橋接器自該複數個小晶片接收該訊務,其中該複數個小晶片使用複數個協定; 在該複數個介面橋接器將該訊務轉換為一公用協定;及 使用該公用協定透過該路由網路在該複數個介面橋接器之間路由該訊務。
  10. 如請求項9所述之方法,其中該複數個小晶片包含來自不同製造商的處理器。
  11. 如請求項9所述之方法,其中該複數個協定包含該複數個小晶片使用的複數個不同的匯流排通信協定。
  12. 如請求項9所述之方法,其中該公用協定包含該複數個小晶片使用的該複數個協定中之一者。
  13. 如請求項9所述之方法,其中該公用協定包含該複數個小晶片不使用的一同屬封包協定。
  14. 如請求項9所述之方法,其進一步包含以下步驟:當該複數個小晶片中小晶片的數量小於小晶片的一閾值數量時,停用該路由網路的一部分。
  15. 一種系統,其包含: 第一複數個小晶片,其佈置於一第一中介層上。 第二複數個小晶片,其佈置於一第二中介層上;及 一封裝,其包含一第三中介層上的一路由網路,其中: 該第三中介層在該第一中介層與該第二中介層之間; 該第一中介層藉由一第一組互連連接至該第三中介層; 該第二中介層藉由一第二組互連連接至該第三中介層;及 該路由網路可經程式化以在該第一複數個小晶片與該第二複數個小晶片之間路由訊務。
  16. 如請求項15所述之系統,其中該組互連包括垂直互連,其實體地分隔該第一中介層、該第二中介層及該第三中介層。
  17. 如請求項15所述之系統,其中該組互連包含矽穿孔(TSV)。
  18. 如請求項15所述之系統,其中該第一複數個小晶片透過該第一中介層相互通信,該第二複數個小晶片透過該第二中介層相互通信,且該第一複數個小晶片透過包含該路由網路的該封裝與該第二複數個小晶片通信。
  19. 如請求項15所述之系統,其中該第三中介層包含一有機、玻璃或矽中介基板。
  20. 如請求項15所述之系統,其中: 該第一複數個小晶片使用一第一功率量; 該第二複數個小晶片使用小於該第一功率量的一第二功率量; 該系統進一步包含耦接至該第一複數個小晶片的一散熱器。
TW111115207A 2021-05-07 2022-04-21 用於連接基於小晶片的設計之可擴充式封裝級網路 TWI820682B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/314,857 US11488935B1 (en) 2021-05-07 2021-05-07 Scalable network-on-package for connecting chiplet-based designs
US17/314,857 2021-05-07

Publications (2)

Publication Number Publication Date
TW202304184A true TW202304184A (zh) 2023-01-16
TWI820682B TWI820682B (zh) 2023-11-01

Family

ID=83809485

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111115207A TWI820682B (zh) 2021-05-07 2022-04-21 用於連接基於小晶片的設計之可擴充式封裝級網路

Country Status (7)

Country Link
US (1) US11488935B1 (zh)
EP (1) EP4335095A1 (zh)
JP (1) JP2024518166A (zh)
KR (1) KR20240005857A (zh)
CN (1) CN117461300A (zh)
TW (1) TWI820682B (zh)
WO (1) WO2022235401A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11791233B1 (en) 2021-08-06 2023-10-17 Kepler Computing Inc. Ferroelectric or paraelectric memory and logic chiplet with thermal management in a multi-dimensional packaging

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6226700B1 (en) * 1998-03-13 2001-05-01 Compaq Computer Corporation Computer system with bridge logic that includes an internal modular expansion bus and a common master interface for internal master devices
US8407660B2 (en) 2007-09-12 2013-03-26 Neal Solomon Interconnect architecture in three dimensional network on a chip
US9379059B2 (en) * 2008-03-21 2016-06-28 Mediatek Inc. Power and ground routing of integrated circuit devices with improved IR drop and chip performance
US8305294B2 (en) 2009-09-08 2012-11-06 Global Oled Technology Llc Tiled display with overlapping flexible substrates
KR102393946B1 (ko) 2016-10-07 2022-05-03 엑셀시스 코포레이션 직접-접합된 네이티브 상호접속부 및 능동 베이스 다이
US10700046B2 (en) 2018-08-07 2020-06-30 Bae Systems Information And Electronic Systems Integration Inc. Multi-chip hybrid system-in-package for providing interoperability and other enhanced features to high complexity integrated circuits
KR101989406B1 (ko) * 2019-02-19 2019-06-14 (주)위즈네트 주소 자동 할당을 위한 프로토콜 오프로드 장치 및 방법
US10909652B2 (en) 2019-03-15 2021-02-02 Intel Corporation Enabling product SKUs based on chiplet configurations
US10803548B2 (en) 2019-03-15 2020-10-13 Intel Corporation Disaggregation of SOC architecture
US10691182B2 (en) * 2019-05-20 2020-06-23 Intel Corporation Layered super-reticle computing: architectures and methods
US11841803B2 (en) 2019-06-28 2023-12-12 Advanced Micro Devices, Inc. GPU chiplets using high bandwidth crosslinks
US11133259B2 (en) * 2019-12-12 2021-09-28 International Business Machines Corporation Multi-chip package structure having high density chip interconnect bridge with embedded power distribution network

Also Published As

Publication number Publication date
KR20240005857A (ko) 2024-01-12
JP2024518166A (ja) 2024-04-25
EP4335095A1 (en) 2024-03-13
US20220359464A1 (en) 2022-11-10
US11488935B1 (en) 2022-11-01
CN117461300A (zh) 2024-01-26
WO2022235401A1 (en) 2022-11-10
TWI820682B (zh) 2023-11-01

Similar Documents

Publication Publication Date Title
CN107003955B (zh) 用于在根复合体中集成设备的方法、装置和系统
CN104094222B (zh) 用于执行指令的电路和方法
US9632953B2 (en) Providing input/output virtualization (IOV) by mapping transfer requests to shared transfer requests lists by IOV host controllers
US20200364176A1 (en) Storage system, method, and apparatus for fast io on pcie devices
US11372674B2 (en) Method, apparatus and system for handling non-posted memory write transactions in a fabric
US11907718B2 (en) Loop execution in a reconfigurable compute fabric using flow controllers for respective synchronous flows
TWI820682B (zh) 用於連接基於小晶片的設計之可擴充式封裝級網路
JP2017519294A (ja) フラッシュメモリベースストレージデバイスのマルチホスト電力コントローラ(mhpc)
TW202301843A (zh) 用以動態地配置快取之方法、設備及製造物件
WO2023022906A1 (en) Tile-based result buffering in memory-compute systems
US11231927B2 (en) System, apparatus and method for providing a fabric for an accelerator
US20240086324A1 (en) High bandwidth gather cache
US11829758B2 (en) Packing conditional branch operations
US11789790B2 (en) Mechanism to trigger early termination of cooperating processes
CN108027793A (zh) 用于使传感器和处理器对接的系统和方法
CN118043792A (zh) 提供事件消息的可靠接收的机制
US11900146B2 (en) Memory controller with separate transaction table for real time transactions
US20220291733A1 (en) Methods and apparatus to reduce display connection latency
US20230420351A1 (en) Substrate frame design for three-dimensional stacked electronic assemblies
US20230056500A1 (en) Chained resource locking
TW202143037A (zh) 跨計算平台硬體式抽象共享硬體裝置