TW202301632A - Memory array, method of forming the same, and memory device - Google Patents

Memory array, method of forming the same, and memory device Download PDF

Info

Publication number
TW202301632A
TW202301632A TW110136110A TW110136110A TW202301632A TW 202301632 A TW202301632 A TW 202301632A TW 110136110 A TW110136110 A TW 110136110A TW 110136110 A TW110136110 A TW 110136110A TW 202301632 A TW202301632 A TW 202301632A
Authority
TW
Taiwan
Prior art keywords
word line
conductive
wire
conductive contact
line
Prior art date
Application number
TW110136110A
Other languages
Chinese (zh)
Other versions
TWI808499B (en
Inventor
林孟漢
世海 楊
志安 徐
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202301632A publication Critical patent/TW202301632A/en
Application granted granted Critical
Publication of TWI808499B publication Critical patent/TWI808499B/en

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C8/00Arrangements for selecting an address in a digital store
    • G11C8/08Word line control circuits, e.g. drivers, boosters, pull-up circuits, pull-down circuits, precharging circuits, for word lines
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/02Detection or location of defective auxiliary circuits, e.g. defective refresh counters
    • G11C29/025Detection or location of defective auxiliary circuits, e.g. defective refresh counters in signal lines
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/50Marginal testing, e.g. race, voltage or current testing
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/54Arrangements for designing test circuits, e.g. design for test [DFT] tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/10Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/20Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/40Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the peripheral circuit region
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/22Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements
    • G11C11/223Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements using MOS with ferroelectric gate insulating film
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C2029/0403Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals during or with feedback to manufacture
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C2029/1202Word line control
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/02Disposition of storage elements, e.g. in the form of a matrix array
    • G11C5/025Geometric lay-out considerations of storage- and peripheral-blocks in a semiconductor storage device
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/50Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the boundary region between the core and peripheral circuit regions

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Techniques For Improving Reliability Of Storages (AREA)
  • Shift Register Type Memory (AREA)
  • Debugging And Monitoring (AREA)
  • For Increasing The Reliability Of Semiconductor Memories (AREA)

Abstract

A test structure for memory arrays and methods of forming the same are disclosed. A memory array includes a first word line over a semiconductor substrate and extending in a first direction; a second word line over the first word line and extending in the first direction; a memory film contacting the first word line and the second word line; an oxide semiconductor (OS) layer contacting a first source line and a first bit line, the memory film being between the OS layer and each of the first word line and the second word line; and a test structure over the first word line and the second word line, the test structure including a first conductive line electrically coupling the first word line to the second word line, the first conductive line extending in the first direction.

Description

記憶體陣列測試結構和其形成方法Memory array test structure and its forming method

none

半導體記憶體用於電子應用的積體電路中,例如包括收音機、電視、手機和個人電腦裝置。半導體記憶體包括兩種主要類型。一種是揮發性(volatile)記憶體,另一種則是非揮發性記憶體。揮發性記憶體包括隨機存取記憶體(random access memory,RAM),其可進一步區分成靜態隨機存取記憶體(static random access memory,SRAM)和動態隨機存取記憶體(dynamic random access memory,DRAM)兩種子類型。由於SRAM和DRAM在未供電時會失去所儲存的資訊,SRAM和DRAM兩者皆屬於揮發性。Semiconductor memory is used in integrated circuits for electronic applications including, for example, radios, televisions, cell phones and personal computer devices. Semiconductor memory includes two main types. One is volatile memory and the other is non-volatile memory. Volatile memory includes random access memory (random access memory, RAM), which can be further divided into static random access memory (static random access memory, SRAM) and dynamic random access memory (dynamic random access memory, DRAM) two subtypes. Both SRAM and DRAM are volatile because they lose their stored information when power is not supplied.

另一方面,非揮發性記憶體可保留儲存在其上的資料。非揮發性半導體記憶體的一種類型是鐵電隨機存取記憶體(ferroelectric random access memory,FERAM或FRAM)。FERAM的優勢包括其快速寫入/讀取速度和小尺寸。Non-volatile memory, on the other hand, retains the data stored on it. One type of non-volatile semiconductor memory is ferroelectric random access memory (FERAM or FRAM). Advantages of FERAM include its fast write/read speed and small size.

none

為了實現提及主題的不同特徵,以下公開內容提供了許多不同的實施例或示例。以下描述組件、配置等的具體示例以簡化本公開。當然,這些僅僅是示例,而不是限制性的。例如,在以下的描述中,在第二特徵之上或上方形成第一特徵可以包括第一特徵和第二特徵以直接接觸形成的實施例,並且還可以包括在第一特徵和第二特徵之間形成附加特徵,使得第一特徵和第二特徵可以不直接接觸的實施例。另外,本公開可以在各種示例中重複參考數字和/或字母。此重複是為了簡單和清楚的目的,並且本身並不表示所討論的各種實施例和/或配置之間的關係。The following disclosure presents many different embodiments or examples in order to achieve the different features of the mentioned subject matter. Specific examples of components, configurations, etc. are described below to simplify the present disclosure. Of course, these are examples only, not limiting. For example, in the following description, forming a first feature on or over a second feature may include embodiments where the first feature and the second feature are formed in direct contact, and may also include embodiments where the first feature and the second feature are formed in direct contact. An embodiment in which an additional feature is formed between such that the first feature and the second feature may not be in direct contact. Additionally, the present disclosure may repeat reference numbers and/or letters in various examples. This repetition is for simplicity and clarity and does not in itself indicate a relationship between the various embodiments and/or configurations discussed.

此外,本文可以使用空間相對術語,諸如「在…下面」、「在…下方」、「下部」、「在…上面」、「上部」等,以便於描述一個元件或特徵與如圖所示的另一個元件或特徵的關係。除了圖中所示的取向之外,空間相對術語旨在包括使用或操作中的裝置的不同取向。裝置可以以其他方式定向(旋轉90度或在其他方向上),並且同樣可以相應地解釋在此使用的空間相對描述符號。In addition, spatially relative terms such as "below," "beneath," "lower," "above," "upper," etc. may be used herein to facilitate describing an element or feature as shown in the drawings. A relationship to another component or feature. Spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.

多個實施例提供用於測試階梯狀結構中的連接的三維記憶體陣列測試結構,以及其形成方法。三維記憶體陣列包括堆疊的記憶體單元,其包括字元線(word line)在平行於下方的基板之主表面的方向上延伸。字元線排列成階梯狀結構,其中字元線的個別長度朝遠離基板的方向遞減。可以形成金屬間介電質(inter-metal dielectric,IMD)在階梯狀結構上方,並且可以形成多個導電通孔穿過金屬間介電質並延伸至階梯狀結構中的各個字元線。可以使用單一遮罩同時形成多個導電通孔而節省時間和成本,但可能導致用於導電通孔的開口未延伸至足夠深度的風險。因此,可以形成測試結構在階梯狀結構上方以測試各個導電通孔是否成功連接至個別字元線。測試結構包括導線連接至各個導電通孔並且互連階梯狀結構中的各個字元線。一些導線在平行於字元線的方向上延伸,並且一些導線在垂直於字元線的方向上延伸。為了判斷全部導電通孔是否成功連接至個別字元線,可以穿過全部字元線施加電壓差在測試結構的相對末端。可以使用測試結構以篩選其中導電通孔未成功連接至個別字元線的記憶體陣列,以此減少裝置缺陷。Embodiments provide a three-dimensional memory array test structure for testing connections in a ladder-like structure, and methods of forming the same. A three-dimensional memory array includes stacked memory cells including word lines extending in a direction parallel to a major surface of an underlying substrate. The word lines are arranged in a ladder-like structure, wherein individual lengths of the word lines decrease in a direction away from the substrate. An inter-metal dielectric (IMD) may be formed over the stepped structure, and a plurality of conductive vias may be formed through the IMD and extending to respective word lines in the stepped structure. Multiple conductive vias can be formed simultaneously using a single mask, saving time and cost, but at the risk of openings for the conductive vias not extending to a sufficient depth. Therefore, a test structure may be formed above the stepped structure to test whether each conductive via is successfully connected to a respective word line. The test structure includes wires connected to the respective conductive vias and interconnecting the respective word lines in the ladder-like structure. Some conductive lines extend in a direction parallel to the word lines, and some conductive lines extend in a direction perpendicular to the word lines. To determine whether all conductive vias are successfully connected to individual wordlines, a voltage difference may be applied across all wordlines at opposite ends of the test structure. The test structure can be used to screen memory arrays in which conductive vias are unsuccessfully connected to individual word lines, thereby reducing device defects.

根據一些實施例,第1A圖和第1B圖繪示記憶體陣列200的示例。第1A圖繪示部分記憶體陣列200的示例的立體圖。第1B圖繪示記憶體陣列200的電路圖。記憶體陣列200包括複數個記憶體單元202,其可以排列成列和行組成的網格。記憶體單元202可以進一步垂直地堆疊以提供三維(three-dimensional)記憶體陣列,從而增加裝置密度。記憶體陣列200可以設置在半導體晶粒(die)的後段製程(back end of line,BEOL)中。例如,記憶體陣列200可以設置在半導體晶粒的互連層中,例如形成在半導體基板上的一或多個主動裝置(例如電晶體)的上方。1A and 1B illustrate an example of a memory array 200, according to some embodiments. FIG. 1A shows a perspective view of an example portion of a memory array 200 . FIG. 1B shows a circuit diagram of the memory array 200 . The memory array 200 includes a plurality of memory cells 202 arranged in a grid composed of columns and rows. The memory cells 202 can be further stacked vertically to provide a three-dimensional memory array, thereby increasing device density. The memory array 200 may be disposed in a back end of line (BEOL) of a semiconductor die. For example, the memory array 200 may be disposed in an interconnect layer of a semiconductor die, eg, over one or more active devices (eg, transistors) formed on a semiconductor substrate.

在一些實施例中,記憶體陣列200是快閃(flash)記憶體陣列,例如NOR快閃記憶體陣列或類似者。各個記憶體單元202可以包括電晶體204和記憶體薄膜90。記憶體薄膜90可以作為閘極介電質。在一些實施例中,各個電晶體204的閘極電性耦接至個別字元線(例如導線72),各個電晶體204的第一源極/汲極區域電性耦接至個別位元線(bit line)(例如導線106),並且各個電晶體204的第二源極/汲極區域電性耦接至個別源極線(source line)(例如導線108),其中源極線將第二源極/汲極區域電性耦接至接地。記憶體陣列200的相同水平列中的記憶體單元202可以分享共同字元線,而記憶體陣列200的相同垂直行中的記憶體單元202可以分享共同源極線和共同位元線。In some embodiments, memory array 200 is a flash memory array, such as a NOR flash memory array or the like. Each memory cell 202 may include a transistor 204 and a memory film 90 . The memory film 90 can be used as a gate dielectric. In some embodiments, the gate of each transistor 204 is electrically coupled to a respective word line (eg, wire 72 ), and the first source/drain region of each transistor 204 is electrically coupled to a respective bit line. (bit line) (such as wire 106), and the second source/drain region of each transistor 204 is electrically coupled to an individual source line (source line) (such as wire 108), wherein the source line connects the second The source/drain regions are electrically coupled to ground. Memory cells 202 in the same horizontal column of the memory array 200 can share a common word line, and memory cells 202 in the same vertical row of the memory array 200 can share a common source line and a common bit line.

記憶體陣列200包括複數個垂直堆疊的導線72(例如字元線),其中第一材料層52設置在垂直相鄰的導線72之間。導線72在平行於下方的基板(未特別繪示在第1A圖和第1B圖中)之主表面的方向上延伸。導線72可以具有階梯狀構造,使得較低的導線72長於較高的導線72並且較低的導線72縱向延伸超過較高的導線72的末端。例如,在第1A圖中繪示多層堆疊的導線72,其中最頂部的導線72最短且最底部的導線72最長。導線72的個別長度可以朝下方基板的方向增加。在這種情況下,可以從記憶體陣列200的上方取得各個導線72的一部分,並且可以在各個導線72的暴露部分製作導電接觸。The memory array 200 includes a plurality of vertically stacked conductive lines 72 (eg, word lines), wherein the first material layer 52 is disposed between vertically adjacent conductive lines 72 . The wires 72 extend in a direction parallel to the main surface of the underlying substrate (not specifically shown in FIGS. 1A and 1B ). The wires 72 may have a stepped configuration such that the lower wires 72 are longer than the upper wires 72 and the lower wires 72 extend longitudinally beyond the ends of the higher wires 72 . For example, in FIG. 1A a multi-layer stack of wires 72 is shown, wherein the topmost wire 72 is the shortest and the bottommost wire 72 is the longest. The individual lengths of the wires 72 may increase towards the underlying substrate. In this case, a portion of each wire 72 can be taken from above the memory array 200 and conductive contacts can be made on the exposed portion of each wire 72 .

記憶體陣列200進一步包括複數個導線106(例如位元線)和複數個導線108(例如源極線)。導線106和導線108可各個在垂直於導線72的方向上延伸。介電材料102設置在相鄰的導線106和導線108之間並分離相鄰的導線106和導線108。成對的導線106和導線108以及相交的導線72定義各個記憶體單元202的邊界,而介電材料98設置在相鄰成對的導線106和導線108之間並分離成對的導線106和導線108。在一些實施例中,導線108電性耦接至接地。儘管第1A圖繪示導線106相對於導線108的具體配置,應理解可以對調導線106和導線108的配置。The memory array 200 further includes a plurality of wires 106 (eg, bit lines) and a plurality of wires 108 (eg, source lines). Lead 106 and lead 108 may each extend in a direction perpendicular to lead 72 . Dielectric material 102 is disposed between and separates adjacent conductive lines 106 and 108 . Pairs of wires 106 and 108 and intersecting wires 72 define the boundaries of individual memory cells 202, while dielectric material 98 is disposed between adjacent pairs of wires 106 and 108 and separates pairs of wires 106 and 108. 108. In some embodiments, the wire 108 is electrically coupled to ground. Although FIG. 1A depicts a specific configuration of wire 106 relative to wire 108 , it should be understood that the configuration of wire 106 and wire 108 may be reversed.

記憶體陣列200可以也包括氧化物半導體(oxide semiconductor,OS)層92。氧化物半導體層92可以提供記憶體單元202的電晶體204的通道區域。例如,當穿過對應於的導線72施加適當的電壓(例如,高過對應的電晶體204的個別閾值電壓(threshold voltage,V th)),氧化物半導體層92中和導線72相交的區域可以允許電流從導線106流至導線108(例如箭頭206指示的方向)。 The memory array 200 may also include an oxide semiconductor (OS) layer 92 . The oxide semiconductor layer 92 may provide a channel region of the transistor 204 of the memory cell 202 . For example, when an appropriate voltage (for example, higher than the individual threshold voltage (threshold voltage, V th ) of the corresponding transistor 204) is applied across the corresponding wire 72, the region of the oxide semiconductor layer 92 intersecting with the wire 72 can be Current is allowed to flow from wire 106 to wire 108 (eg, in the direction indicated by arrow 206).

記憶體薄膜90設置在導線72和氧化物半導體層92之間,並且記憶體薄膜90可以為電晶體204提供閘極介電質。在一些實施例中,記憶體薄膜90包括鐵電(ferroelectric,FE)材料,例如氧化鉿、氧化鋯鉿、摻雜矽的氧化鉿或類似者。因此,記憶體陣列200可以稱為鐵電隨機存取記憶體(ferroelectric random access memory,FERAM)陣列。替代地,記憶體薄膜90可以是多層結構、不同的鐵電材料、不同類型的記憶體層(例如可以儲存位元)或類似者。The memory film 90 is disposed between the wire 72 and the oxide semiconductor layer 92 , and the memory film 90 can provide a gate dielectric for the transistor 204 . In some embodiments, the memory film 90 includes a ferroelectric (FE) material, such as hafnium oxide, hafnium zirconium oxide, hafnium oxide doped with silicon, or the like. Therefore, the memory array 200 may be called a ferroelectric random access memory (FERAM) array. Alternatively, the memory film 90 may be a multi-layer structure, different ferroelectric materials, different types of memory layers (eg, capable of storing bits), or the like.

在記憶體薄膜90包括鐵電材料的實施例中,可以在兩種不同方向之中一者上極化記憶體薄膜90。可以透過施加橫跨記憶體薄膜90的適當電壓差,並產生適當的電場來改變極化方向。可以相對局部(例如,通常在記憶體單元202的各個邊界之中)極化,且記憶體薄膜90的連續區域可以延伸橫跨複數個記憶體單元202。根據記憶體薄膜90的特定區域的極化方向,對應的電晶體204的閾值電壓產生變化而可以儲存數位數值(digital value)(例如0或1)。例如,當記憶體薄膜90的一個區域具有第一電極化方向,對應的電晶體204可以具有相對低閾值電壓,而當記憶體薄膜90的區域具有第二電極化方向,對應的電晶體204可以具有相對高閾值電壓。兩個閾值電壓之間的差異可以稱為閾值電壓偏移。較大的閾值電壓偏移相對容易(例如較不易失誤)讀取對應的記憶體單元202中儲存的數位數值。In embodiments where the memory film 90 includes a ferroelectric material, the memory film 90 can be polarized in one of two different directions. The polarization direction can be changed by applying a suitable voltage difference across the memory film 90 and generating a suitable electric field. Polarization may be relatively local (eg, generally within each boundary of memory cells 202 ), and a continuous region of memory film 90 may extend across a plurality of memory cells 202 . According to the polarization direction of a specific region of the memory film 90 , the threshold voltage of the corresponding transistor 204 changes to store a digital value (such as 0 or 1). For example, when a region of the memory film 90 has a first electric polarization direction, the corresponding transistor 204 may have a relatively low threshold voltage, and when a region of the memory film 90 has a second electric polarization direction, the corresponding transistor 204 may have have a relatively high threshold voltage. The difference between the two threshold voltages may be referred to as a threshold voltage shift. A larger threshold voltage shift makes it easier (eg, less prone to errors) to read the corresponding digital value stored in the memory unit 202 .

為了在記憶體單元202上執行寫入操作,橫跨對應的記憶體單元202的部分記憶體薄膜90施加寫入電壓。舉例而言,可以透過施加適當的電壓至對應的導線72(例如對應的字元線)以及對應的導線106和導線108(例如,對應的位元線和源極線)而施加寫入電壓。透過橫跨部分的記憶體薄膜90施加寫入電壓,可以改變記憶體薄膜90的區域的極化方向。因此,對應的電晶體204的對應的閾值電壓可以從低閾值電壓切換到高閾值電壓(或者反之亦然),並且可以在記憶體單元202中儲存數位數值。因為導線72和導線106以及導線108相交,可以選擇獨立的記憶體單元202進行寫入操作。To perform a write operation on a memory cell 202 , a write voltage is applied across a portion of the memory film 90 of the corresponding memory cell 202 . For example, the write voltage can be applied by applying appropriate voltages to corresponding wires 72 (eg, corresponding word lines) and corresponding wires 106 and 108 (eg, corresponding bit lines and source lines). Applying a write voltage through a portion of the memory film 90 can change the polarization direction of the area of the memory film 90 . Accordingly, the corresponding threshold voltage of the corresponding transistor 204 can be switched from a low threshold voltage to a high threshold voltage (or vice versa), and a digital value can be stored in the memory cell 202 . Because the wire 72 intersects with the wire 106 and the wire 108, an independent memory cell 202 can be selected for the write operation.

為了在記憶體單元202上執行讀取操作,施加讀取電壓(例如,電壓在低閾值電壓和高閾值電壓之間)至對應的導線72(例如對應的字元線)。根據記憶體薄膜90的對應區域的極化方向,可以啟動或不啟動記憶體單元202的電晶體204。因此,對應的導線106可以透過對應的導線108(例如,耦接至接地的對應源極線)放電或不放電,並且可以判讀儲存在記憶體單元202中的數位數值。因為導線72和導線106以及導線108相交,可以選擇獨立的記憶體單元202進行讀取操作。To perform a read operation on the memory cell 202, a read voltage (eg, a voltage between a low threshold voltage and a high threshold voltage) is applied to a corresponding conductive line 72 (eg, a corresponding word line). According to the polarization direction of the corresponding region of the memory film 90, the transistor 204 of the memory unit 202 can be activated or deactivated. Therefore, the corresponding wire 106 can be discharged or not discharged through the corresponding wire 108 (eg, the corresponding source line coupled to ground), and the digital value stored in the memory cell 202 can be read. Because wire 72 intersects wire 106 and wire 108 , an individual memory cell 202 can be selected for a read operation.

第1A圖進一步繪示後續圖式中使用的記憶體陣列200的參考截面。截面A-A'沿著導線72的縱軸並且例如在平行於橫跨電晶體204之氧化物半導體層92的電流的方向上。截面B-B'垂直於截面A-A'和導線72的縱軸。截面B-B'延伸穿過介電材料98和介電材料102。截面C-C'平行於截面B-B'並且延伸穿過導線106。為了清楚描述,後續的圖式將參考這些參考截面。截面D-D'平行於截面B-B'並且延伸穿過導線72的階梯狀結構部分。FIG. 1A further illustrates a reference cross-section of the memory array 200 used in subsequent figures. The section AA′ is along the longitudinal axis of the wire 72 and, for example, in a direction parallel to the current flow across the oxide semiconductor layer 92 of the transistor 204 . Section BB' is perpendicular to section AA' and to the longitudinal axis of wire 72 . Section BB′ extends through dielectric material 98 and dielectric material 102 . Section CC′ is parallel to section BB′ and extends through conductive wire 106 . For clarity of description, subsequent figures will refer to these reference sections. Section DD' is parallel to section BB' and extends through the stepped structure portion of wire 72 .

根據一些實施例,第2圖至第34C圖是製造記憶體陣列200的中間階段的視圖。第2圖、第3圖、第4圖、第5圖、第6圖、第7圖、第8圖、第9圖、第10圖、第11B圖、第12B圖、第13B圖、第14B圖、第15B圖、第16B圖、第17B圖、第18B圖、第19B圖、第20B圖、第21B圖、第22B圖、第23B圖和第24B圖沿著第1A圖中的參考截面A-A'繪示。第11C圖、第12C圖、第13C圖、第14C圖、第15C圖、第16C圖、第17C圖、第18C圖、第19C圖、第20C圖、第21C圖、第26A圖、第27A圖、第28A圖、第29A圖、第30A圖、第31A圖、第32A圖、第33A圖和第34A圖沿著第1A圖中的參考截面B-B'繪示。第20D圖、第21D圖和第34C圖沿著第1A圖中的參考截面C-C'繪示。第22C圖、第23C圖、第24C圖、第26B圖、第27B圖、第28B圖、第29B圖、第30B圖、第31B圖、第32B圖、第33B圖和第34B圖沿著第1A圖中的參考截面D-D'繪示。第11A圖、第12A圖、第13A圖、第14A圖、第15A圖、第16A圖、第17A圖、第18A圖、第19A圖、第20A圖、第21A圖、第22A圖、第23A圖、第24A圖、第25A圖和第25C圖繪示俯視圖。第24D圖和第25B圖繪示透視圖。2-34C are illustrations of intermediate stages in the manufacture of memory array 200, according to some embodiments. Figure 2, Figure 3, Figure 4, Figure 5, Figure 6, Figure 7, Figure 8, Figure 9, Figure 10, Figure 11B, Figure 12B, Figure 13B, Figure 14B Figure 15B, Figure 16B, Figure 17B, Figure 18B, Figure 19B, Figure 20B, Figure 21B, Figure 22B, Figure 23B and Figure 24B along the reference section in Figure 1A A-A' is shown. Figure 11C, Figure 12C, Figure 13C, Figure 14C, Figure 15C, Figure 16C, Figure 17C, Figure 18C, Figure 19C, Figure 20C, Figure 21C, Figure 26A, Figure 27A Figures 28A, 29A, 30A, 31A, 32A, 33A and 34A are drawn along the reference section BB' in Figure 1A. Figures 20D, 21D and 34C are drawn along reference section CC' in Figure 1A. Figure 22C, Figure 23C, Figure 24C, Figure 26B, Figure 27B, Figure 28B, Figure 29B, Figure 30B, Figure 31B, Figure 32B, Figure 33B and Figure 34B along the The reference section DD' in Figure 1A is shown. Figure 11A, Figure 12A, Figure 13A, Figure 14A, Figure 15A, Figure 16A, Figure 17A, Figure 18A, Figure 19A, Figure 20A, Figure 21A, Figure 22A, Figure 23A Figures 24A, 25A and 25C show top views. Figures 24D and 25B show perspective views.

在第2圖中,提供基板50。基板50可以是半導體基板,例如塊材半導體、絕緣體上半導體(semiconductor-on-insulator,SOI)基板或類似者,其可以是摻雜的(例如,具有p型或n型摻雜劑)或未摻雜的。基板50可以是積體電路晶粒,例如邏輯晶粒、記憶體晶粒、特定應用積體電路(application specific integrated circuit,ASIC)晶粒或類似者。基板50可以是互補式金屬氧化物半導體(complementary metal oxide semiconductor,CMOS)晶粒並可以稱為CMOS陣列(CMOS under array,CUA)。基板50可以是晶圓,例如矽晶圓。通常而言,SOI基板是形成在絕緣層上的半導體材料層。絕緣層可以例如是埋藏式氧化物(buried oxide,BOX)層、氧化矽層或類似者。絕緣層是提供在基板上,通常是矽或玻璃基板。也可以使用其他基板(例如多層或漸變基板)。在一些實施例中,基板50的半導體材料可以包括矽、鍺、化合物半導體(包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦)、合金半導體(包括矽鍺、砷磷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦及/或砷磷化鎵銦)或上述的組合。In Figure 2, a substrate 50 is provided. Substrate 50 may be a semiconductor substrate, such as a bulk semiconductor, a semiconductor-on-insulator (SOI) substrate, or the like, which may be doped (eg, with p-type or n-type dopants) or undoped. adulterated. The substrate 50 may be an integrated circuit die, such as a logic die, a memory die, an application specific integrated circuit (ASIC) die, or the like. The substrate 50 may be a complementary metal oxide semiconductor (CMOS) grain and may be called a CMOS under array (CUA). The substrate 50 may be a wafer, such as a silicon wafer. Generally speaking, an SOI substrate is a layer of semiconductor material formed on an insulating layer. The insulating layer may be, for example, a buried oxide (BOX) layer, a silicon oxide layer or the like. An insulating layer is provided on a substrate, usually a silicon or glass substrate. Other substrates (such as multi-layer or graded substrates) may also be used. In some embodiments, the semiconductor material of the substrate 50 may include silicon, germanium, compound semiconductors (including silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide and/or indium antimonide), alloy semiconductors ( Including silicon germanium, gallium arsenic phosphide, aluminum indium arsenide, aluminum gallium arsenide, indium gallium arsenide, indium gallium phosphide and/or indium gallium arsenic phosphide) or a combination thereof.

第2圖進一步繪示可以形成在基板50上方的電路。電路包括在基板50的頂表面的電晶體。電晶體可以包括在基板50的頂表面上方的閘極介電層302和在閘極介電層302上方的閘極電極304。源極/汲極區域306設置在基板50中並在閘極介電層302和閘極電極304的相對側。沿著閘極介電層302的側壁形成閘極間隔物308,並且閘極間隔物308以適當的橫向距離分離源極/汲極區域306和閘極電極304。電晶體可以包括鰭式場效應電晶體(fin field effect transistor,finFET)、奈米結構(例如奈米片、奈米線、閘極全環繞或類似者)場效應電晶體(nanostructure FET,nano-FET)、平面式場效應電晶體、類似者或上述的組合,並且可以透過閘極先製製程或閘極後製製程所形成。FIG. 2 further illustrates circuitry that may be formed over the substrate 50 . The circuitry includes transistors on the top surface of the substrate 50 . The transistor may include a gate dielectric layer 302 over the top surface of the substrate 50 and a gate electrode 304 over the gate dielectric layer 302 . Source/drain regions 306 are disposed in substrate 50 on opposite sides of gate dielectric layer 302 and gate electrode 304 . Gate spacers 308 are formed along sidewalls of the gate dielectric layer 302 and separate the source/drain regions 306 and the gate electrodes 304 by a suitable lateral distance. Transistors may include fin field effect transistors (fin field effect transistors, finFETs), nanostructure (such as nanosheets, nanowires, gate full surround or similar) field effect transistors (nanostructure FETs, nano-FETs) ), a planar field effect transistor, the like, or a combination of the above, and can be formed by a gate-first process or a gate-last process.

第一層間介電質310環繞並分離源極/汲極區域306、閘極介電層302和閘極電極304,並且第二層間介電質312在第一層間介電質310上方。源極/汲極接觸314延伸穿過第二層間介電質312和第一層間介電質310,並且電性耦接至源極/汲極區域306。閘極接觸316延伸穿過第二層間介電質312,並且電性耦接至閘極電極304。互連結構320在第二層間介電質312、源極/汲極接觸314和閘極接觸316上方,其中互連結構320包括一或多個堆疊的介電層324以及形成在一或多個介電層324中的導電特徵322。互連結構320可以電性連接至閘極接觸316和源極/汲極接觸314以形成功能電路。在一些實施例中,互連結構320形成的功能電路可以包括邏輯電路、記憶體電路、感測放大器、控制器、輸入/輸出電路、影像感測電路、類似者或上述的組合。儘管第2圖討論形成在基板50上方的電晶體,也可以形成作為功能電路一部分的其他主動裝置(例如二極體或類似者)及/或被動裝置(例如電容器、電阻器或類似者)。為了精簡和清楚說明,在後續圖式中可以省略形成在基板50上方的電晶體、層間介電質和互連結構320。基板50與電晶體(例如源極/汲極區域306、閘極介電層302和閘極電極304)、閘極間隔物308、第一層間介電質310、第二層間介電質312和互連結構320可以作為CUA、邏輯晶粒或類似者。A first interlayer dielectric 310 surrounds and separates the source/drain region 306 , gate dielectric layer 302 and gate electrode 304 , and a second interlayer dielectric 312 is above the first interlayer dielectric 310 . A source/drain contact 314 extends through the second ILD 312 and the first ILD 310 and is electrically coupled to the source/drain region 306 . A gate contact 316 extends through the second ILD 312 and is electrically coupled to the gate electrode 304 . An interconnect structure 320 is over the second ILD 312, the source/drain contacts 314 and the gate contacts 316, wherein the interconnect structure 320 includes one or more stacked dielectric layers 324 and is formed on one or more Conductive feature 322 in dielectric layer 324 . The interconnect structure 320 can be electrically connected to the gate contact 316 and the source/drain contact 314 to form a functional circuit. In some embodiments, the functional circuits formed by the interconnection structure 320 may include logic circuits, memory circuits, sense amplifiers, controllers, input/output circuits, image sensing circuits, the like, or combinations thereof. Although FIG. 2 discusses transistors formed over substrate 50, other active devices (eg, diodes or the like) and/or passive devices (eg, capacitors, resistors, or the like) may be formed as part of the functional circuitry. For brevity and clarity of illustration, the transistors, interlayer dielectrics, and interconnection structures 320 formed over the substrate 50 may be omitted in subsequent figures. Substrate 50 with transistors (such as source/drain regions 306, gate dielectric layer 302 and gate electrode 304), gate spacers 308, first interlayer dielectric 310, second interlayer dielectric 312 And interconnect structure 320 may be a CUA, a logic die, or the like.

在第3圖中,多層堆疊58形成在基板50上方。儘管多層堆疊58繪示成接觸基板50,可以在基板50和多層堆疊58之間設置任何數量的中間層。例如,可以在基板50和多層堆疊58之間設置一或多個包括絕緣層(例如低介電常數介電層)中導電特徵的互連層。在一些實施例中,為了基板50上的主動裝置及/或記憶體陣列200(參考第1A圖和第1B圖),可以圖案化導電特徵以提供電源、接地及/或訊號線。In FIG. 3 , multilayer stack 58 is formed over substrate 50 . Although multilayer stack 58 is shown contacting substrate 50 , any number of intermediate layers may be disposed between substrate 50 and multilayer stack 58 . For example, one or more interconnect layers including conductive features in insulating layers (eg, low-k dielectric layers) may be disposed between substrate 50 and multilayer stack 58 . In some embodiments, conductive features may be patterned to provide power, ground and/or signal lines for active devices and/or memory array 200 on substrate 50 (see FIGS. 1A and 1B ).

多層堆疊58包括交替的第一材料層52A至第一材料層52D(整體稱為第一材料層52)和第二材料層54A至第二材料層54C(整體稱為第二材料層54)。在一些實施例中,在後續步驟中可以圖案化第二材料層54以定義導線72(例如字元線)。在圖案化第二材料層54以定義導線72的實施例中,第二材料層54可以包括導電材料,例如銅、鈦、氮化鈦、鉭、氮化鉭、鎢、釕、鋁、鈷、銀、金、鎳、鉻、鉿、鉑、上述的組合或類似者。第一材料層52可以包括絕緣材料,例如氧化矽、氮化矽、氮氧化矽、上述的組合或類似者。在一些實施例中,在後續步驟中可以將第二材料層54替換成導電材料而定義導線72。在這樣的實施例中,第二材料層54也可以包括絕緣材料,例如氧化矽、氮化矽、氮氧化矽、上述的組合或類似者,並可以包括相對於第一材料層52而具有高蝕刻選擇性的材料。在一些實施例中,第一材料層52可以包括氧化物(例如氧化矽),而第二材料層54可以包括氮化物(例如氮化矽)。可以使用例如化學氣相沉積(chemical vapor deposition,CVD)、原子層沉積(atomic layer deposition,ALD)、物理氣相沉積(physical vapor depostion,PVD)、電漿增強化學氣相沉積(plasma enhanced CVD,PECVD)或類似者個別形成第一材料層52和第二材料層54。儘管第3圖繪示具體數量的第一材料層52(例如4層)和第二材料層54(例如3層),其他實施例可以包括不同的數量的第一材料層52和第二材料層54。Multilayer stack 58 includes alternating first material layers 52A-52D (collectively first material layers 52 ) and second material layers 54A-54C (collectively second material layers 54 ). In some embodiments, the second material layer 54 may be patterned in a subsequent step to define conductive lines 72 (eg, word lines). In embodiments where second material layer 54 is patterned to define conductive lines 72, second material layer 54 may comprise a conductive material such as copper, titanium, titanium nitride, tantalum, tantalum nitride, tungsten, ruthenium, aluminum, cobalt, Silver, gold, nickel, chromium, hafnium, platinum, combinations of the above, or the like. The first material layer 52 may include insulating materials, such as silicon oxide, silicon nitride, silicon oxynitride, combinations thereof, or the like. In some embodiments, the second material layer 54 may be replaced with a conductive material in a subsequent step to define the wire 72 . In such an embodiment, the second material layer 54 may also include an insulating material, such as silicon oxide, silicon nitride, silicon oxynitride, a combination thereof, or the like, and may include a material having a high Etch selective materials. In some embodiments, the first material layer 52 may include oxide (such as silicon oxide), and the second material layer 54 may include nitride (such as silicon nitride). For example, chemical vapor deposition (chemical vapor deposition, CVD), atomic layer deposition (atomic layer deposition, ALD), physical vapor deposition (physical vapor deposition, PVD), plasma enhanced chemical vapor deposition (plasma enhanced CVD, PECVD) or the like are individually formed into the first material layer 52 and the second material layer 54 . Although FIG. 3 depicts a specific number of first material layers 52 (eg, 4 layers) and second material layers 54 (eg, 3 layers), other embodiments may include different numbers of first material layers 52 and second material layers. 54.

第4圖至第8圖繪示圖案化多層堆疊58以形成階梯狀結構68(繪示在第8圖中)。在第4圖中,光阻56形成在多層堆疊58上方。可以使用旋塗技術形成光阻56並可以使用可接受的光刻技術進行圖案化。將光阻56圖案化可以暴露區域60中的多層堆疊58,同時遮罩多層堆疊58的剩餘部分。例如,可以暴露區域60中的多層堆疊58的最頂層(例如第一材料層52D)。FIGS. 4-8 illustrate patterning the multilayer stack 58 to form a stepped structure 68 (shown in FIG. 8 ). In FIG. 4 , photoresist 56 is formed over multilayer stack 58 . Photoresist 56 may be formed using spin coating techniques and may be patterned using acceptable photolithographic techniques. Patterning photoresist 56 may expose multilayer stack 58 in region 60 while masking the remainder of multilayer stack 58 . For example, the topmost layer (eg, first material layer 52D) of multilayer stack 58 in region 60 may be exposed.

在第5圖中,使用光阻56作為遮罩,蝕刻區域60中多層堆疊58的暴露部分。蝕刻可以是任何可接受的蝕刻製程,例如濕式或乾式蝕刻、反應離子蝕刻(reactive ion etch,RIE)、中性粒子束蝕刻(neutral beam etch,NBE)、類似者或上述的組合。蝕刻可以是各向異性的。蝕刻可以移除區域60中部分的第一材料層52D和第二材料層54C,並沿著多層堆疊58的相對邊緣定義開口61。由於第一材料層52和第二材料層54具有不同的材料組成,可以使用不同的蝕刻劑移除這些層的暴露部分。在一些實施例中,當蝕刻第一材料層52D時,第二材料層54C作為蝕刻停止層,而當蝕刻第二材料層54C時,第一材料層52C作為蝕刻停止層。因此,可以選擇性移除部分的第一材料層52D和第二材料層54C,同時避免移除多層堆疊58的剩餘層,並且可以將開口61延伸至期望的深度。替代地,可以使用定時的蝕刻製程,以在開口61達到期望的深度後停止開口61的蝕刻。在所獲得的結構中,第一材料層52C暴露在區域60中。In FIG. 5, the exposed portions of multilayer stack 58 in region 60 are etched using photoresist 56 as a mask. The etching can be any acceptable etching process, such as wet or dry etching, reactive ion etch (RIE), neutral beam etch (NBE), the like, or combinations thereof. Etching can be anisotropic. Etching may remove portions of first material layer 52D and second material layer 54C in region 60 and define opening 61 along opposing edges of multilayer stack 58 . Since the first material layer 52 and the second material layer 54 have different material compositions, different etchants may be used to remove exposed portions of these layers. In some embodiments, when the first material layer 52D is etched, the second material layer 54C acts as an etch stop layer, and when the second material layer 54C is etched, the first material layer 52C acts as an etch stop layer. Accordingly, portions of the first material layer 52D and the second material layer 54C may be selectively removed while avoiding removal of the remaining layers of the multilayer stack 58 and the opening 61 may be extended to a desired depth. Alternatively, a timed etching process may be used to stop the etching of the opening 61 after the opening 61 has reached a desired depth. In the resulting structure, first material layer 52C is exposed in region 60 .

在第6圖中,修整光阻56以暴露額外部分的多層堆疊58。可以使用可接受的光刻技術修整光阻56。修整之後,光阻56的寬度減少,並且暴露區域60和區域62中的部分的多層堆疊58。例如,可以暴露區域62中的第一材料層52D的頂表面和區域60中的第一材料層52C的頂表面。In FIG. 6 , photoresist 56 is trimmed to expose additional portions of multilayer stack 58 . Photoresist 56 may be trimmed using acceptable photolithographic techniques. After trimming, the width of photoresist 56 is reduced and portions of multilayer stack 58 in regions 60 and 62 are exposed. For example, the top surface of first material layer 52D in region 62 and the top surface of first material layer 52C in region 60 may be exposed.

接著可以使用光阻56作為遮罩蝕刻多層堆疊58的暴露部分。蝕刻可以是任何適合的蝕刻製程,例如濕式或乾式蝕刻、RIE、NBE、類似者或上述的組合。蝕刻製程可以是各向異性的。蝕刻可以將開口61進一步延伸進多層堆疊58。由於第一材料層52和第二材料層54具有不同的材料組成,可以使用不同的蝕刻劑移除這些層的暴露部分。在一些實施例中,當蝕刻第一材料層52時,第二材料層54作為蝕刻停止層,而當蝕刻第二材料層54時,第一材料層52作為蝕刻停止層。因此,可以選擇性移除部分的第一材料層52和第二材料層54,同時避免移除多層堆疊58的剩餘層,並且可以將開口61延伸至期望的深度。替代地,可以使用定時的蝕刻製程,以在開口61達到期望的深度後停止開口61的蝕刻。進一步而言,在蝕刻製程期間,第一材料層52和第二材料層54的未蝕刻部分作為下方層的遮罩,所以造成第一材料層52D和第二材料層54C(參考第5圖)的先前圖案可以轉移至下方的第一材料層52C和下方的第二材料層54B。在所獲得的結構中,暴露區域62中的第一材料層52C並暴露區域60中的第一材料層52B。The exposed portions of the multilayer stack 58 may then be etched using the photoresist 56 as a mask. Etching may be any suitable etching process, such as wet or dry etching, RIE, NBE, the like, or combinations thereof. The etch process can be anisotropic. Etching may extend opening 61 further into multilayer stack 58 . Since the first material layer 52 and the second material layer 54 have different material compositions, different etchants may be used to remove exposed portions of these layers. In some embodiments, when the first material layer 52 is etched, the second material layer 54 acts as an etch stop layer, and when the second material layer 54 is etched, the first material layer 52 acts as an etch stop layer. Accordingly, portions of the first material layer 52 and the second material layer 54 may be selectively removed while avoiding removal of the remaining layers of the multilayer stack 58 and the opening 61 may be extended to a desired depth. Alternatively, a timed etching process may be used to stop the etching of the opening 61 after the opening 61 has reached a desired depth. Furthermore, during the etching process, the unetched portions of the first material layer 52 and the second material layer 54 serve as masks for the underlying layers, so that the first material layer 52D and the second material layer 54C (refer to FIG. 5 ) The previous pattern of may be transferred to the underlying first material layer 52C and the underlying second material layer 54B. In the resulting structure, first material layer 52C in region 62 is exposed and first material layer 52B in region 60 is exposed.

在第7圖中,修整光阻56以暴露額外部分的多層堆疊58。可以使用可接受的光刻技術修整光阻56。修整之後,光阻56的寬度減少,並且暴露區域60、區域62和區域64中的部分的多層堆疊58。例如,可以暴露區域64中的第一材料層52D的頂表面、區域62中的第一材料層52C的頂表面和區域60中的第一材料層52B的頂表面。In FIG. 7 , photoresist 56 is trimmed to expose additional portions of multilayer stack 58 . Photoresist 56 may be trimmed using acceptable photolithographic techniques. After trimming, the width of photoresist 56 is reduced and portions of multilayer stack 58 in regions 60 , 62 , and 64 are exposed. For example, the top surface of first material layer 52D in region 64 , the top surface of first material layer 52C in region 62 , and the top surface of first material layer 52B in region 60 may be exposed.

接著可以使用光阻56作為遮罩蝕刻多層堆疊58的暴露部分。蝕刻可以是任何適合的蝕刻製程,例如濕式或乾式蝕刻、RIE、NBE、類似者或上述的組合。蝕刻製程可以是各向異性的。蝕刻可以將開口61進一步延伸進多層堆疊58。當蝕刻第一材料層52時,第二材料層54可以做為蝕刻停止層。因此,可以選擇性移除部分的第一材料層52,同時避免移除下方部分的第二材料層54,並且可以將開口61延伸至期望的深度。替代地,可以使用定時的蝕刻製程,以在開口61達到期望的深度後停止開口61的蝕刻。進一步而言,在蝕刻製程期間,第一材料層52和第二材料層54的未蝕刻部分作為下方層的遮罩,所以造成第一材料層52D、第二材料層54C、第一材料層52C和第二材料層54B(參考第6圖)的先前圖案可以轉移至下方的第一材料層52B和下方的第一材料層52C。在所獲得的結構中,暴露區域64中的第二材料層54C,暴露區域62中的第二材料層54B,並且暴露區域60中的第二材料層54A。The exposed portions of the multilayer stack 58 may then be etched using the photoresist 56 as a mask. Etching may be any suitable etching process, such as wet or dry etching, RIE, NBE, the like, or combinations thereof. The etch process can be anisotropic. Etching may extend opening 61 further into multilayer stack 58 . When the first material layer 52 is etched, the second material layer 54 may serve as an etch stop layer. Accordingly, a portion of the first material layer 52 can be selectively removed while avoiding removal of an underlying portion of the second material layer 54, and the opening 61 can be extended to a desired depth. Alternatively, a timed etching process may be used to stop the etching of the opening 61 after the opening 61 has reached a desired depth. Furthermore, during the etching process, the unetched portions of the first material layer 52 and the second material layer 54 act as masks for the underlying layers, so that the first material layer 52D, the second material layer 54C, the first material layer 52C The previous pattern of the second material layer 54B (see FIG. 6 ) may be transferred to the underlying first material layer 52B and the underlying first material layer 52C. In the resulting structure, second material layer 54C in region 64 is exposed, second material layer 54B in region 62 is exposed, and second material layer 54A in region 60 is exposed.

在第8圖中,移除光阻56。可以透過可接受的灰化或濕式剝離製程移除光阻56。因此,形成階梯狀結構68。階梯狀結構68包括交替的第一材料層52和第二材料層54的堆疊。如第8圖中所繪示,形成階梯狀結構68可以從上方的第二材料層54和第一材料層52暴露各個第二材料層54A至第二材料層54C的一部分。因此,在後續製程的步驟中,可以從階梯狀結構68的上方將導電接觸製作在各個第二材料層54中。In Figure 8, photoresist 56 is removed. Photoresist 56 may be removed by an acceptable ashing or wet stripping process. Thus, a stepped structure 68 is formed. The stepped structure 68 includes a stack of alternating first material layers 52 and second material layers 54 . As shown in FIG. 8 , forming the stepped structure 68 may expose a portion of each of the second material layer 54A to the second material layer 54C from the upper second material layer 54 and the first material layer 52 . Therefore, in a subsequent process step, conductive contacts can be made in each second material layer 54 from above the stepped structure 68 .

在第9圖中,沉積金屬間介電質70在多層堆疊58上方。可以由介電材料形成金屬間介電質70,並可以透過任何適合的方法沉積,例如CVD、PECVD、流動式化學氣相沉積(flowable CVD,FCVD)或類似者。介電材料可以包括磷矽酸鹽玻璃(phospho-silicate glass,PSG)、硼矽酸鹽玻璃(boro-silicate glass,BSG)、硼磷矽酸鹽玻璃(boron-doped phospho-silicate glass,BPSG)、未摻雜的矽酸鹽玻璃(undoped silicate glass,USG)或類似者。在一些實施例中,金屬間介電質70可以包括氧化物(例如,氧化矽或類似者)、氮化物(例如,氮化矽或類似者)、上述的組合或類似者。可以使用透過任何可接受的製程形成的其他介電材料。金屬間介電質70沿著第一材料層52B至第一材料層52D的側壁、第二材料層54B和第二材料層54C的側壁、第一材料層52D的頂表面和第二材料層54A至第二材料層54C的頂表面延伸。In FIG. 9 , intermetal dielectric 70 is deposited over multilayer stack 58 . The IMD 70 may be formed from a dielectric material and may be deposited by any suitable method, such as CVD, PECVD, flowable CVD (FCVD), or the like. Dielectric materials may include phospho-silicate glass (PSG), boro-silicate glass (BSG), boron-doped phospho-silicate glass (BPSG) , undoped silicate glass (undoped silicate glass, USG) or the like. In some embodiments, the IMD 70 may include an oxide (eg, silicon oxide or the like), a nitride (eg, silicon nitride or the like), combinations thereof, or the like. Other dielectric materials formed by any acceptable process may be used. The intermetallic dielectric 70 is along the sidewalls of the first material layer 52B to the first material layer 52D, the sidewalls of the second material layer 54B and the second material layer 54C, the top surface of the first material layer 52D, and the second material layer 54A. Extends to the top surface of the second material layer 54C.

在第10圖中,對金屬間介電質70施加移除製程以移除多層堆疊58上方的多餘的介電材料。在一些實施例中,移除製程可以是平坦化製程,例如化學機械研磨(chemical mechanical polish,CMP)、回蝕製程、上述的組合或類似者。平坦化製程暴露多層堆疊58,使得平坦化製程完成之後的第一材料層52D和金屬間介電質70的頂表面齊平。In FIG. 10 , a removal process is applied to the IMD 70 to remove excess dielectric material above the multilayer stack 58 . In some embodiments, the removal process may be a planarization process, such as chemical mechanical polish (CMP), etch back process, a combination of the above, or the like. The planarization process exposes the multi-layer stack 58 so that the top surface of the first material layer 52D and the IMD 70 are flush with each other after the planarization process is completed.

在第11A圖至第13C圖中,在多層堆疊58中形成溝槽86(繪示在第12A圖至第13C圖中)。在第二材料層54包括導電材料的實施例中,這會從第二材料層54定義導線72(繪示在第12A圖至第13C圖中)。導線72可以對應於記憶體陣列200中的字元線,並且導線72可以提供所得的記憶體陣列200的電晶體204的閘極電極。在第11A圖至第19C圖中,名稱以「A」結尾的圖式繪示俯視圖,名稱以「B」結尾的圖式繪示沿著第1A圖中截面A-A'的截面圖,而名稱以「C」結尾的圖式繪示沿著第1A圖中截面B-B'的截面圖。In FIGS. 11A-13C , trenches 86 (shown in FIGS. 12A-13C ) are formed in the multilayer stack 58 . In embodiments where the second material layer 54 includes a conductive material, this defines the conductive lines 72 (shown in FIGS. 12A-13C ) from the second material layer 54 . Wires 72 may correspond to word lines in memory array 200 and wires 72 may provide gate electrodes for transistors 204 of the resulting memory array 200 . In Figures 11A to 19C, figures whose titles end in "A" show a plan view, figures whose titles end in "B" show a cross-sectional view along section A-A' in Figure 1A, and Figures with titles ending in "C" show cross-sections along section BB' in Figure 1A.

在第11A圖至第11C圖中,沉積硬遮罩80在多層堆疊58和金屬間介電質70上方。硬遮罩80可以包括例如氮化矽、氮氧化矽或類似者,其可以透過CVD、PVD、ALD、PECVD或類似者而沉積。可以使用旋塗技術形成硬遮罩80,並可以使用可接受的光刻技術進行圖案化。形成圖案化光阻82在硬遮罩80上方。可以透過使用旋塗或類似者沉積光敏層在硬遮罩80上方,而形成圖案化光阻82。接著可以圖案化光敏層,透過將光敏層曝光至圖案化能量源(例如,圖案化光源)並顯影光敏層以移除光敏層的暴露或未暴露部分,從而形成圖案化光阻82。形成暴露硬遮罩80的溝槽86,其延伸穿過圖案化光阻82。圖案化光阻82的圖案對應於將形成在多層堆疊58中的導線,以下將結合第12A圖至第12C圖討論。In FIGS. 11A-11C , a hard mask 80 is deposited over the multilayer stack 58 and the IMD 70 . Hard mask 80 may comprise, for example, silicon nitride, silicon oxynitride, or the like, which may be deposited by CVD, PVD, ALD, PECVD, or the like. Hard mask 80 may be formed using spin coating techniques and may be patterned using acceptable photolithographic techniques. A patterned photoresist 82 is formed over the hard mask 80 . Patterned photoresist 82 may be formed by depositing a photosensitive layer over hard mask 80 using spin coating or the like. The photosensitive layer may then be patterned to form patterned photoresist 82 by exposing the photosensitive layer to a patterned energy source (eg, a patterned light source) and developing the photosensitive layer to remove exposed or unexposed portions of the photosensitive layer. A trench 86 exposing hard mask 80 is formed extending through patterned photoresist 82 . The pattern of the patterned photoresist 82 corresponds to the conductive lines that will be formed in the multilayer stack 58, discussed below in conjunction with FIGS. 12A-12C.

在第12A圖至第12C圖中,使用圖案化光阻82作為遮罩圖案化硬遮罩80,以將溝槽86延伸穿過硬遮罩80。可以使用可接受的蝕刻製程圖案化硬遮罩80,例如濕式或乾式蝕刻、RIE、NBE、類似者或上述的組合。蝕刻可以是各向異性的。因此,溝槽86延伸穿過硬遮罩80並暴露多層堆疊58。接著可以透過可接受的製程移除圖案化光阻82,例如濕式蝕刻製程、乾式蝕刻製程、上述的組合或類似者。In FIGS. 12A-12C , hard mask 80 is patterned using patterned photoresist 82 as a mask to extend trenches 86 through hard mask 80 . Hard mask 80 may be patterned using an acceptable etch process, such as wet or dry etch, RIE, NBE, the like, or combinations thereof. Etching can be anisotropic. Accordingly, trench 86 extends through hard mask 80 and exposes multilayer stack 58 . The patterned photoresist 82 may then be removed by an acceptable process, such as a wet etch process, a dry etch process, a combination of the above, or the like.

在第13A圖至第13C圖中,使用硬遮罩80作為遮罩圖案化多層堆疊58,以將溝槽86延伸穿過多層堆疊58並暴露基板50。可以使用一或多個可接受的蝕刻製程圖案化多層堆疊58,例如濕式或乾式蝕刻、RIE、NBE、類似者或上述的組合。蝕刻製程可以是各向異性的。因此,溝槽86延伸穿過多層堆疊58。蝕刻第二材料層54A至第二材料層54C,而從第二材料層54的各層形成導線72A至導線72C(例如整體稱為導線72的字元線)。溝槽86分離相鄰的導線72並分離第一材料層52的多個部分。進一步在第13A圖至第13C圖中,可以透過可接受的製程移除硬遮罩80,例如濕式蝕刻製程、乾式蝕刻製程、平坦化製程、上述的組合或類似者。In FIGS. 13A-13C , multilayer stack 58 is patterned using hard mask 80 as a mask to extend trenches 86 through multilayer stack 58 and expose substrate 50 . Multilayer stack 58 may be patterned using one or more acceptable etching processes, such as wet or dry etching, RIE, NBE, the like, or combinations thereof. The etch process can be anisotropic. Accordingly, trench 86 extends through multilayer stack 58 . The second material layer 54A to the second material layer 54C are etched to form wires 72A to 72C (eg, word lines collectively referred to as wires 72 ) from each layer of the second material layer 54 . Trenches 86 separate adjacent conductive lines 72 and separate portions of first material layer 52 . Further in FIGS. 13A-13C , the hard mask 80 may be removed by an acceptable process, such as a wet etch process, a dry etch process, a planarization process, combinations thereof, or the like.

第14A圖至第17C圖繪示在溝槽86中形成和圖案化電晶體204(參考第1A圖和第1B圖)的通道區域。在第14A圖至第14C圖中,沉積記憶體薄膜90和氧化物半導體層92在溝槽86中。可以在溝槽86中共形沉積記憶體薄膜90,其沿著導線72、第一材料層52和金屬間介電質70的側壁以及沿著第一材料層52D和金屬間介電質70的頂表面。可以透過CVD、PVD、ALD、PECVD或類似者沉積記憶體薄膜90。14A-17C illustrate the formation and patterning of the channel region of the transistor 204 (see FIGS. 1A and 1B ) in the trench 86 . In FIGS. 14A to 14C , the memory film 90 and the oxide semiconductor layer 92 are deposited in the trench 86 . Memory film 90 may be conformally deposited in trench 86 along the sidewalls of wire 72 , first material layer 52 and IMD 70 and along the top of first material layer 52D and IMD 70 . surface. The memory film 90 can be deposited by CVD, PVD, ALD, PECVD or the like.

記憶體薄膜90可以提供形成在記憶體陣列200中的電晶體204的閘極介電質。記憶體薄膜90可以包括能夠在兩種不同的極化方向之間切換的材料,其透過橫跨記憶體薄膜90施加適當的電壓差而切換。記憶體薄膜90可以是高介電常數介電材料,例如以鉿(Hf)為基礎的介電材料或類似者。在一些實施例中,記憶體薄膜90包括鐵電材料,例如氧化鉿、氧化鉿鋯、摻雜矽的氧化鉿或類似者。在一些實施例中,記憶體薄膜90可以包括不同的鐵電材料或不同類型的記憶體材料。在一些實施例中,記憶體薄膜90可以是包括SiNx層在兩個SiO x層之間(例如ONO結構)的多層記憶體結構。 Memory film 90 may provide a gate dielectric for transistors 204 formed in memory array 200 . The memory film 90 may comprise a material capable of switching between two different polarization directions by applying an appropriate voltage difference across the memory film 90 . The memory film 90 may be a high-k dielectric material, such as a hafnium (Hf)-based dielectric material or the like. In some embodiments, the memory film 90 includes a ferroelectric material, such as hafnium oxide, hafnium zirconium oxide, silicon-doped hafnium oxide, or the like. In some embodiments, the memory film 90 may include different ferroelectric materials or different types of memory materials. In some embodiments, the memory film 90 may be a multi-layer memory structure including a SiNx layer between two SiOx layers (eg ONO structure).

共形沉積氧化物半導體層92在溝槽86中並在記憶體薄膜90上方。氧化物半導體層92包括適合作為電晶體204(參考第1A圖和第1B圖)的通道區域的材料。例如,氧化物半導體層92可以包括氧化鋅(ZnO)、氧化銦鎢(InWO)、氧化銦鎵鋅(InGaZnO,IGZO)、氧化銦鋅(InZnO)、氧化銦錫(indium tin oxide,ITO)、多晶矽(poly-Si)、矽(Si)、非晶矽(a-Si)、上述的組合或類似者。可以透過CVD、PVD、ALD、PECVD或類似者沉積氧化物半導體層92。氧化物半導體層92可以沿著記憶體薄膜90上方的溝槽86的側壁和底表面延伸。Conformally deposited oxide semiconductor layer 92 is in trench 86 and over memory film 90 . The oxide semiconductor layer 92 includes a material suitable as a channel region of the transistor 204 (see FIG. 1A and FIG. 1B ). For example, the oxide semiconductor layer 92 may include zinc oxide (ZnO), indium tungsten oxide (InWO), indium gallium zinc oxide (InGaZnO, IGZO), indium zinc oxide (InZnO), indium tin oxide (ITO), Polycrystalline silicon (poly-Si), silicon (Si), amorphous silicon (a-Si), combinations thereof, or the like. The oxide semiconductor layer 92 can be deposited by CVD, PVD, ALD, PECVD, or the like. The oxide semiconductor layer 92 may extend along the sidewall and bottom surface of the trench 86 above the memory film 90 .

在第15A圖至第15C圖中,使用適合的蝕刻製程(例如各向異性蝕刻製程)蝕刻氧化物半導體層92,將氧化物半導體層92分離成複數個氧化物半導體層92。可以移除氧化物半導體層92的水平部分(例如沿著記憶體薄膜90的頂表面延伸的部分氧化物半導體層92),而保留氧化物半導體層92的垂直部分(例如沿著記憶體薄膜90的側表面延伸的部分氧化物半導體層92)。適合的蝕刻製程可以是任何可接受的蝕刻製程,例如濕式或乾式蝕刻、RIE、NBE、類似者或上述的組合。In FIGS. 15A to 15C , the oxide semiconductor layer 92 is etched using a suitable etching process (eg, an anisotropic etching process) to separate the oxide semiconductor layer 92 into a plurality of oxide semiconductor layers 92 . The horizontal portion of the oxide semiconductor layer 92 (for example, the portion of the oxide semiconductor layer 92 extending along the top surface of the memory film 90 ) can be removed, while the vertical portion of the oxide semiconductor layer 92 (for example, along the top surface of the memory film 90 ) remains. A portion of the oxide semiconductor layer 92 extending from the side surface of the substrate. A suitable etching process may be any acceptable etching process, such as wet or dry etching, RIE, NBE, the like, or combinations thereof.

在第16A圖至第16C圖中,使用適合的蝕刻製程(例如各向異性蝕刻製程)蝕刻記憶體薄膜90,將記憶體薄膜90分離成複數個記憶體薄膜90。可以移除記憶體薄膜90的水平部分(例如沿著基板50和第一材料層52D的頂表面延伸的部分記憶體薄膜90),而保留記憶體薄膜90的垂直部分(例如沿著導線72、第一材料層52和金屬間介電質70的側表面延伸的部分記憶體薄膜90)。適合的蝕刻製程可以是任何可接受的蝕刻製程,例如濕式或乾式蝕刻、RIE、NBE、類似者或上述的組合。在蝕刻製程期間,氧化物半導體層92可以遮罩部分的記憶體薄膜90,使得蝕刻製程後的記憶體薄膜90是L形。In FIGS. 16A to 16C , the memory film 90 is etched using a suitable etching process (such as an anisotropic etching process) to separate the memory film 90 into a plurality of memory films 90 . The horizontal portion of the memory film 90 (for example, the part of the memory film 90 extending along the top surface of the substrate 50 and the first material layer 52D) can be removed, while the vertical portion of the memory film 90 (for example, along the wire 72, A portion of the memory film 90 extending from the side surfaces of the first material layer 52 and the intermetal dielectric 70 ). A suitable etching process may be any acceptable etching process, such as wet or dry etching, RIE, NBE, the like, or combinations thereof. During the etching process, the oxide semiconductor layer 92 can cover part of the memory film 90 so that the memory film 90 after the etching process is L-shaped.

在第17A圖至第17C圖中,沉積介電材料98以填充溝槽86的剩餘部分。介電材料98可以包括例如氧化矽、氮化矽、氮氧化矽或類似者,其可以透過CVD、PVD、ALD、PECVD或類似者沉積而成。對介電材料98、氧化物半導體層92和記憶體薄膜90施加移除製程,以移除導線72、第一材料層52和金屬間介電質70上方的多餘材料。在一些實施例中,可以使用平坦化製程,例如CMP、回蝕製程、上述的組合或類似者。平坦化製程暴露金屬間介電質70和第一材料層52D的頂表面,使得平坦化製程完成之後的第一材料層52D、金屬間介電質70、記憶體薄膜90、氧化物半導體層92和介電材料98的頂表面彼此齊平。In FIGS. 17A-17C , dielectric material 98 is deposited to fill the remainder of trench 86 . Dielectric material 98 may include, for example, silicon oxide, silicon nitride, silicon oxynitride, or the like, which may be deposited by CVD, PVD, ALD, PECVD, or the like. A removal process is applied to the dielectric material 98 , the oxide semiconductor layer 92 and the memory film 90 to remove excess material above the wire 72 , the first material layer 52 and the IMD 70 . In some embodiments, a planarization process, such as CMP, etch-back process, a combination of the above, or the like may be used. The planarization process exposes the top surface of the intermetal dielectric 70 and the first material layer 52D, so that the first material layer 52D, the intermetal dielectric 70, the memory film 90, and the oxide semiconductor layer 92 after the planarization process are completed and the top surfaces of the dielectric material 98 are flush with each other.

第18A圖至第21D圖繪示製造記憶體陣列200中的介電材料102、導線106(例如位元線)和導線108(例如源極線)的中間步驟。導線106和導線108可以往垂直於導線72的方向延伸,從而可以為讀取和寫入操作選擇記憶體陣列200的獨立記憶體單元202。18A to 21D illustrate intermediate steps in the fabrication of dielectric material 102 , conductive lines 106 (eg, bit lines) and conductive lines 108 (eg, source lines) in memory array 200 . Wires 106 and 108 may extend in a direction perpendicular to wires 72 so that individual memory cells 202 of memory array 200 may be selected for read and write operations.

在第18A圖至第18C圖中,將溝槽100圖案化而穿過介電材料98和氧化物半導體層92。可以透過光刻和蝕刻的組合在介電材料98和氧化物半導體層92中圖案化溝槽100。蝕刻可以是任何可接受的蝕刻製程,例如濕式或乾式蝕刻、RIE、NBE、類似者或上述的組合。蝕刻可以是各向異性的。溝槽100可以設置在記憶體薄膜90的相對側壁之間,並且溝槽100可以物理性分離記憶體陣列200(參考第1A圖)中相鄰的記憶體單元202堆疊。可以完全移除相鄰金屬間介電質70、導線72和第一材料層52且在階梯狀結構68的區域60、區域62和區域64中的介電材料98和氧化物半導體層92。在一些實施例中(未特別繪示),也可以將溝槽100圖案化而穿過記憶體薄膜90。因此,溝槽100可以設置在導線72和第一材料層52的相對側壁之間,並且溝槽100可以物理性分離記憶體陣列200(參考第1A圖)中相鄰的記憶體單元202堆疊。In FIGS. 18A to 18C , the trench 100 is patterned through the dielectric material 98 and the oxide semiconductor layer 92 . The trench 100 may be patterned in the dielectric material 98 and the oxide semiconductor layer 92 through a combination of photolithography and etching. Etching may be any acceptable etching process, such as wet or dry etching, RIE, NBE, the like, or combinations thereof. Etching can be anisotropic. The trench 100 can be disposed between opposite sidewalls of the memory film 90, and the trench 100 can physically separate adjacent stacks of memory cells 202 in the memory array 200 (see FIG. 1A). The dielectric material 98 and the oxide semiconductor layer 92 adjacent to the intermetal dielectric 70 , the wire 72 and the first material layer 52 and in the regions 60 , 62 and 64 of the stepped structure 68 may be completely removed. In some embodiments (not shown in particular), the trench 100 can also be patterned to pass through the memory film 90 . Accordingly, trenches 100 can be disposed between the conductive lines 72 and opposite sidewalls of the first material layer 52, and the trenches 100 can physically separate adjacent stacks of memory cells 202 in the memory array 200 (see FIG. 1A).

在第19A圖至第19C圖中,沉積介電材料102在溝槽100中並填充溝槽100。介電材料102可以包括例如氧化矽、氮化矽、氮氧化矽或類似者,其可以透過CVD、PVD、ALD、PECVD或類似者沉積而成。介電材料102可以沿著氧化物半導體層92上方的溝槽100的側壁和底表面延伸。沉積之後,可以執行平坦化製程(例如CMP、回蝕或類似者)以移除介電材料102的多餘部分。在所獲得的結構中,第一材料層52D、記憶體薄膜90、氧化物半導體層92、金屬間介電質70、介電材料98和介電材料102的頂表面可以實質上彼此齊平(例如在製程的誤差內)。In FIGS. 19A-19C , a dielectric material 102 is deposited in and fills the trench 100 . The dielectric material 102 may include, for example, silicon oxide, silicon nitride, silicon oxynitride, or the like, which may be deposited by CVD, PVD, ALD, PECVD, or the like. The dielectric material 102 may extend along the sidewall and bottom surface of the trench 100 above the oxide semiconductor layer 92 . After deposition, a planarization process (eg, CMP, etch back, or the like) may be performed to remove excess portions of the dielectric material 102 . In the obtained structure, the top surfaces of the first material layer 52D, the memory film 90, the oxide semiconductor layer 92, the intermetal dielectric 70, the dielectric material 98, and the dielectric material 102 may be substantially flush with each other ( For example, within the tolerance of the manufacturing process).

在一些實施例中,可以選擇介電材料98和介電材料102的材料使得它們相對於彼此具有蝕刻選擇性。例如,在一些實施例中,介電材料98是氧化物而介電材料102是氮化物。在一些實施例中,介電材料98是氮化物而介電材料102是氧化物。也可以選擇其他材料。In some embodiments, the materials of dielectric material 98 and dielectric material 102 may be selected such that they are etch-selective relative to each other. For example, in some embodiments, dielectric material 98 is an oxide and dielectric material 102 is a nitride. In some embodiments, dielectric material 98 is a nitride and dielectric material 102 is an oxide. Other materials are also available.

第20A圖繪示後續圖式中使用的記憶體陣列200的參考截面。截面A-A'沿著導線72的縱軸並且在例如平行於橫跨電晶體204的氧化物半導體層92的電流的方向上。截面B-B'垂直於截面A-A'和導線72的縱軸。截面B-B'延伸穿過介電材料98和介電材料102。截面C-C'平行於截面B-B'並且延伸穿過後續形成的導線(例如以下第21A圖至第21D圖討論的導線106)。為了清楚描述,後續圖式將參考這些參考截面。在第20A圖至第21D圖中,名稱以「A」結尾的圖式繪示俯視圖,名稱以「B」結尾的圖式繪示沿著第20A圖的截面A-A'的截面圖,名稱以「C」結尾的圖式繪示沿著第20A圖的截面B-B'的截面圖,而名稱以「D」結尾的圖式繪示沿著第20A圖的截面C-C'的截面圖。FIG. 20A shows a reference cross-section of the memory array 200 used in subsequent figures. Section AA′ is along the longitudinal axis of wire 72 and in, for example, a direction parallel to the current flow across oxide semiconductor layer 92 of transistor 204 . Section BB' is perpendicular to section AA' and to the longitudinal axis of wire 72 . Section BB′ extends through dielectric material 98 and dielectric material 102 . Section CC' is parallel to section BB' and extends through a subsequently formed lead (such as lead 106 discussed below in FIGS. 21A-21D ). For clarity of description, subsequent figures will refer to these reference sections. In Figures 20A to 21D, the figures whose titles end in "A" show a plan view, and the figures whose titles end in "B" show a cross-sectional view along the section A-A' of Figure 20A, and the titles end in "B" Figures ending in "C" show a section along section BB' in Figure 20A, while drawings ending in "D" show a section along section CC' in Figure 20A picture.

在第20A圖至第20D圖中,將溝槽104圖案化而穿過介電材料98。後續可以使用溝槽104形成導線。可以使用光刻和蝕刻的組合將溝槽104圖案化而穿過介電材料98。蝕刻可以是任何可接受的蝕刻製程,例如濕式或乾式蝕刻、RIE、NBE、類似者或上述的組合。蝕刻可以是各向異性的。蝕刻可以使用蝕刻介電材料98而不會顯著蝕刻介電材料102、氧化物半導體層92或記憶體薄膜90的蝕刻劑。溝槽104的圖案可以對應於後續形成的導線(例如以下第21A圖至第21D圖討論的導線106和導線108)。部分的介電材料98可以保留在各對溝槽104之間,並且介電材料102可以設置在相鄰的成對溝槽104之間。進一步而言,部分氧化物半導體層92和記憶體薄膜90可以保留在相鄰於溝槽104並且在溝槽104和各個第一材料層52以及導線72之間。可以將部分的氧化物半導體層92和記憶體薄膜90作為後續形成的電晶體204的一部分。在一些實施例中,為了選擇性蝕刻介電材料98的材料而非氧化物半導體層92和記憶體薄膜90,相對於用於圖案溝槽100的製程,可以使用不同的蝕刻來圖案化溝槽104。In FIGS. 20A-20D , trenches 104 are patterned through dielectric material 98 . Trenches 104 may be used to form wires subsequently. Trenches 104 may be patterned through dielectric material 98 using a combination of photolithography and etching. Etching may be any acceptable etching process, such as wet or dry etching, RIE, NBE, the like, or combinations thereof. Etching can be anisotropic. The etching may use an etchant that etches the dielectric material 98 without significantly etching the dielectric material 102 , the oxide semiconductor layer 92 , or the memory thin film 90 . The pattern of trenches 104 may correspond to subsequently formed conductive lines (eg, conductive lines 106 and 108 discussed below in FIGS. 21A-21D ). Portions of dielectric material 98 may remain between each pair of trenches 104 , and dielectric material 102 may be disposed between adjacent pairs of trenches 104 . Further, part of the oxide semiconductor layer 92 and the memory film 90 may remain adjacent to the trench 104 and between the trench 104 and each of the first material layers 52 and the wires 72 . Part of the oxide semiconductor layer 92 and the memory film 90 can be used as a part of the transistor 204 formed later. In some embodiments, in order to selectively etch the material of the dielectric material 98 other than the oxide semiconductor layer 92 and the memory film 90, a different etch may be used to pattern the trenches relative to the process used to pattern the trenches 100. 104.

在第21A圖至第21D圖中,使用導電材料填充溝槽104以形成導線106和導線108。形成記憶體單元202和電晶體204,其各個包括導線106、導線108、導線72、部分的記憶體薄膜90和部分的氧化物半導體層92。導線106和導線108可各個包括導電材料,例如銅、鈦、氮化鈦、鉭、氮化鉭、鎢、釕、鋁、上述的組合或類似者。可以使用例如CVD、ALD、PVD、PECVD或類似者形成導線106和導線108。沉積導電材料之後,可以執行平坦化(例如CMP、回蝕或類似者)以移除導電材料的多餘部分,從而形成導線106和導線108。在所獲得的結構中,第一材料層52D、金屬間介電質70、記憶體薄膜90、氧化物半導體層92、介電材料98、介電材料102、導線106和導線108的頂表面可以實質上彼此齊平(例如在製程的誤差內)。In FIGS. 21A-21D , trenches 104 are filled with a conductive material to form leads 106 and leads 108 . A memory cell 202 and a transistor 204 are formed, each of which includes a wire 106 , a wire 108 , a wire 72 , a part of the memory thin film 90 and a part of the oxide semiconductor layer 92 . Leads 106 and 108 may each comprise a conductive material such as copper, titanium, titanium nitride, tantalum, tantalum nitride, tungsten, ruthenium, aluminum, combinations thereof, or the like. Leads 106 and 108 may be formed using, for example, CVD, ALD, PVD, PECVD, or the like. After the conductive material is deposited, planarization (eg, CMP, etch back, or the like) may be performed to remove excess portions of the conductive material to form wires 106 and 108 . In the obtained structure, the top surfaces of the first material layer 52D, the intermetal dielectric 70, the memory film 90, the oxide semiconductor layer 92, the dielectric material 98, the dielectric material 102, the wire 106, and the wire 108 can be are substantially flush with each other (eg, within manufacturing tolerances).

導線106可以對應於記憶體陣列200中的位元線,並且導線108可以對應於記憶體陣列200中的源極線。進一步而言,導線106和導線108可以提供記憶體陣列200中的電晶體204的源極/汲極電極。儘管第21D圖繪示僅示出導線106的截面圖,而導線108的截面圖可以類似於第21D圖。Wires 106 may correspond to bit lines in memory array 200 , and wires 108 may correspond to source lines in memory array 200 . Further, the wires 106 and 108 can provide source/drain electrodes of the transistors 204 in the memory array 200 . Although FIG. 21D shows only a cross-sectional view of wire 106 , the cross-sectional view of wire 108 may be similar to FIG. 21D .

儘管在以上描述中,形成階梯狀結構68之後形成電晶體204的通道區域、導線106和導線108,在一些實施例中,可以在形成電晶體204的通道區域、導線106和導線108之後形成階梯狀結構68。例如,可以在第11A圖至第21D圖所繪示和討論的製造步驟之後,執行第4圖至第10圖所繪示和討論的製造步驟以形成階梯狀結構68。在階梯狀結構先製製程和階梯狀結構後製製程的實施例中可以使用相同或相似的製程。Although in the above description, the channel region of the transistor 204, the wire 106, and the wire 108 are formed after the formation of the stepped structure 68, in some embodiments, the steps may be formed after the channel region of the transistor 204, the wire 106, and the wire 108 are formed. like structure 68 . For example, the fabrication steps depicted and discussed in FIGS. 4-10 may be performed after the fabrication steps depicted and discussed in FIGS. 11A-21D to form the stepped structure 68 . The same or similar processes may be used in the embodiments of the step-structure-first process and the step-structure-post-process.

第22A圖繪示後續圖式中使用的記憶體陣列200的參考截面。截面A-A'沿著導線72的縱軸並且在例如平行於橫跨電晶體204的氧化物半導體層92的電流的方向上。截面D-D'垂直於截面A-A'和導線72的縱軸。截面D-D'延伸穿過階梯狀結構68的區域60。為了清楚描述,後續圖式將參考這些參考截面。在第22A圖至第24C圖中,名稱以「A」結尾的圖式繪示俯視圖,名稱以「B」結尾的圖式繪示沿著第22A圖的截面A-A'的截面圖,和名稱以「C」結尾的圖式繪示沿著第22A圖的截面D-D'的截面圖。FIG. 22A shows a reference cross-section of the memory array 200 used in subsequent figures. Section AA′ is along the longitudinal axis of wire 72 and in, for example, a direction parallel to the current flow across oxide semiconductor layer 92 of transistor 204 . Section DD' is perpendicular to section AA' and to the longitudinal axis of wire 72 . Section DD′ extends through region 60 of stepped structure 68 . For clarity of description, subsequent figures will refer to these reference sections. In Figures 22A to 24C, the figures whose titles end in "A" show a plan view, the figures whose titles end in "B" show a cross-sectional view along section A-A' of Figure 22A, and Figures with titles ending in "C" show cross-sections along section DD' of Figure 22A.

在第22A圖至第22C圖中,形成溝槽110在金屬間介電質70中。後續可以使用溝槽110形成導電接觸。更具體而言,後續可以使用溝槽110形成延伸至導線72的導電接觸(例如字元線接觸、閘極接觸或類似者)。如第22A圖至第22C圖中所繪示,溝槽110可以延伸穿過金屬間介電質70並且可以暴露導線72的頂表面。導線72的階梯狀形狀提供溝槽110可以延伸至的各個導線72上的表面。可以使用光刻和蝕刻的組合形成溝槽110。蝕刻可以是任何可接受的蝕刻製程,例如濕式或乾式蝕刻、RIE、NBE、類似者或上述的組合。蝕刻可以是各向異性的。In FIGS. 22A-22C , trenches 110 are formed in the IMD 70 . Trenches 110 may be used subsequently to form conductive contacts. More specifically, trenches 110 may subsequently be used to form conductive contacts (eg, word line contacts, gate contacts, or the like) extending to conductive lines 72 . As shown in FIGS. 22A-22C , the trench 110 may extend through the IMD 70 and may expose the top surface of the wire 72 . The stepped shape of the leads 72 provides a surface on each lead 72 to which the trenches 110 may extend. Trenches 110 may be formed using a combination of photolithography and etching. Etching may be any acceptable etching process, such as wet or dry etching, RIE, NBE, the like, or combinations thereof. Etching can be anisotropic.

在一些實施例中,可以透過對金屬間介電質70的材料具有高蝕刻選擇性的製程形成金屬間介電質70中的溝槽110。因此,可以形成金屬間介電質70中的溝槽110而不會顯著移除導線72的材料。在一些實施例中,可以同時形成暴露各個導線72A至導線72C的開口。由於各個導線72A至導線72C上方的金屬間介電質70的厚度差異,導線72C暴露至蝕刻的期間可以長於導線72B暴露至蝕刻的期間,而導線72B暴露至蝕刻的期間長於導線72A暴露至蝕刻的期間,依此類推,其中導線72A暴露至蝕刻的期間最短。對蝕刻的暴露可能導致導線72中的一些材料損失、凹痕或其他損傷,使得導線72C的受損程度最重,導線72B的受損程度較輕,而且導線72A的受損程度最輕。形成穿過金屬間介電質70和暴露各個導線72A至導線72C的溝槽110節省執行多個遮罩和蝕刻步驟相關的成本和時間。然而,一些溝槽110可能未充分蝕刻,使得一些導線72未暴露。因此,為了偵測任何至導線72的缺陷連接,可以形成記憶體陣列200上方的測試結構(例如以下第24A圖至第24D圖所討論的測試結構120)。這會減少裝置缺陷。In some embodiments, the trench 110 in the IMD 70 may be formed by a process having high etch selectivity to the material of the IMD 70 . Accordingly, trenches 110 in IMD 70 may be formed without significant removal of wire 72 material. In some embodiments, the openings exposing the respective wires 72A to 72C may be formed at the same time. Due to the difference in the thickness of the IMD 70 over the respective wires 72A to 72C, the exposure of wire 72C to etching may be longer than that of wire 72B, which may be longer than that of wire 72A. , and so on, wherein the period during which the wire 72A is exposed to etching is the shortest. Exposure to etching may result in some material loss, dents, or other damage in wire 72, with wire 72C being the most damaged, wire 72B being less damaged, and wire 72A being the least damaged. Forming the trenches 110 through the IMD 70 and exposing the respective wires 72A-72C saves the cost and time associated with performing multiple masking and etching steps. However, some trenches 110 may not be sufficiently etched such that some wires 72 are not exposed. Thus, to detect any defective connections to conductors 72, a test structure (such as test structure 120 discussed below in FIGS. 24A-24D ) may be formed over memory array 200 . This reduces device defects.

在第23A圖至第23C圖中,形成導電接觸112在溝槽110中。導電接觸112穿過金屬間介電質70延伸至各個導線72,並且可以電性耦接至導線72。在一些實施例中,導電接觸112可以稱為字元線接觸、閘極接觸或類似者。可以透過在溝槽110中形成內襯(未特別繪示,例如擴散阻障層、黏附層或類似者)和導電材料來形成導電接觸112。內襯可以包括鈦、氮化鈦、鉭、氮化鉭或類似者。導電材料可以是銅、銅合金、銀、金、鎢、鈷、鋁、鎳或類似者。可以執行平坦化製程(例如CMP)以從金屬間介電質70的表面移除多餘材料。剩餘內襯和導電材料形成在溝槽110中的導電接觸112。如第23B圖和第23C圖中所繪示,導電接觸112可以延伸至各個導線72A至導線72C。In FIGS. 23A-23C , conductive contacts 112 are formed in trenches 110 . The conductive contacts 112 extend through the IMD 70 to each of the wires 72 and can be electrically coupled to the wires 72 . In some embodiments, conductive contacts 112 may be referred to as word line contacts, gate contacts, or the like. The conductive contact 112 may be formed by forming a liner (not specifically shown, such as a diffusion barrier layer, an adhesion layer, or the like) and a conductive material in the trench 110 . The liner may comprise titanium, titanium nitride, tantalum, tantalum nitride or the like. The conductive material may be copper, copper alloy, silver, gold, tungsten, cobalt, aluminum, nickel or the like. A planarization process (eg, CMP) may be performed to remove excess material from the surface of the IMD 70 . The remaining liner and conductive material form conductive contacts 112 in trenches 110 . As depicted in FIGS. 23B and 23C , conductive contacts 112 may extend to each of leads 72A-72C.

在第24A圖至第24D圖中,形成第一介電層114、導電接觸116、第二介電層115和導線118在第23A圖至第23C圖的結構上方。導電接觸112、導電接觸116和導線118整體形成測試結構120。第一介電層114和第二介電層115可以包括介電材料,例如低介電常數介電材料、極低介電常數(extra low-k,ELK)介電材料或類似者。在一些實施例中,第一介電層114和第二介電層115可以包括絕緣材料,例如氧化矽、氮化矽、氮氧化矽、上述的組合或類似者。可以使用適當的製程沉積第一介電層114和第二介電層115,例如CVD、ALD、PVD、PECVD或類似者。In FIGS. 24A-24D , a first dielectric layer 114 , a conductive contact 116 , a second dielectric layer 115 , and a wire 118 are formed over the structure of FIGS. 23A-23C . Conductive contact 112 , conductive contact 116 , and wire 118 collectively form test structure 120 . The first dielectric layer 114 and the second dielectric layer 115 may include a dielectric material, such as a low-k dielectric material, an extra low-k (ELK) dielectric material, or the like. In some embodiments, the first dielectric layer 114 and the second dielectric layer 115 may include insulating materials such as silicon oxide, silicon nitride, silicon oxynitride, combinations thereof, or the like. The first dielectric layer 114 and the second dielectric layer 115 may be deposited using a suitable process, such as CVD, ALD, PVD, PECVD or the like.

穿過第二介電層115和第一介電層114形成可以用於導電接觸116和導線118的溝槽(未特別繪示)。第二介電層115中的溝槽暴露第一介電層114的頂表面,並且第一介電層114中的溝槽暴露導電接觸112的頂表面。可以使用光刻和蝕刻的組合形成溝槽。蝕刻可以是任何可接受的蝕刻製程,例如濕式或乾式蝕刻、RIE、NBE、類似者或上述的組合。蝕刻可以是各向異性的。可以使用多個蝕刻製程形成第二介電層115和第一介電層114中的溝槽。Trenches (not specifically shown) for conductive contacts 116 and wires 118 are formed through the second dielectric layer 115 and the first dielectric layer 114 . The trenches in the second dielectric layer 115 expose the top surface of the first dielectric layer 114 , and the trenches in the first dielectric layer 114 expose the top surfaces of the conductive contacts 112 . The trenches can be formed using a combination of photolithography and etching. Etching may be any acceptable etching process, such as wet or dry etching, RIE, NBE, the like, or combinations thereof. Etching can be anisotropic. The trenches in the second dielectric layer 115 and the first dielectric layer 114 may be formed using multiple etching processes.

接著分別形成導電接觸116和導線118在第一介電層114和第二介電層115中的溝槽中。可以透過形成內襯(未特別繪示,例如擴散阻障層、黏附層或類似者)和形成內襯上方的導電材料來形成導電接觸116和導線118。可以同時或分別使用一或多個沉積製程形成導電接觸116和導線118。內襯可以包括鈦、氮化鈦、鉭、氮化鉭或類似者。導電材料可以是銅、銅合金、銀、金、鎢、鈷、鋁、鎳或類似者。可以執行例如CMP的平坦化製程以從第二介電層115的表面移除多餘材料。Conductive contacts 116 and wires 118 are then formed in the trenches in the first dielectric layer 114 and the second dielectric layer 115 , respectively. Conductive contacts 116 and wires 118 may be formed by forming a liner (not specifically shown, such as a diffusion barrier layer, an adhesion layer, or the like) and forming a conductive material over the liner. Conductive contacts 116 and wires 118 may be formed using one or more deposition processes simultaneously or separately. The liner may comprise titanium, titanium nitride, tantalum, tantalum nitride or the like. The conductive material may be copper, copper alloy, silver, gold, tungsten, cobalt, aluminum, nickel or the like. A planarization process such as CMP may be performed to remove excess material from the surface of the second dielectric layer 115 .

第24D圖繪示所獲得結構的透視圖,為了更清楚示出導線72、導電接觸112、導電接觸116和導線118之間的關係,透視圖包括導線72、導電接觸112、導電接觸116和導線118而省略其他結構。第24A圖至第24D圖進一步繪示穿過測試結構120的導電途徑。導電途徑可以在位置1從記憶體陣列200的外側延伸進記憶體陣列200。導電途徑穿過導線118、導電接觸116和導電接觸112延伸至導線72A。接著導電途徑透過位置2和位置3並穿過導電接觸112、導電接觸116和導線118延伸至導線72B。導電途徑接續穿過記憶體陣列200至位置24,其延伸至記憶體陣列200的外側。各個導線72連接至第一垂直相鄰的導線72和第二垂直相鄰的導線72(例如,導線72B連接至導線72A和導線72C)、水平相鄰的導線72(例如,導線72C連接至導線72B和導線72C)或記憶體陣列200外側的連接(例如,導線72A連接至導線72B和外側連接)的任一者。導線118包括在平行於導線72的縱軸的方向上延伸且連接垂直相鄰的導線72的導線118。導線118進一步包括往垂直於導線72的縱軸的方向且連接水平相鄰的導線72的導線118,或提供記憶體陣列200外側的連接的導線118。Figure 24D shows a perspective view of the obtained structure. In order to more clearly show the relationship between the wire 72, the conductive contact 112, the conductive contact 116, and the wire 118, the perspective view includes the wire 72, the conductive contact 112, the conductive contact 116, and the wire. 118 while omitting other structures. FIGS. 24A-24D further illustrate conductive pathways through the test structure 120 . A conductive path may extend from the outside of the memory array 200 into the memory array 200 at location 1 . The conductive path extends through lead 118 , conductive contact 116 , and conductive contact 112 to lead 72A. The conductive path then extends through locations 2 and 3 and through conductive contact 112 , conductive contact 116 , and wire 118 to wire 72B. The conductive path continues through memory array 200 to location 24 , which extends outside of memory array 200 . Each wire 72 is connected to a first vertically adjacent wire 72 and a second vertically adjacent wire 72 (for example, wire 72B is connected to wire 72A and wire 72C), a horizontally adjacent wire 72 (for example, wire 72C is connected to wire 72B and wire 72C) or connections outside of memory array 200 (eg, wire 72A connects to wire 72B and outside connections). Conductors 118 include conductors 118 extending in a direction parallel to the longitudinal axis of conductors 72 and connecting vertically adjacent conductors 72 . The wires 118 further include wires 118 oriented perpendicular to the longitudinal axis of the wires 72 and connecting horizontally adjacent wires 72 , or wires 118 providing connections outside the memory array 200 .

可以使用測試結構120判斷在導電接觸116之間的任何連接是否有缺陷。例如,可以在位置1和位置24施加電壓差至記憶體陣列200。由於導電途徑延伸穿過記憶體陣列200中的所有導線72、導電接觸112、導電接觸116和導線118,為了判斷是否存在任何有缺陷的連接,可以採取電流量測。因此,可以篩選具有缺陷連接的記憶體陣列200,並可以避免裝置缺陷。此外,如上所述,可以同時形成連接至各個導線72A至導線72C的溝槽110和導電接觸112,這可以減少成本、減少製造時間和增加裝置流通量(throughput)。Test structure 120 may be used to determine whether any connections between conductive contacts 116 are defective. For example, a voltage difference may be applied to memory array 200 at location 1 and location 24 . Since the conductive paths extend through all wires 72, conductive contacts 112, conductive contacts 116, and wires 118 in memory array 200, current measurements may be taken in order to determine if there are any defective connections. Thus, the memory array 200 can be screened for defective connections and device defects can be avoided. Furthermore, as described above, the trenches 110 and the conductive contacts 112 connected to the respective wires 72A-72C can be formed simultaneously, which can reduce cost, reduce manufacturing time, and increase device throughput.

第25A圖至第25C圖繪示分離多個記憶體陣列200的切割線(scribe line)。第25A圖繪示四個記憶體陣列200的俯視圖,第25B圖繪示兩個記憶體陣列200的透視圖,以及第25C圖繪示包括複數個記憶體陣列200的晶圓300的俯視圖。佈置記憶體陣列200在晶圓300中的網格圖案,其可以集中在晶圓300上方。切割線分離獨立的記憶體陣列200,後續記憶體陣列200透過沿著切割線切割成小塊。如第25A圖和第25B圖中所繪示,切割線可以延伸穿過至少一些導線118(例如在垂直於導線72的縱軸的方向上延伸的導線118),使得導線118既而分段。如第25C圖所繪示,可以設置切割線在相鄰的記憶體陣列200之間的區域301中,其中透過切割移除區域301。至少部分的測試結構120可以延伸至區域301上方,並且可以透過切割移除這些部分的測試結構120。第25C圖進一步繪示缺陷的記憶體陣列200D,其可以透過個別的測試結構120來偵測和移除。這會減少裝置缺陷。FIG. 25A to FIG. 25C illustrate scribe lines separating a plurality of memory arrays 200 . FIG. 25A shows a top view of four memory arrays 200 , FIG. 25B shows a perspective view of two memory arrays 200 , and FIG. 25C shows a top view of a wafer 300 including a plurality of memory arrays 200 . The memory array 200 is arranged in a grid pattern in the wafer 300 , which may be concentrated above the wafer 300 . The dicing lines separate individual memory arrays 200 , and subsequent memory arrays 200 are cut into small pieces along the dicing lines. As shown in FIGS. 25A and 25B , cut lines may extend through at least some of the wires 118 (eg, wires 118 extending in a direction perpendicular to the longitudinal axis of the wire 72 ), such that the wires 118 are then segmented. As shown in FIG. 25C , dicing lines may be provided in regions 301 between adjacent memory arrays 200 , wherein the regions 301 are removed by dicing. At least a portion of the test structure 120 may extend above the region 301 , and these portions of the test structure 120 may be removed by dicing. FIG. 25C further illustrates a defective memory array 200D that can be detected and removed by individual test structures 120 . This reduces device defects.

第26A圖至第34C圖繪示第二材料層54兩者包括犧牲材料的實施例,其將由導電材料所取代。在第26A圖至第34C圖中,名稱以「A」結尾的圖式繪示沿著第1A圖的截面B-B'的截面圖,名稱以「B」結尾的圖式繪示沿著第1A圖的截面D-D'的截面圖,並且名稱以「C」結尾的圖式繪示沿著第1A圖的截面C-C'的截面圖。26A to 34C illustrate an embodiment where the second material layer 54 both includes a sacrificial material, which is to be replaced by a conductive material. In Figures 26A to 34C, the drawings whose names end with "A" show the cross section along the section BB' of Figure 1A, and the drawings whose names end with "B" show the section along the Section DD' of Figure 1A, and figures whose titles end in "C" depict a section along section CC' of Figure 1A.

第26A圖和第26B圖繪示執行類似於或相同於如上方第3圖至第10圖中繪示和討論的步驟之後的多層堆疊58,以形成階梯狀結構68和階梯狀結構68上方的金屬間介電質70。多層堆疊58包括交替的第一材料層52A至第一材料層52D(整體稱為第一材料層52)和第二材料層54A至第二材料層54C(整體稱為第二材料層54)。在後續步驟中,第二材料層54可以由導電材料所取代以定義導線422(例如,第33A圖至第34C圖中繪示的字元線)。第二材料層54可以包括絕緣材料,例如氧化矽、氮化矽、氮氧化矽、上述的組合或類似者。第一材料層52可以包括絕緣材料,例如氧化矽、氮化矽、氮氧化矽、上述的組合或類似者。為了有助於後續的蝕刻步驟,可以由相對蝕刻第二材料層54具有高蝕刻選擇性的材料形成第一材料層52,並且可以由相對蝕刻第二材料層54和第一材料層52兩者具有高蝕刻選擇性的材料形成基板50。在一些實施例中,基板50可以由碳化矽形成,第一材料層52可以由例如氧化矽的氧化物形成,並且第二材料層54可以由例如氮化矽的氮化物形成。可以使用例如CVD、ALD、PVD、PECVD或類似者形成各個第二材料層54和第一材料層52。儘管第26A圖和第26B圖繪示具體數量的第二材料層54和第一材料層52,其他實施例可以包括不同數量的第二材料層54和第一材料層52。FIGS. 26A and 26B illustrate the multilayer stack 58 after performing steps similar or identical to those illustrated and discussed in FIGS. 3 through 10 above to form the stepped structure 68 and the intermetal dielectric 70. Multilayer stack 58 includes alternating first material layers 52A-52D (collectively first material layers 52 ) and second material layers 54A-54C (collectively second material layers 54 ). In subsequent steps, the second material layer 54 may be replaced by a conductive material to define the conductive lines 422 (eg, the word lines shown in FIGS. 33A to 34C ). The second material layer 54 may include insulating materials such as silicon oxide, silicon nitride, silicon oxynitride, combinations thereof, or the like. The first material layer 52 may include insulating materials, such as silicon oxide, silicon nitride, silicon oxynitride, combinations thereof, or the like. In order to facilitate the subsequent etching step, the first material layer 52 may be formed from a material having a high etch selectivity with respect to etching the second material layer 54, and may be formed by relatively etching both the second material layer 54 and the first material layer 52. A material having high etch selectivity forms the substrate 50 . In some embodiments, the substrate 50 may be formed of silicon carbide, the first material layer 52 may be formed of an oxide such as silicon oxide, and the second material layer 54 may be formed of a nitride such as silicon nitride. Each of the second material layer 54 and the first material layer 52 may be formed using, for example, CVD, ALD, PVD, PECVD, or the like. Although FIGS. 26A and 26B depict specific numbers of second material layers 54 and first material layers 52 , other embodiments may include different numbers of second material layers 54 and first material layers 52 .

進一步在第26A圖和第26B圖中,形成第一圖案化光阻400在多層堆疊58上方,並且形成第一溝槽402延伸穿過多層堆疊58。可以使用旋塗或類似者沉積光敏層在第一材料層52D上方以形成第一圖案化光阻400。接著可以圖案化光敏層,透過將光敏層曝光至圖案化能量源(例如圖案化光源)和顯影光敏層以移除光敏層的暴露或未暴露部分,從而形成第一圖案化光阻400。Further in FIGS. 26A and 26B , a first patterned photoresist 400 is formed over the multilayer stack 58 , and a first trench 402 is formed extending through the multilayer stack 58 . A photosensitive layer may be deposited over the first material layer 52D using spin coating or the like to form the first patterned photoresist 400 . The photosensitive layer may then be patterned by exposing the photosensitive layer to a patterned energy source (eg, a patterned light source) and developing the photosensitive layer to remove exposed or unexposed portions of the photosensitive layer, thereby forming the first patterned photoresist 400 .

在所繪示的實施例中,第一溝槽402延伸穿過多層堆疊58以暴露基板50。在一些實施例中,第一溝槽402延伸穿過多層堆疊58的一些層,而非全部的層。可以使用可接受的光刻和蝕刻技術形成第一溝槽402,例如使用對多層堆疊58具有選擇性(例如,以相對蝕刻基板50的材料而言更快速率蝕刻第一材料層52和第二材料層54的材料)的蝕刻製程。蝕刻可以是任何可接受的蝕刻製程,例如反應離子蝕刻、中性粒子束蝕刻、類似者或上述的組合。蝕刻可以是各向異性的。在基板50由碳化矽形成、第一材料層52由氧化矽形成且第二材料層54由氮化矽形成的實施例中,可以透過使用氟基氣體(例如C 4F 6)混合氫氣(H 2)或氧氣(O 2)的乾式蝕刻形成第一溝槽402。 In the illustrated embodiment, the first trench 402 extends through the multilayer stack 58 to expose the substrate 50 . In some embodiments, first trench 402 extends through some, but not all, layers of multilayer stack 58 . The first trench 402 may be formed using acceptable photolithography and etching techniques, such as by etching the first layer of material 52 and the second layer of material 52 at a faster rate relative to the material of the substrate 50 material layer 54) etching process. Etching may be any acceptable etching process, such as reactive ion etching, neutral particle beam etching, the like, or combinations thereof. Etching can be anisotropic. In an embodiment in which the substrate 50 is formed of silicon carbide, the first material layer 52 is formed of silicon oxide, and the second material layer 54 is formed of silicon nitride, hydrogen (H 2 ) or oxygen (O 2 ) dry etching to form the first trench 402 .

在第27A圖和第27B圖中,擴大第一溝槽402以形成第一側壁凹槽404。具體而言,凹陷第一溝槽402所暴露的第二材料層54的部分側壁,從而形成第一側壁凹槽404。儘管第二材料層54的側壁繪示為筆直的,側壁可以是凹面或凸面。可以透過可接受的蝕刻製程形成第一側壁凹槽404,例如對第二材料層54的材料具有選擇性(例如,以相對第一材料層52和基板50的材料而言更快速率選擇性蝕刻第二材料層54的材料)。蝕刻可以是各向同性的。在基板50由碳化矽形成、第一材料層52由氧化矽形成且第二材料層54由氮化矽形成的實施例中,可以透過使用磷酸(H 3PO 4)的濕式蝕刻擴大第一溝槽402。然而,可以使用任何適合的蝕刻製程,例如乾式選擇性蝕刻。可以在形成第一側壁凹槽404之前或之後,透過可接受的灰化或濕式剝離製程移除第一圖案化光阻400。 In FIGS. 27A and 27B , the first trench 402 is enlarged to form a first sidewall recess 404 . Specifically, part of the sidewall of the second material layer 54 exposed by the first trench 402 is recessed, thereby forming a first sidewall groove 404 . Although the sidewalls of the second material layer 54 are shown as straight, the sidewalls may be concave or convex. The first sidewall recess 404 may be formed by an acceptable etching process, such as being selective to the material of the second material layer 54 (eg, selectively etching at a faster rate relative to the materials of the first material layer 52 and the substrate 50 ). material of the second material layer 54). Etching can be isotropic. In embodiments where the substrate 50 is formed of silicon carbide, the first material layer 52 is formed of silicon oxide, and the second material layer 54 is formed of silicon nitride, the first material layer can be enlarged by wet etching using phosphoric acid (H 3 PO 4 ). groove 402 . However, any suitable etching process may be used, such as dry selective etching. The first patterned photoresist 400 can be removed by an acceptable ashing or wet stripping process before or after forming the first sidewall groove 404 .

在第28A圖和第28B圖中,形成導電材料406和犧牲材料408在第一側壁凹槽404中,並填充及/或過填充第一溝槽402。也可以將一或多個額外的層(例如種子層、膠水層、阻障層、擴散層、填充層和類似者)填充在第一溝槽402和第一側壁凹槽404中。在一些實施例中,可以省略犧牲材料408。在包括種子層的實施例中,種子層可以包括氮化鈦、氮化鉭、鈦、鉭、鉬、釕、銠、鉿、銥、鈮、錸、鎢、上述的組合、上述的氧化物或類似者。導電材料406可以由導電材料形成,其可以是金屬(例如鎢、鈷、鋁、鎳、銅、銀、金、鉬、釕、鉬)、氮化物、上述的合金或類似者。在第一材料層52由例如氧化矽的氧化物形成的實施例中,種子層可以由氮化鈦形成並且導電材料406可以由鎢形成。犧牲材料408可以包括絕緣材料,例如氧化矽、氮化矽、氮氧化矽、上述的組合或類似者。相對於第一材料層52、導電材料406和基板50的材料,犧牲材料408可以包括具有高蝕刻選擇性的材料,使得後續可以移除犧牲材料408而不會移除或損傷第一材料層52、導電材料406或基板50。可以透過可接受的沉積製程形成各個導電材料406和犧牲材料408,例如CVD、ALD、PVD或類似者。In FIGS. 28A and 28B , a conductive material 406 and a sacrificial material 408 are formed in the first sidewall recess 404 and fill and/or overfill the first trench 402 . One or more additional layers (eg, seed layer, glue layer, barrier layer, diffusion layer, fill layer, and the like) may also be filled in the first trench 402 and the first sidewall groove 404 . In some embodiments, sacrificial material 408 may be omitted. In embodiments comprising a seed layer, the seed layer may comprise titanium nitride, tantalum nitride, titanium, tantalum, molybdenum, ruthenium, rhodium, hafnium, iridium, niobium, rhenium, tungsten, combinations thereof, oxides of the foregoing, or similar. Conductive material 406 may be formed of a conductive material, which may be a metal (eg, tungsten, cobalt, aluminum, nickel, copper, silver, gold, molybdenum, ruthenium, molybdenum), nitride, alloys of the above, or the like. In embodiments where the first material layer 52 is formed of an oxide such as silicon oxide, the seed layer may be formed of titanium nitride and the conductive material 406 may be formed of tungsten. The sacrificial material 408 may include insulating materials such as silicon oxide, silicon nitride, silicon oxynitride, combinations thereof, or the like. The sacrificial material 408 may comprise a material having a high etch selectivity relative to the materials of the first material layer 52, the conductive material 406, and the substrate 50, so that the sacrificial material 408 may be subsequently removed without removing or damaging the first material layer 52. , the conductive material 406 or the substrate 50 . Each conductive material 406 and sacrificial material 408 may be formed by an acceptable deposition process, such as CVD, ALD, PVD, or the like.

一旦為了填充及/或過填充第一溝槽402而沉積導電材料406和犧牲材料408,可以平坦化導電材料406和犧牲材料408以移除第一溝槽402外的多餘材料,使得平坦化之後的導電材料406和犧牲材料408完全遍及第一溝槽402的頂部。在一實施例中,可以使用例如CMP製程平坦化導電材料406和犧牲材料408。然而,也可以使用任何適合的平坦化製程,例如拋光製程。Once conductive material 406 and sacrificial material 408 are deposited to fill and/or overfill first trench 402, conductive material 406 and sacrificial material 408 may be planarized to remove excess material outside first trench 402 such that after planarization The conductive material 406 and the sacrificial material 408 completely extend over the top of the first trench 402 . In one embodiment, conductive material 406 and sacrificial material 408 may be planarized using, for example, a CMP process. However, any suitable planarization process, such as a polishing process, may also be used.

在第29A圖和第29B圖中,形成第二圖案化光阻410在多層堆疊58上方,並且形成第二溝槽412延伸穿過多層堆疊58。可以透過使用旋塗或類似者沉積第一材料層52D上方的光敏層來形成第二圖案化光阻410。接著可以圖案化光敏層,透過將光敏層曝光至圖案化能量源(例如圖案化光源)和顯影光敏層以移除光敏層的暴露或未暴露部分,從而形成第二圖案化光阻410。In FIGS. 29A and 29B , a second patterned photoresist 410 is formed over the multilayer stack 58 and a second trench 412 is formed extending through the multilayer stack 58 . The second patterned photoresist 410 may be formed by depositing a photosensitive layer over the first material layer 52D using spin coating or the like. The photosensitive layer may then be patterned to form the second patterned photoresist 410 by exposing the photosensitive layer to a patterned energy source (eg, a patterned light source) and developing the photosensitive layer to remove exposed or unexposed portions of the photosensitive layer.

在所繪示的實施例中,第二溝槽412延伸穿過多層堆疊58以暴露基板50。在一些實施例中,第二溝槽412延伸穿過多層堆疊58的一些層,而非全部的層。可以使用可接受的光刻和蝕刻技術形成第二溝槽412,例如使用對多層堆疊58具有選擇性的蝕刻製程(例如,以相對基板50的材料而言更快速率蝕刻第一材料層52和第二材料層54的材料)。蝕刻可以是任何可接受的蝕刻製程,例如RIE、NBE、類似者或上述的組合。蝕刻可以是各向異性的。在基板50由碳化矽形成、第一材料層52由氧化矽形成且第二材料層54由氮化矽形成的實施例中,可以透過使用氟基氣體(例如C 4F 6)混合氫氣(H 2)或氧氣(O 2)的乾式蝕刻形成第二溝槽412。 In the illustrated embodiment, the second trench 412 extends through the multilayer stack 58 to expose the substrate 50 . In some embodiments, second trench 412 extends through some, but not all, layers of multilayer stack 58 . Second trench 412 may be formed using acceptable photolithography and etching techniques, such as using an etch process that is selective to multilayer stack 58 (eg, etching first material layer 52 and first material layer 52 at a faster rate relative to the material of substrate 50 material of the second material layer 54). Etching may be any acceptable etching process, such as RIE, NBE, the like, or combinations thereof. Etching can be anisotropic. In an embodiment in which the substrate 50 is formed of silicon carbide, the first material layer 52 is formed of silicon oxide, and the second material layer 54 is formed of silicon nitride, hydrogen (H 2 ) or oxygen (O 2 ) dry etching to form the second trench 412 .

在第30A圖和第30B圖中,擴大第二溝槽412以形成第二側壁凹槽414。具體而言,移除第二材料層54的剩餘部分以形成第二側壁凹槽414。因此第二側壁凹槽414暴露部分的導電材料406。可以透過可接受的蝕刻製程形成第二側壁凹槽414,例如對第二材料層54的材料具有選擇性(例如,以相對第一材料層52和基板50的材料而言更快速率選擇性蝕刻第二材料層54的材料)。蝕刻可以是任何可接受的蝕刻製程,而且在一些實施例中,可以類似於第27A圖和第27B圖所討論用來形成第一側壁凹槽404的蝕刻製程。在形成第二側壁凹槽414之前或之後,可以透過可接受的灰化或濕式剝離製程移除第二圖案化光阻410。In FIGS. 30A and 30B , the second trench 412 is enlarged to form a second sidewall recess 414 . Specifically, the remaining portion of the second material layer 54 is removed to form the second sidewall groove 414 . Therefore, the second sidewall groove 414 exposes a portion of the conductive material 406 . The second sidewall groove 414 may be formed by an acceptable etching process, for example, selective to the material of the second material layer 54 (eg, selectively etched at a faster rate relative to the materials of the first material layer 52 and the substrate 50 ). material of the second material layer 54). The etching can be any acceptable etching process, and in some embodiments, can be similar to the etching process used to form the first sidewall recess 404 discussed in FIGS. 27A and 27B . Before or after forming the second sidewall grooves 414, the second patterned photoresist 410 may be removed by an acceptable ashing or wet stripping process.

在第31A圖和第31B圖中,形成導電材料416和犧牲材料418在第二側壁凹槽414,並且填充及/或過填充第二溝槽412。也可以將一或多個額外的層(例如種子層、膠水層、阻障層、擴散層、填充層和類似者)填充在第二溝槽412和第二側壁凹槽414中。在一些實施例中,可以省略犧牲材料418。在包括種子層的實施例中,種子層可以包括氮化鈦、氮化鉭、鈦、鉭、鉬、釕、銠、鉿、銥、鈮、錸、鎢、上述的組合、上述的氧化物或類似者。導電材料416可以由導電材料形成,其可以是金屬(例如鎢、鈷、鋁、鎳、銅、銀、金、鉬、釕、鉬)、氮化物、上述的合金或類似者。在第一材料層52由例如氧化矽的氧化物形成的實施例中,種子層可以由氮化鈦形成並且導電材料416可以由鎢形成。犧牲材料418可以包括絕緣材料,例如氧化矽、氮化矽、氮氧化矽、上述的組合或類似者。相對於第一材料層52、導電材料416和基板50的材料,犧牲材料418可以包括具有高蝕刻選擇性的材料,使得後續可以移除犧牲材料418而不會移除或損傷第一材料層52、導電材料416或基板50。可以透過可接受的沉積製程形成各個導電材料416和犧牲材料418,例如CVD、ALD、PVD或類似者。In FIGS. 31A and 31B , a conductive material 416 and a sacrificial material 418 are formed in the second sidewall recess 414 and fill and/or overfill the second trench 412 . One or more additional layers (eg, seed layer, glue layer, barrier layer, diffusion layer, fill layer, and the like) may also be filled in the second trench 412 and the second sidewall groove 414 . In some embodiments, sacrificial material 418 may be omitted. In embodiments comprising a seed layer, the seed layer may comprise titanium nitride, tantalum nitride, titanium, tantalum, molybdenum, ruthenium, rhodium, hafnium, iridium, niobium, rhenium, tungsten, combinations thereof, oxides of the foregoing, or similar. Conductive material 416 may be formed of a conductive material, which may be a metal (eg, tungsten, cobalt, aluminum, nickel, copper, silver, gold, molybdenum, ruthenium, molybdenum), nitride, alloys of the foregoing, or the like. In embodiments where the first material layer 52 is formed of an oxide such as silicon oxide, the seed layer may be formed of titanium nitride and the conductive material 416 may be formed of tungsten. The sacrificial material 418 may include insulating materials such as silicon oxide, silicon nitride, silicon oxynitride, combinations thereof, or the like. The sacrificial material 418 may comprise a material having a high etch selectivity relative to the materials of the first material layer 52, the conductive material 416, and the substrate 50, so that the sacrificial material 418 may be subsequently removed without removing or damaging the first material layer 52. , the conductive material 416 or the substrate 50 . Each conductive material 416 and sacrificial material 418 may be formed by an acceptable deposition process, such as CVD, ALD, PVD, or the like.

一旦為了填充及/或過填充第二溝槽412而沉積導電材料416和犧牲材料418,可以平坦化導電材料416和犧牲材料418以移除第二溝槽412外的多餘材料,使得平坦化之後的導電材料416和犧牲材料418完全遍及第二溝槽412的頂部。在一實施例中,可以使用例如CMP製程平坦化導電材料416和犧牲材料418。然而,也可以使用任何適合的平坦化製程,例如拋光製程。Once conductive material 416 and sacrificial material 418 are deposited to fill and/or overfill second trench 412, conductive material 416 and sacrificial material 418 may be planarized to remove excess material outside second trench 412 such that after planarization The conductive material 416 and the sacrificial material 418 completely extend over the top of the second trench 412 . In one embodiment, conductive material 416 and sacrificial material 418 may be planarized using, for example, a CMP process. However, any suitable planarization process, such as a polishing process, may also be used.

在第32A圖和第32B圖中,可以透過可接受的製程移除犧牲材料408和犧牲材料418而形成第三溝槽420。可接受的製程可以是濕式蝕刻製程、乾式蝕刻製程、上述的組合或類似者。在一些實施例中,可以透過各向同性蝕刻製程移除犧牲材料408和犧牲材料418,其中蝕刻製程對犧牲材料408和犧牲材料418的材料具有選擇性。因此,可以移除犧牲材料408和犧牲材料418而不會移除或損傷第一材料層52、導電材料406、導電材料416或基板50。In FIGS. 32A and 32B , the sacrificial material 408 and the sacrificial material 418 may be removed by an acceptable process to form a third trench 420 . Acceptable processes may be wet etch processes, dry etch processes, combinations thereof, or the like. In some embodiments, the sacrificial material 408 and the sacrificial material 418 may be removed by an isotropic etching process, wherein the etching process is selective to the material of the sacrificial material 408 and the sacrificial material 418 . Accordingly, sacrificial material 408 and sacrificial material 418 may be removed without removing or damaging first material layer 52 , conductive material 406 , conductive material 416 , or substrate 50 .

在第33A圖和第33B圖中,蝕刻導電材料406和導電材料416以擴大第三溝槽420,並從導電材料406和導電材料416的各層形成導線422A至導線422C(例如,整體稱為導線422的字元線)。第三溝槽420分離相鄰的導線422並分離第一材料層52的多個部分。由於從導電材料406和導電材料416的相鄰部分形成導線422,各個導線422可以包括接縫,如第33A圖和第33B圖中所繪示。蝕刻導電材料406和導電材料416以擴大第三溝槽420可以暴露第一材料層52的側壁。在一些實施例中,可以使用例如各向異性蝕刻製程蝕刻導電材料406和導電材料416,然而也可以使用任何適合的蝕刻製程。在一些實施例中,執行蝕刻製程直到移除導電材料406和導電材料416延伸超出第一材料層52的側壁的材料,並且導電材料406和導電材料416的側壁齊平於第一材料層52的側壁。因此,導線422可以具有寬度類似於或相同於第一材料層52。儘管導線422的側壁繪示為筆直的,側壁可以是凹面或凸面。In FIGS. 33A and 33B, conductive material 406 and conductive material 416 are etched to enlarge third trench 420 and form leads 422A to 422C from the layers of conductive material 406 and conductive material 416 (eg, collectively referred to as lead 422C). 422 character lines). The third trench 420 separates adjacent conductive lines 422 and separates portions of the first material layer 52 . As leads 422 are formed from adjacent portions of conductive material 406 and conductive material 416, each lead 422 may include a seam, as depicted in FIGS. 33A and 33B. Etching conductive material 406 and conductive material 416 to expand third trench 420 may expose sidewalls of first material layer 52 . In some embodiments, conductive material 406 and conductive material 416 may be etched using, for example, an anisotropic etch process, although any suitable etch process may be used. In some embodiments, the etching process is performed until the material of the conductive material 406 and the conductive material 416 extending beyond the sidewalls of the first material layer 52 is removed, and the sidewalls of the conductive material 406 and the conductive material 416 are flush with the sidewalls of the first material layer 52 side wall. Accordingly, the wire 422 may have a width similar to or the same as that of the first material layer 52 . Although the sidewalls of the conductive lines 422 are shown as straight, the sidewalls may be concave or convex.

透過形成和取代多層堆疊58中的第二材料層54來形成導線422改善記憶體陣列200的行的長寬比,並且在形成期間避免特徵的扭曲或倒塌。這會減少裝置缺陷並改善裝置表現。可以執行第26A圖至第33B圖中的步驟代替第11A圖至第13C圖中的步驟,而形成記憶體陣列200的剩餘步驟相同於上方所述(例如,執行第3圖至第10圖中的步驟,接著執行第26A圖至第33B圖中的步驟,並最後執行第14B圖至第24D圖中的步驟)。Forming wires 422 by forming and replacing second material layer 54 in multilayer stack 58 improves the aspect ratio of the rows of memory array 200 and avoids twisting or collapse of features during formation. This reduces device defects and improves device performance. The steps in FIGS. 26A to 33B may be performed instead of the steps in FIGS. 11A to 13C, and the remaining steps for forming the memory array 200 are the same as described above (for example, performing the steps in FIGS. 3 to 10 , followed by the steps in Figures 26A to 33B, and finally the steps in Figures 14B to 24D).

第34A圖至第34C圖繪示執行第14B圖至第24D圖的步驟之後的第26A圖至第33B圖的實施例。第34B圖的結構可以類似於第24C圖中所繪示,除了導線72由導電材料406和導電材料416形成的導線422所取代。Figures 34A-34C illustrate the embodiment of Figures 26A-33B after performing the steps of Figures 14B-24D. The structure of FIG. 34B may be similar to that shown in FIG. 24C, except that the wire 72 is replaced by a wire 422 formed of conductive material 406 and conductive material 416 .

實施例可以實現多個優勢。例如,同時形成延伸至導線72A至導線72C的溝槽110,以及同時形成溝槽110中的導電接觸112減少製程時間、減少額外圖案化製程相關的成本和增加流通量。為了確認有缺陷的連接,可以形成測試結構120在記憶體陣列200上方。因此,可以移除缺陷的記憶體陣列200並且可以減少裝置缺陷。Embodiments may realize several advantages. For example, simultaneously forming trenches 110 extending to lines 72A-72C, and simultaneously forming conductive contacts 112 in trenches 110 reduces process time, reduces costs associated with additional patterning processes, and increases throughput. In order to confirm defective connections, a test structure 120 may be formed over the memory array 200 . Therefore, defective memory array 200 can be removed and device defects can be reduced.

根據本公開的一實施例,一種記憶體陣列包括在半導體基板上方的第一字元線,第一字元線的縱軸在第一方向上延伸;在第二方向上在第一字元線上方的第二字元線,第二方向垂直於半導體基板的主表面,第二字元線的縱軸在第一方向上延伸;接觸第一字元線和第二字元線的記憶體薄膜;接觸第一源極線和第一位元線的氧化物半導體層,記憶體薄膜在氧化物半導體層與各個第一字元線和第二字元線之間;以及第一字元線和第二字元線上方的測試結構,測試結構包括將第一字元線電性耦接至第二字元線的第一導線,第一導線的縱軸在第一方向上延伸。在一實施例中,第一字元線具有第一長度大於第二字元線的第二長度。在一實施例中,測試結構進一步包括第二導線,第二導線電性耦接至第一字元線,第二導線延伸至記憶體陣列的邊界,以及第二導線的縱軸在第一方向上延伸。在一實施例中,裝置進一步包括在垂直於第一方向的第三方向上相鄰於第一字元線的第三字元線,記憶體薄膜和氧化物半導體層在第三方向上在第一字元線和第三字元線之間,測試結構進一步包括第二導線,第二導線將第一字元線電性耦接至第三字元線,以及第二導線的縱軸在第三方向上延伸。在一實施例中,第一字元線包括在第一導電材料和第二導電材料之間的接縫。在一實施例中,裝置進一步包括在第二方向上低於第一字元線的第三字元線,第三字元線的縱軸在第一方向上延伸,測試結構進一步包括第二導線將第一字元線電性耦接至第三字元線,第二導線的縱軸在第一方向上延伸。在一實施例中,第一字元線具有第一長度大於第二字元線的第二長度,以及第三字元線具有第三長度大於第一長度。According to an embodiment of the present disclosure, a memory array includes a first word line above a semiconductor substrate, the longitudinal axis of the first word line extends in a first direction; Square second word line, the second direction is perpendicular to the main surface of the semiconductor substrate, the longitudinal axis of the second word line extends in the first direction; the memory film contacting the first word line and the second word line ; the oxide semiconductor layer contacting the first source line and the first bit line, the memory film is between the oxide semiconductor layer and each of the first word line and the second word line; and the first word line and the first word line A test structure above the second word line, the test structure includes a first wire electrically coupling the first word line to the second word line, the longitudinal axis of the first wire extends in a first direction. In one embodiment, the first word line has a first length greater than a second length of the second word line. In one embodiment, the test structure further includes a second wire, the second wire is electrically coupled to the first word line, the second wire extends to the boundary of the memory array, and the longitudinal axis of the second wire is in the first direction. Extend upwards. In one embodiment, the device further includes a third word line adjacent to the first word line in a third direction perpendicular to the first direction, and the memory film and the oxide semiconductor layer are adjacent to the first word line in the third direction. Between the word line and the third word line, the test structure further includes a second wire, the second wire electrically couples the first word line to the third word line, and the longitudinal axis of the second wire is in the third direction extend. In one embodiment, the first word line includes a seam between the first conductive material and the second conductive material. In one embodiment, the device further includes a third wordline lower than the first wordline in the second direction, the longitudinal axis of the third wordline extends in the first direction, and the test structure further includes a second conductive line The first word line is electrically coupled to the third word line, and the longitudinal axis of the second wire extends in the first direction. In one embodiment, the first wordline has a first length greater than the second length of the second wordline, and the third wordline has a third length greater than the first length.

根據本公開的另一個實施例,一種裝置包括半導體基板上方的第一字元線,第一字元線在第一方向上具有第一長度;半導體基板上方的第二字元線,第二字元線在第一方向上具有第二長度,第二長度等於第一長度;第一字元線上方的第一金屬間介電質;接觸第一字元線和第一金屬間介電質的第一記憶體薄膜;第一記憶體薄膜上方的第一氧化物半導體層,第一氧化物半導體層接觸源極線和位元線;延伸穿過第一金屬間介電質並且電性耦接至第一字元線的第一導電接觸;電性耦接至第二字元線的第二導電接觸;以及延伸在第一金屬間介電質上方並且將第一導電接觸電性耦接至第二導電接觸的第一導線,第一導線在垂直於第一方向的第二方向上延伸。在一實施例中,在垂直於半導體基板的主表面的第三方向上,在第一字元線和半導體基板之間的第一距離等於在第二字元線和半導體基板之間的第二距離。在一實施例中,金屬間介電質在截面圖中具有階梯狀結構。在一實施例中,裝置進一步包括接觸第二字元線的第二記憶體薄膜;第二記憶體薄膜上方的第二氧化物半導體層,第二氧化物半導體層接觸源極線和位元線;以及分離第一氧化物半導體層與第二氧化物半導體層的第一介電材料。在一實施例中,裝置進一步包括第二字元線上方的第二金屬間介電質,第二記憶體薄膜接觸第二金屬間介電質;以及分離第一金屬間介電質與第二金屬間介電質的第二介電材料,第二介電材料包括不同於第一介電材料的材料。在一實施例中,裝置進一步包括半導體基板上方的第三字元線,第三字元線在第一方向上具有第三長度,第三長度不同於第一長度和第二長度;電性耦接至第一字元線的第三導電接觸;電性耦接至第三字元線的第四導電接觸;以及將第三導電接觸電性耦接至第四導電接觸的第二導線,第二導線在第一方向上延伸。在一實施例中,在第一方向上,第一氧化物半導體層在第一導電接觸和第三導電接觸之間。According to another embodiment of the present disclosure, an apparatus includes a first word line above a semiconductor substrate, the first word line has a first length in a first direction; a second word line above the semiconductor substrate, the second word line The element line has a second length in the first direction, and the second length is equal to the first length; the first intermetal dielectric above the first word line; contacting the first word line and the first intermetal dielectric The first memory film; the first oxide semiconductor layer above the first memory film, the first oxide semiconductor layer contacts the source line and the bit line; extends through the first intermetal dielectric and is electrically coupled a first conductive contact to the first word line; a second conductive contact electrically coupled to the second word line; and extending over the first IMD and electrically coupling the first conductive contact to A first conductor of the second conductive contact, the first conductor extending in a second direction perpendicular to the first direction. In one embodiment, in a third direction perpendicular to the main surface of the semiconductor substrate, the first distance between the first word line and the semiconductor substrate is equal to the second distance between the second word line and the semiconductor substrate . In one embodiment, the intermetal dielectric has a stepped structure in a cross-sectional view. In one embodiment, the device further includes a second memory film contacting the second word line; a second oxide semiconductor layer above the second memory film, and the second oxide semiconductor layer contacts the source line and the bit line and a first dielectric material separating the first oxide semiconductor layer and the second oxide semiconductor layer. In one embodiment, the device further includes a second intermetal dielectric over the second word line, the second memory film contacts the second intermetal dielectric; and separates the first intermetal dielectric from the second A second dielectric material of the IMD, the second dielectric material comprising a material different from the first dielectric material. In one embodiment, the device further includes a third word line above the semiconductor substrate, the third word line has a third length in the first direction, the third length is different from the first length and the second length; electrically coupled A third conductive contact connected to the first word line; a fourth conductive contact electrically coupled to the third word line; and a second wire electrically coupled to the third conductive contact to the fourth conductive contact. The two wires extend in the first direction. In one embodiment, in the first direction, the first oxide semiconductor layer is between the first conductive contact and the third conductive contact.

根據本公開的又另一個實施例,一種方法包括沉積多層堆疊在半導體基板上方,多層堆疊包括交替的第一材料和第二材料;圖案化多層堆疊使得多層堆疊在截面圖中包括階梯狀結構;形成金屬間介電質在多層堆疊的階梯狀結構上方;形成複數個字元線在多層堆疊中;沉積記憶體薄膜在多層堆疊中並相鄰於複數個字元線;沉積氧化物半導體層在記憶體薄膜上方;蝕刻金屬間介電質以形成暴露複數個字元線中的第一字元線的第一開口以及和暴露複數個字元線中的第二字元線的第二開口,第一開口延伸至第一深度,以及第二開口延伸至不同於第一深度的第二深度;形成第一導電接觸在第一開口中並且電性耦接至第一字元線,和形成第二導電接觸在第二開口中並且電性耦接至第二字元線;以及形成第一導線在金屬間介電質、第一導電接觸和第二導電接觸上方,第一導線將第一導電接觸電性耦接至第二導電接觸。在一實施例中,第一導線、第一字元線和第二字元線在第一方向上延伸。在一實施例中,方法進一步包括蝕刻金屬間介電質,以形成暴露第一字元線的第三開口和暴露複數個字元線中的第三字元線的第四開口,第三開口和第四開口延伸至第一深度;形成第三導電接觸在第三開口中並且電性耦接至第一字元線,和形成第四導電接觸在第四開口中並且電性耦接至第三字元線;以及形成第二導線在金屬間介電質、第三導電接觸和第四導電接觸上方,第二導線將第三導電接觸電性耦接至第四導電接觸。在一實施例中,第一字元線和第二字元線在第一方向上延伸,以及第二導線在垂直於第一方向的第二方向上延伸。在一實施例中,第一材料包括介電材料,第二材料包括導電材料,以及形成複數個字元線在多層堆疊中包括圖案化多層堆疊以分離由第二材料所形成的相鄰字元線。在一實施例中,第一材料包括氧化物,第二材料包括氮化物,形成複數個字元線在多層堆疊中包括圖案化多層堆疊和以導電材料取代第二材料。According to yet another embodiment of the present disclosure, a method includes depositing a multilayer stack over a semiconductor substrate, the multilayer stack including alternating first and second materials; patterning the multilayer stack such that the multilayer stack includes a stepped structure in cross-sectional view; Forming an intermetallic dielectric above the stepped structure of the multilayer stack; forming a plurality of word lines in the multilayer stack; depositing a memory film in the multilayer stack and adjacent to the plurality of word lines; depositing an oxide semiconductor layer in the above the memory film; etching the intermetallic dielectric to form a first opening exposing a first word line in the plurality of word lines and a second opening exposing a second word line in the plurality of word lines, The first opening extends to a first depth, and the second opening extends to a second depth different from the first depth; a first conductive contact is formed in the first opening and electrically coupled to the first word line, and a second opening is formed Two conductive contacts are in the second opening and are electrically coupled to the second word line; The contact is electrically coupled to the second conductive contact. In one embodiment, the first conducting wire, the first word line and the second word line extend in a first direction. In one embodiment, the method further includes etching the intermetal dielectric to form a third opening exposing the first word line and a fourth opening exposing the third word line in the plurality of word lines, the third opening and the fourth opening extends to the first depth; forming a third conductive contact in the third opening and electrically coupled to the first word line, and forming a fourth conductive contact in the fourth opening and electrically coupled to the first word line three word lines; and forming a second conductive line above the IMD, the third conductive contact and the fourth conductive contact, the second conductive line electrically couples the third conductive contact to the fourth conductive contact. In one embodiment, the first word line and the second word line extend in a first direction, and the second conductive line extends in a second direction perpendicular to the first direction. In one embodiment, the first material includes a dielectric material, the second material includes a conductive material, and forming the plurality of word lines in the multilayer stack includes patterning the multilayer stack to separate adjacent words formed of the second material. Wire. In one embodiment, the first material includes oxide, the second material includes nitride, and forming a plurality of word lines in the multilayer stack includes patterning the multilayer stack and replacing the second material with a conductive material.

前面概述一些實施例的特徵,使得本領域技術人員可更好地理解本公開的觀點。本領域技術人員應該理解,他們可以容易地使用本公開作為設計或修改其他製程和結構的基礎,以實現相同的目的和/或實現與本文介紹之實施例相同的優點。本領域技術人員還應該理解,這樣的等同構造不脫離本公開的精神和範圍,並且在不脫離本公開的精神和範圍的情況下,可以進行各種改變、替換和變更。The foregoing outlines features of some embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages as the embodiments described herein. Those skilled in the art should also understand that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they can make various changes, substitutions and alterations without departing from the spirit and scope of the present disclosure.

1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24:位置 50:基板 52,52A,52B,52C,52D:第一材料層 54,54A,54B,54C:第二材料層 56:光阻 58:多層堆疊 60,62,64:區域 61:開口 68:階梯狀結構 70:金屬間介電質 72,72A,72B,72C:導線 80:硬遮罩 82:圖案化光阻 86:溝槽 90:記憶體薄膜 92:氧化物半導體層 98:介電材料 100,104:溝槽 102:介電材料 106,108:導線 110:溝槽 112:導電接觸 114:第一介電層 115:第二介電層 116:導電接觸 118:導線 120:測試結構 200,200D:記憶體陣列 202:記憶體單元 204:電晶體 206:箭頭 300:晶圓 301:區域 302:閘極介電層 304:閘極電極 306:源極/汲極區域 308:閘極間隔物 310:第一層間介電質 312:第二層間介電質 314:源極/汲極接觸 316:閘極接觸 320:互連結構 322:導電特徵 324:介電層 400:第一圖案化光阻 402:第一溝槽 404:第一側壁凹槽 406:導電材料 408:犧牲材料 410:第二圖案化光阻 412:第二溝槽 414:第二側壁凹槽 416:導電材料 418:犧牲材料 420:第三溝槽 422,422A,422B,422C:導線 A-A',B-B',C-C',D-D':截面 1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24: position 50: Substrate 52, 52A, 52B, 52C, 52D: first material layer 54, 54A, 54B, 54C: second material layer 56: photoresist 58: Multi-layer stacking 60,62,64: area 61: opening 68: Ladder-like structure 70: Intermetal dielectric 72, 72A, 72B, 72C: Wire 80: hard mask 82:Patterned photoresist 86: Groove 90:Memory film 92: oxide semiconductor layer 98: Dielectric material 100,104: Groove 102: Dielectric material 106,108: Wire 110: Groove 112: Conductive contact 114: the first dielectric layer 115: second dielectric layer 116: Conductive contact 118: wire 120: Test structure 200,200D: memory array 202: memory unit 204: Transistor 206: Arrow 300: Wafer 301: area 302: gate dielectric layer 304: gate electrode 306: source/drain region 308:Gate spacer 310: the first interlayer dielectric 312: Second interlayer dielectric 314: Source/Drain Contacts 316: gate contact 320: Interconnect structure 322: Conductive features 324: dielectric layer 400: First patterned photoresist 402: The first groove 404: first side wall groove 406: Conductive material 408: Sacrificial material 410: Second patterned photoresist 412: second groove 414: second side wall groove 416: Conductive material 418:Sacrificial material 420: The third groove 422, 422A, 422B, 422C: Wire A-A',BB',CC',D-D': section

當結合附圖閱讀時,從以下詳細描述中可以最好地理解本公開的各方面。應注意,根據工業中的標準方法,各種特徵未按比例繪製。實際上,為了清楚地討論,可任意增加或減少各種特徵的尺寸。 第1A圖和第1B圖根據一些實施例繪示記憶體陣列的透視圖和電路圖。 第2圖、第3圖、第4圖、第5圖、第6圖、第7圖、第8圖、第9圖、第10圖、第11A圖、第11B圖、第11C圖、第12A圖、第12B圖、第12C圖、第13A圖、第13B圖、第13C圖、第14A圖、第14B圖、第14C圖、第15A圖、第15B圖、第15C圖、第16A圖、第16B圖、第16C圖、第17A圖、第17B圖、第17C圖、第18A圖、第18B圖、第18C圖、第19A圖、第19B圖、第19C圖、第20A圖、第20B圖、第20C圖、第20D圖、第21A圖、第21B圖、第21C圖、第21D圖、第22A圖、第22B圖、第22C圖、第23A圖、第23B圖、第23C圖、第24A圖、第24B圖、第24C圖、第24D圖、第25A圖、第25B圖、第25C圖、第26A圖、第26B圖、第27A圖、第27B圖、第28A圖、第28B圖、第29A圖、第29B圖、第30A圖、第30B圖、第31A圖、第31B圖、第32A圖、第32B圖、第33A圖、第33B圖、第34A圖、第34B圖和第34C圖根據一些實施例繪示製造包括記憶體陣列的半導體裝置的多個視圖。 Aspects of the disclosure are best understood from the following detailed description when read with the accompanying figures. It should be noted that, according to the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or decreased for clarity of discussion. 1A and 1B illustrate a perspective view and a circuit diagram of a memory array, according to some embodiments. Figure 2, Figure 3, Figure 4, Figure 5, Figure 6, Figure 7, Figure 8, Figure 9, Figure 10, Figure 11A, Figure 11B, Figure 11C, Figure 12A Figure, Figure 12B, Figure 12C, Figure 13A, Figure 13B, Figure 13C, Figure 14A, Figure 14B, Figure 14C, Figure 15A, Figure 15B, Figure 15C, Figure 16A, Figure 16B, Figure 16C, Figure 17A, Figure 17B, Figure 17C, Figure 18A, Figure 18B, Figure 18C, Figure 19A, Figure 19B, Figure 19C, Figure 20A, Figure 20B Figure, Figure 20C, Figure 20D, Figure 21A, Figure 21B, Figure 21C, Figure 21D, Figure 22A, Figure 22B, Figure 22C, Figure 23A, Figure 23B, Figure 23C, Figure 24A, Figure 24B, Figure 24C, Figure 24D, Figure 25A, Figure 25B, Figure 25C, Figure 26A, Figure 26B, Figure 27A, Figure 27B, Figure 28A, Figure 28B Figure, Figure 29A, Figure 29B, Figure 30A, Figure 30B, Figure 31A, Figure 31B, Figure 32A, Figure 32B, Figure 33A, Figure 33B, Figure 34A, Figure 34B and Figure 34C illustrates various views of fabricating a semiconductor device including a memory array, according to some embodiments.

國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無 Domestic deposit information (please note in order of depositor, date, and number) none Overseas storage information (please note in order of storage country, institution, date, and number) none

52:第一材料層 52: The first material layer

72:導線 72: wire

90:記憶體薄膜 90:Memory film

92:氧化物半導體層 92: oxide semiconductor layer

98:介電材料 98: Dielectric material

102:介電材料 102: Dielectric material

106,108:導線 106,108: Wire

200:記憶體陣列 200: memory array

202:記憶體單元 202: memory unit

204:電晶體 204: Transistor

206:箭頭 206: Arrow

A-A',B-B',C-C',D-D':截面 A-A',BB',CC',D-D': section

Claims (20)

一種記憶體陣列,包括: 一第一字元線在一半導體基板上方,其中該第一字元線的一縱軸在一第一方向上延伸; 一第二字元線在一第二方向上在該第一字元線上方,該第二方向垂直於該半導體基板的一主表面,其中該第二字元線的一縱軸在該第一方向上延伸; 一記憶體薄膜,接觸該第一字元線和該第二字元線; 一氧化物半導體層,接觸一第一源極線和一第一位元線,其中該記憶體薄膜在該氧化物半導體層與各個該第一字元線和該第二字元線之間;以及 一測試結構在該第一字元線和該第二字元線上方,該測試結構包括一第一導線將該第一字元線電性耦接至該第二字元線,其中該第一導線的一縱軸在該第一方向上延伸。 A memory array comprising: a first wordline above a semiconductor substrate, wherein a longitudinal axis of the first wordline extends in a first direction; a second word line above the first word line in a second direction perpendicular to a main surface of the semiconductor substrate, wherein a longitudinal axis of the second word line is at the first extend in the direction a memory film contacting the first word line and the second word line; an oxide semiconductor layer contacting a first source line and a first bit line, wherein the memory film is between the oxide semiconductor layer and each of the first word line and the second word line; as well as A test structure is above the first word line and the second word line, the test structure includes a first wire electrically coupling the first word line to the second word line, wherein the first A longitudinal axis of the wire extends in the first direction. 如請求項1所述之記憶體陣列,其中該第一字元線具有一第一長度大於該第二字元線的一第二長度。The memory array of claim 1, wherein the first word line has a first length greater than a second length of the second word line. 如請求項1所述之記憶體陣列,其中該測試結構進一步包括一第二導線,其中該第二導線電性耦接至該第一字元線,其中該第二導線延伸至該記憶體陣列的一邊界,以及其中該第二導線的一縱軸在該第一方向上延伸。The memory array of claim 1, wherein the test structure further includes a second wire, wherein the second wire is electrically coupled to the first word line, and wherein the second wire extends to the memory array A boundary of , and wherein a longitudinal axis of the second conductive line extends in the first direction. 如請求項1所述之記憶體陣列,進一步包括一第三字元線在垂直於該第一方向的一第三方向上相鄰於該第一字元線,其中該記憶體薄膜和該氧化物半導體層在該第三方向上在該第一字元線和該第三字元線之間,其中該測試結構進一步包括一第二導線,其中該第二導線將第一字元線電性耦接至第三字元線,以及其中該第二導線的一縱軸在該第三方向上延伸。The memory array according to claim 1, further comprising a third word line adjacent to the first word line in a third direction perpendicular to the first direction, wherein the memory film and the oxide The semiconductor layer is between the first word line and the third word line in the third direction, wherein the test structure further includes a second wire, wherein the second wire electrically couples the first word line to the third word line, and wherein a longitudinal axis of the second conductive line extends in the third direction. 如請求項1所述之記憶體陣列,其中該第一字元線包括在第一導電材料和第二導電材料之間的一接縫。The memory array of claim 1, wherein the first word line includes a seam between the first conductive material and the second conductive material. 如請求項1所述之記憶體陣列,進一步包括一第三字元線在該第二方向上低於該第一字元線,其中該第三字元線的一縱軸在該第一方向上延伸,其中該測試結構進一步包括一第二導線將第一字元線電性耦接至該第三字元線,其中該第二導線的一縱軸在該第一方向上延伸。The memory array as claimed in claim 1, further comprising a third word line lower than the first word line in the second direction, wherein a longitudinal axis of the third word line is in the first direction Extending upward, wherein the test structure further includes a second wire electrically coupling the first word line to the third word line, wherein a longitudinal axis of the second wire extends in the first direction. 如請求項6所述之記憶體陣列,其中該第一字元線具有一第一長度大於該第二字元線的一第二長度,以及其中該第三字元線具有一第三長度大於該第一長度。The memory array of claim 6, wherein the first wordline has a first length greater than a second length of the second wordline, and wherein the third wordline has a third length greater than the first length. 一種裝置,包括: 一第一字元線在一半導體基板上方,該第一字元線在一第一方向上具有一第一長度; 一第二字元線在該半導體基板上方,該第二字元線在該第一方向上具有一第二長度,其中該第二長度等於該第一長度; 一第一金屬間介電質在該第一字元線上方; 一第一記憶體薄膜,接觸該第一字元線和該第一金屬間介電質; 一第一氧化物半導體層在該第一記憶體薄膜上方,該第一氧化物半導體層接觸一源極線和一位元線; 一第一導電接觸,延伸穿過該第一金屬間介電質並且電性耦接至該第一字元線; 一第二導電接觸,電性耦接至該第二字元線;以及 一第一導線,延伸在該第一金屬間介電質上方並且將該第一導電接觸電性耦接至該第二導電接觸,其中該第一導線在垂直於該第一方向的一第二方向上延伸。 A device comprising: a first word line above a semiconductor substrate, the first word line has a first length in a first direction; a second word line above the semiconductor substrate, the second word line has a second length in the first direction, wherein the second length is equal to the first length; a first intermetal dielectric over the first word line; a first memory film, contacting the first word line and the first intermetal dielectric; A first oxide semiconductor layer is above the first memory film, and the first oxide semiconductor layer is in contact with a source line and a bit line; a first conductive contact extending through the first IMD and electrically coupled to the first word line; a second conductive contact electrically coupled to the second word line; and a first wire extending over the first intermetal dielectric and electrically coupling the first conductive contact to the second conductive contact, wherein the first wire is in a second direction perpendicular to the first direction extend in the direction. 如請求項8所述之裝置,其中在垂直於該半導體基板的一主表面的一第三方向上,在該第一字元線和該半導體基板之間的一第一距離等於在該第二字元線和該半導體基板之間的一第二距離。The device according to claim 8, wherein in a third direction perpendicular to a main surface of the semiconductor substrate, a first distance between the first word line and the semiconductor substrate is equal to that of the second word line A second distance between the element line and the semiconductor substrate. 如請求項8所述之裝置,其中該金屬間介電質在截面圖上具有階梯狀結構。The device as claimed in claim 8, wherein the intermetallic dielectric has a stepped structure in a cross-sectional view. 如請求項8所述之裝置,進一步包括: 一第二記憶體薄膜,接觸該第二字元線; 一第二氧化物半導體層在該第二記憶體薄膜上方,該第二氧化物半導體層接觸該源極線和該位元線;以及 一第一介電材料,分離該第一氧化物半導體層和該第二氧化物半導體層。 The device as described in claim 8, further comprising: a second memory film contacting the second word line; a second oxide semiconductor layer on the second memory film, the second oxide semiconductor layer contacts the source line and the bit line; and A first dielectric material separates the first oxide semiconductor layer from the second oxide semiconductor layer. 如請求項11所述之裝置,進一步包括: 一第二金屬間介電質在該第二字元線上方,其中該第二記憶體薄膜接觸該第二金屬間介電質;以及 一第二介電材料,分離該第一金屬間介電質和該第二金屬間介電質,該第二介電材料包括不同於該第一介電材料的材料。 The device as described in claim 11, further comprising: a second IMD over the second word line, wherein the second memory film contacts the second IMD; and A second dielectric material separates the first IMD from the second IMD, the second dielectric material comprising a material different from the first dielectric material. 如請求項8所述之裝置,進一步包括: 一第三字元線在該半導體基板上方,該第三字元線在該第一方向上具有一第三長度,其中該第三長度不同於該第一長度和該第二長度; 一第三導電接觸,電性耦接至該第一字元線; 一第四導電接觸,電性耦接至第三字元線;以及 一第二導線,將該第三導電接觸電性耦接至該第四導電接觸,其中該第二導線在該第一方向上延伸。 The device as described in claim 8, further comprising: a third word line above the semiconductor substrate, the third word line has a third length in the first direction, wherein the third length is different from the first length and the second length; a third conductive contact electrically coupled to the first word line; a fourth conductive contact electrically coupled to the third word line; and A second wire electrically couples the third conductive contact to the fourth conductive contact, wherein the second wire extends in the first direction. 如請求項13所述之裝置,其中在該第一方向上,該第一氧化物半導體層在該第一導電接觸和該第三導電接觸之間。The device according to claim 13, wherein in the first direction, the first oxide semiconductor layer is between the first conductive contact and the third conductive contact. 一種方法,包括: 沉積一多層堆疊在一半導體基板上方,該多層堆疊包括交替的一第一材料和一第二材料; 圖案化該多層堆疊,使得該多層堆疊在截面圖中包括一階梯狀結構; 形成一金屬間介電質在該多層堆疊的該階梯狀結構上方; 形成複數個字元線在該多層堆疊中; 沉積一記憶體薄膜在該多層堆疊中且相鄰於該些字元線; 沉積一氧化物半導體在該記憶體薄膜層上方; 蝕刻該金屬間介電質,以形成暴露該些字元線之中的一第一字元線的一第一開口和暴露該些字元線之中的一第二字元線的一第二開口,其中該第一開口延伸至一第一深度,以及其中該第二開口延伸至不同於該第一深度的一第二深度; 形成一第一導電接觸和一第二導電接觸,該第一導電接觸在該第一開口中並且電性耦接至該第一字元線,該第二導電接觸在該第二開口中並且電性耦接至該第二字元線;以及 形成一第一導線在該金屬間介電質、該第一導電接觸和該第二導電接觸上方,其中該第一導線將該第一導電接觸電性耦接至該第二導電接觸。 A method comprising: depositing a multilayer stack over a semiconductor substrate, the multilayer stack including alternating a first material and a second material; patterning the multilayer stack such that the multilayer stack includes a stepped structure in cross-sectional view; forming an intermetal dielectric over the stepped structure of the multilayer stack; forming a plurality of word lines in the multilayer stack; depositing a memory film in the multilayer stack adjacent to the word lines; Depositing an oxide semiconductor on the memory film layer; Etching the IMD to form a first opening exposing a first word line among the word lines and a second opening exposing a second word line among the word lines openings, wherein the first opening extends to a first depth, and wherein the second opening extends to a second depth different from the first depth; forming a first conductive contact in the first opening and electrically coupled to the first word line, and a second conductive contact in the second opening and electrically sexually coupled to the second word line; and A first conductive line is formed over the IMD, the first conductive contact and the second conductive contact, wherein the first conductive line electrically couples the first conductive contact to the second conductive contact. 如請求項15所述之方法,其中該第一導線、該第一字元線和該第二字元線在一第一方向上延伸。The method of claim 15, wherein the first conductive line, the first word line and the second word line extend in a first direction. 如請求項15所述之方法,進一步包括: 蝕刻該金屬間介電質,以形成暴露一第一字元線的一第三開口和暴露該些字元線之中的一第三字元線的一第四開口,其中該第三開口和該第四開口延伸至該第一深度; 形成一第三導電接觸和一第四導電接觸,該第三導電接觸在該第三開口中並且電性耦接至該第一字元線,該第四導電接觸在該第四開口中並且電性耦接至該第三字元線;以及 形成一第二導線在該金屬間介電質、該第三導電接觸和該第四導電接觸上方,其中該第二導線將該第三導電接觸電性耦接至該第四導電接觸。 The method as described in claim 15, further comprising: Etching the IMD to form a third opening exposing a first word line and a fourth opening exposing a third word line among the word lines, wherein the third opening and the fourth opening extends to the first depth; forming a third conductive contact and a fourth conductive contact, the third conductive contact is in the third opening and is electrically coupled to the first word line, the fourth conductive contact is in the fourth opening and is electrically coupled sexually coupled to the third word line; and A second conductive line is formed over the IMD, the third conductive contact and the fourth conductive contact, wherein the second conductive line electrically couples the third conductive contact to the fourth conductive contact. 如請求項17所述之方法,其中該第一字元線和該第二字元線在一第一方向上延伸,以及其中該第二導線在垂直於該第一方向的一第二方向上延伸。The method of claim 17, wherein the first word line and the second word line extend in a first direction, and wherein the second conductive line extends in a second direction perpendicular to the first direction extend. 如請求項15所述之方法,其中該第一材料包括介電材料,其中該第二材料包括導電材料,以及其中形成該多層堆疊中的該些字元線包括圖案化該多層堆疊以分離由該第二材料形成的相鄰的該些字元線。The method of claim 15, wherein the first material comprises a dielectric material, wherein the second material comprises a conductive material, and wherein forming the wordlines in the multilayer stack includes patterning the multilayer stack to separate The adjacent word lines formed by the second material. 如請求項15所述之方法,其中該第一材料包括氧化物,其中該第二材料包括氮化物,其中形成該多層堆疊中的該些字元線包括圖案化該多層堆疊以及由導電材料取代該第二材料。The method of claim 15, wherein the first material comprises oxide, wherein the second material comprises nitride, and wherein forming the word lines in the multilayer stack includes patterning the multilayer stack and replacing with a conductive material the second material.
TW110136110A 2021-06-17 2021-09-28 Memory array, method of forming the same, and memory device TWI808499B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163211765P 2021-06-17 2021-06-17
US63/211,765 2021-06-17
US17/397,414 US11657863B2 (en) 2021-06-17 2021-08-09 Memory array test structure and method of forming the same
US17/397,414 2021-08-09

Publications (2)

Publication Number Publication Date
TW202301632A true TW202301632A (en) 2023-01-01
TWI808499B TWI808499B (en) 2023-07-11

Family

ID=83698255

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110136110A TWI808499B (en) 2021-06-17 2021-09-28 Memory array, method of forming the same, and memory device

Country Status (5)

Country Link
US (2) US11657863B2 (en)
KR (1) KR20220168959A (en)
CN (1) CN115249715A (en)
DE (1) DE102022100089A1 (en)
TW (1) TWI808499B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9490054B2 (en) * 2012-10-11 2016-11-08 Headway Technologies, Inc. Seed layer for multilayer magnetic materials
US11910617B2 (en) 2020-05-28 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric memory device and method of forming the same

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7170804B2 (en) * 2005-04-05 2007-01-30 Infineon Technologies Ag Test mode for detecting a floating word line
US9698151B2 (en) 2015-10-08 2017-07-04 Samsung Electronics Co., Ltd. Vertical memory devices
US10784278B2 (en) 2018-07-30 2020-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and manufacturing method thereof
WO2020098549A1 (en) * 2018-11-14 2020-05-22 Changxin Memory Technologies, Inc. Word line control method, word line control circuit device and semiconductor memory
KR20200074304A (en) 2018-12-14 2020-06-25 삼성전자주식회사 Three-dimensional semiconductor devices
KR20200114285A (en) 2019-03-28 2020-10-07 에스케이하이닉스 주식회사 Semiconductor memory device
KR20210054373A (en) 2019-11-05 2021-05-13 에스케이하이닉스 주식회사 Semiconductor memory device
US11600520B2 (en) * 2020-06-26 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Air gaps in memory array structures
KR20220020710A (en) * 2020-08-12 2022-02-21 에스케이하이닉스 주식회사 Test circuit, memory device, storage device and operating method thereof
KR20220033696A (en) * 2020-09-10 2022-03-17 에스케이하이닉스 주식회사 Test circuit and semiconductor memory system including the same
CN114373861A (en) * 2020-10-15 2022-04-19 联华电子股份有限公司 Semiconductor device and method of forming the same
KR20220085881A (en) * 2020-12-15 2022-06-23 삼성전자주식회사 Memory device
CN112767989A (en) * 2021-01-06 2021-05-07 波平方科技(杭州)有限公司 Novel memory test structure
US20220335994A1 (en) * 2021-04-16 2022-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Far End Driver for Memory Clock
CN117555459A (en) 2023-11-24 2024-02-13 Oppo广东移动通信有限公司 Application group processing method and device, storage medium and electronic equipment

Also Published As

Publication number Publication date
KR20220168959A (en) 2022-12-26
US11657863B2 (en) 2023-05-23
US11935624B2 (en) 2024-03-19
US20220406350A1 (en) 2022-12-22
DE102022100089A1 (en) 2022-12-22
TWI808499B (en) 2023-07-11
CN115249715A (en) 2022-10-28
US20230253022A1 (en) 2023-08-10

Similar Documents

Publication Publication Date Title
US11776602B2 (en) Memory array staircase structure
KR102558611B1 (en) Memory array contact structures
US11640974B2 (en) Memory array isolation structures
US11935624B2 (en) Memory array test structure and method of forming the same
CN113488482B (en) Memory array and forming method thereof
TWI776411B (en) Memory cell, semiconductor device and manufacturing method thereof
US20220358984A1 (en) Memory Array Including Dummy Regions
US11856785B2 (en) Memory array and methods of forming same
US20230309315A1 (en) Three-Dimensional Memory Device and Method
CN113517301A (en) Memory array device and method of forming the same
US20230008998A1 (en) Three-Dimensional Memory Device and Method
US20230063038A1 (en) Memory Device and Method of Forming Thereof
US11985825B2 (en) 3D memory array contact structures