TW202243066A - 用於具有直接接合之微電子總成之載體 - Google Patents

用於具有直接接合之微電子總成之載體 Download PDF

Info

Publication number
TW202243066A
TW202243066A TW110135428A TW110135428A TW202243066A TW 202243066 A TW202243066 A TW 202243066A TW 110135428 A TW110135428 A TW 110135428A TW 110135428 A TW110135428 A TW 110135428A TW 202243066 A TW202243066 A TW 202243066A
Authority
TW
Taiwan
Prior art keywords
carrier
textured
assembly
microelectronic
carrier assembly
Prior art date
Application number
TW110135428A
Other languages
English (en)
Inventor
麥可 J 貝克
蕭娜 M 里夫
王心薇
艾伯特 S 洛佩茲
Original Assignee
美商英特爾公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾公司 filed Critical 美商英特爾公司
Publication of TW202243066A publication Critical patent/TW202243066A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/13Mountings, e.g. non-detachable insulating substrates characterised by the shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67144Apparatus for mounting on conductive members, e.g. leadframes or conductors on insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49805Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers the leads being also applied on the sidewalls or the bottom of the substrate, e.g. leadless packages for surface mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68318Auxiliary support including means facilitating the separation of a device or wafer from the auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68318Auxiliary support including means facilitating the separation of a device or wafer from the auxiliary support
    • H01L2221/68322Auxiliary support including means facilitating the selective separation of some of a plurality of devices from the auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68354Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support diced chips prior to mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08151Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/08221Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/08225Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/3205Shape
    • H01L2224/32057Shape in side view
    • H01L2224/32059Shape in side view comprising protrusions or indentations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/95001Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips involving a temporary auxiliary member not forming part of the bonding apparatus, e.g. removable or sacrificial coating, film or substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Elimination Of Static Electricity (AREA)

Abstract

本文所說明者為載體總成,以及相關裝置及方法。在一些實施例中,一載體總成包括一載體;一紋理化材料,其包括耦接至該載體的紋理化微結構;以及機械式耦接至該等紋理化微結構的微電子組件。在一些實施例中,一載體總成包括一載體,其具有一前側及一背側;在該載體之該前側上的一電極;在該電極上的一介電材料;在該背側上的一充電接點,其耦接至該電極;以及微電子組件,其靜電式耦接至該載體的該前側。在一些實施例中,一載體總成包括一載體,其具有一前側及一背側;在該前側上之電極;在該等電極上包括紋理化微結構的一介電材料;在該背側上的充電接點,其耦接至該等複數個電極;以及微電子組件,其機械式及靜電式耦接至該載體的該前側。

Description

用於具有直接接合之微電子總成之載體
本發明係有關於用於具有直接接合之微電子總成之載體。
積體電路裝置的製造及組裝通常包括使用真空噴嘴為基的載體系統,用以轉移及放置晶粒。
本發明的一個態樣中揭示一種載體總成,其包含:一載體;一紋理化材料,其耦接至該載體且包括紋理化微結構;以及複數個微電子組件,其機械式及可移除地耦接至該等紋理化微結構。
微電子組件載體總成、以及相關系統及方法係揭露於本文中。在一些實施例中,一載體總成包括一載體;一紋理化材料,其耦接至該載體且包括紋理化微結構;以及複數個微電子組件,其等機械地及可移除地耦接至該等紋理化微結構。在一些實施例中,一載體總成包括一載體,其具有一前側及相對的一背側;在該載體之該前側上的一電極;在該電極及該載體上的一高電容率介電材料;在電氣耦接至該等電極之該載體之該背側上的一充電接點;以及複數個微電子組件,其靜電式耦接至該載體的該前側。在一些實施例中,一載體總成包括一載體,其具有一前側及相對的一背側;在該載體之該前側上的複數個電極;在該等複數個電極及該載體上的一高電容率介電材料,其中該高電容率介電材料包括紋理化微結構;在該載體之該背側上的複數個充電接點,其耦接至該等複數個電極;以及複數個微電子組件,其機械及靜電式耦接至該載體的該前側。
以一較低成本之較高效能IC裝置的要求是需要更精確且更高貫徹的製造。特別是,具有直接接合的IC裝置一般需要微電子組件在沒有粒子產生或電氣靜態事件的情況下精確地傳輸及放置。有效利用晶粒至晶圓直接接合之優點為縮小互連節距且驅動較緊密置放準確度,其從而又在製造程序中驅動更高的精確及清潔度。晶粒至晶圓直接接合需要一高清潔度等級,而有最小的粒子產生(例如,ISO 3之ISO淨室分類或者更佳,且每晶圓處理增加少於十個粒子,其有比節距更小大於一百倍的一顆粒直徑)。晶粒預製及單粒化是一個特別髒污的程序(例如,經常發生在大於一ISO 6淨室分類的潔淨室中,且每晶圓處理產生數千以上個粒子,其有大於兩百奈米的一顆粒直徑,以使得ISO 3等級清潔度係無法在沒有額外清潔步驟及/或使用保護層的情況下達成,其需要濕式或乾式化學蝕刻。習知載體方法及技術不能夠滿足這些關鍵晶圓級處理要求,在多個直接接合製造程序之間不可轉移,且不能夠滿足高吞吐量標準(例如,在小於或等於200奈米之置放準確度下每小時大於3000個晶粒置放)。此外,由於主要表面力,用傳統真空噴嘴為基之系統處理及放置尺寸作成小於200微米之一晶粒是不切實際的。當前晶粒饋送方法,諸如膠帶及帶捲,由於晶粒摩擦而產生大量粒子,且當前晶粒拾取技術經常使用針器來退出晶粒,其拉伸膠帶且冒著裂化一薄晶粒的風險且減低工具運行率。替代地在有光阻為基或熱黏著劑的晶圓載體上饋送晶粒,係冒著晶粒偏移的風險且需要後續清潔步驟來在後續處理之前移除該黏著劑,其減低運行率及生產率。因此,習知載體方法及技術已變為吞吐量限制及產率為基之缺陷的一巨大來源。例如,切割膠帶及膠帶環框之傳統使用需要用於晶粒拾取之針器退出及膠帶之拉伸。針器退出釋放限制了膠帶上的晶粒時間,且膠帶可再用性通常受限於幾個拉伸及拾取。運行率係由於針器退出時間而減少,且晶粒裂化或破裂係更加可能在晶粒非常薄時。在另一範例中,膠帶及帶捲的傳統使用可提供晶粒置放的優異的運行率增強,但膠帶通常產生粒子殘留物,此可汙染一晶粒。雖然膠帶及帶捲對於依訂構建坐滞時間解決方案係表現良好,但其對於緊固帶捲中之超薄晶粒係不能表現良好。此外,關於膠帶及帶捲,存在一離袋晶粒黏附至覆蓋帶的風險或者由於帶捲袋中之晶粒翹曲所致不良拾取能力,並且,若晶粒大且帶捲之半徑太小,則在將膠帶捲繞帶捲時存在晶粒裂化或破裂的風險。在一另外範例中,於載體上使用一熱黏著劑或光阻係不允許直接接合,僅有集合式接合,因為晶粒的一側將具有來自熱黏著劑或光阻之殘留物。當一載體上重建單粒化晶粒時,存在一光阻熱乾掉的風險,且一光阻需要晶粒置放設備中的特殊照明而不會負面地影響材料。在置放及集合式接合上更進一步存在晶粒滑移的風險,尤其是若需要些微的熱來允許可壓縮性來調適各種晶片厚度容差。並且,使用一熱黏著劑或光阻需要額外的處理,其中,在集合式接合之後,需要一熱或溶劑釋放及後續清潔步驟以消除該熱黏著劑或光阻。可能期待一較清潔及更可彈性的技術,以在允許個別地及集合地直接接合的晶圓級處理期間,處置及置放一晶粒。
在以下詳細說明中,參考形成說明之一部分的隨附圖式,其中全文類似數字指定類似部件,且其中以例示之方式顯示可實踐之實施例。要瞭解的是,可利用其他實施例並且可作出結構上或邏輯上變化而不會脫離本揭露內容之範圍。因此,以下詳細說明不應被視為具限制意義。
各種操作可用最有助於理解所請求標的之方式,說明成依序進行之多個分立的動作或操作。但是,說明之順序不應被解釋為暗示這些操作必須依照順序。特定而言,可不按所呈順序進行這些操作。所說明之操作可用與所說明之實施例不同之順序來操作。在額外實施例中,各種額外操作可被執行及/或所說明之操作可被省略。
就本揭露內容之目的,短語「A及/或B」表示(A)、(B)、或(A和B)。就本揭露內容之目的,短語「A、B、及/或C」表示(A)、(B)、(C)、(A和B)、(A和C)、(B和C)、或(A、B、和C)。圖式不必然按照比例。雖然許多圖式例示具有平坦壁及直角轉角之直線結構,但此單純是為了易於例示,且使用這些技術所製成之真正裝置將可展現圓形轉角、表面粗糙度及其他特徵。
說明使用短語「在一實施例中」或「在實施例中」,其各自可指一或多個相同或不同的實施例。此外,用語「包含」、「包括」、「具有」及其類似者,如就本揭露內容之實施例所使用,係為同義的。當用於說明一尺寸範圍時,短語「在X與Y之間」表示包括X與Y的一範圍。用語「頂部」、「底部」等可在本文中用來解釋圖式之各種特徵,但這些用語單純是為了易於論述而不是暗示一所欲或所需定向。雖然某些元件在此可用單數形表示,但是該等元件可包括多個子元件。舉例而言,「一介電材料」可包括一或多個介電材料。於本文使用時,一「傳導接點」可指作為不同組件間之一電氣介面之傳導材料(例如,金屬)的一部分;傳導接點凹入於組件之一表面中、與該表面齊平,或延伸遠離該表面,且可採取任何合適形式(例如,一傳導墊或插座,或一傳導線路或通孔之一部分)。為了易於論述,圖3A-3E之圖式在本文中可被稱為「圖3」,且圖4A-4E之圖式在本文中可被稱為「圖4」等。
圖1A及1B為根據各種實施例之一範例紋理化載體總成的立體圖。如圖1A中所示,一紋理化載體總成200可包括一紋理化載體201,其包括一載體107及具有紋理化微結構209的一紋理化材料205、及經由該等紋理化微結構209機械式耦接至該紋理化載體201的複數個微電子組件102。如圖1B中所示,一紋理化載體總成200可包括一紋理化載體201,其包括一載體107及具有紋理化微結構209的一紋理化材料205、及經由該等紋理化微結構209機械式耦接至該紋理化載體201的一微電子組件103。圖1C及1D分別為圖1A及1B之範例紋理化載體總成200的側截面圖。載體107可包括任何合適的大小及形狀,例如,載體107可為如圖1中所示之圓形,或可為矩形、或三角形等。載體107可包括任何合適材料,且在一些實施例中,可包括矽(例如,一矽晶圓)、玻璃(例如,一玻璃面板)或其他半導體材料。載體107可與300毫米SEMI標準相容。紋理化材料205可由任何合適材料製成。在一些實施例中,紋理化材料205及紋理化微結構209可直接形成在載體107上。在一些實施例中,紋理化材料205及紋理化微結構209之材料可為一相同材料。在一些實施例中,紋理化材料205可在載體107上圖案化,以使得紋理化材料205可為不連續的(例如,載體107可具有包括紋理化材料205的一第一區域及不包括紋理化材料205的一第二區域)。在一些實施例中,紋理化微結構209可在紋理化材料205上被圖案化,以使得紋理化微結構209在紋理化材料205上可為不連續的(例如,紋理化材料205可具有包括紋理化微結構209的一第一區域及不包括紋理化微結構209的一第二區域)。在一些實施例中,紋理化材料205可包括具有紋理化微結構209之一乾黏合劑材料。在一些實施例中,一乾黏著劑材料當施加為平坦化材料層時可能不包括黏性或黏著性質,但當其包括紋理化微結構材料時可能包括黏性或黏著性質,其進一步可使能在剪切及垂直方向上有可調諧的黏附性。乾黏著劑材料可被壓印、模製、微影圖案化或層積於載體107上。乾黏著劑材料可包括一彈性體、一橡膠、一胺基甲酸乙酯(urethan)、一胺酯共聚物(urethane copolymer)、一丙烯酸酯、一丙烯酸酯共聚物、一矽、一矽共聚物,及其等之組合。在一些實施例中,乾黏著劑材料可基於其具有極少除氣之材料性質來選擇,例如,含有聚四氟乙烯(PFE)、山都平(santoprene)、氯丁二烯(chloroprene)、波龍(poron)、或與半導體製造潔淨室相容的氟彈性體。在一些實施例中,紋理化材料205可包括經啟動以產生紋理化微結構209之可致動材料,例如具一光或熱啟動成孔劑之彈性體可被有效利用,或者形狀記憶聚合物複合材料可被有效利用。可致動材料可經啟動以在暴露於紫外線輻射、增加溫度(例如,熱)及紅外光中之一或多者時產生紋理化微結構209。可致動材料可耦接至載體107作為一固態或圖案化、多層塗層或一壓力敏感膜,且可在耦接至載體107之後啟動。在一些實施例中,微電子組件102、103可在啟動之前附接至可致動材料,並且一經啟動,可致動材料可發展出紋理化微結構209,其促進將微電子組件102、103從紋理化載體201分離或釋放,如下文參看圖7所說明。可致動材料可能為一單一使用材料,以使得一旦該可致動材料被用來附接以及分離一微電子組件102、103,該可致動材料則從該載體107移開,並且一新的(例如,未使用)尚待致動之材料係耦接至該載體107。在一些實施例中,紋理化材料205可包括可提供結構剛性或剛度之一基底材料(例如,一第一材料)及可提供彈性之一塗層或頂壁材料(例如,一第二材料)。
紋理化微結構209可具有任何所欲形狀及尺寸。在一些實施例中,紋理化微結構209可具有在100奈米與150微米之間的厚度(例如,z高度)。紋理化微結構209可被形成以最佳化具該等結構之微電子組件102、103之附接及分離特性。舉例而言,一紋理化微結構209的一高寬比可調諧以致能彈性變形並避免塑性變形。在另一範例中,紋理化微結構209及紋理化材料205可針對黏附及阻性被調諧,以使得微電子組件102、103不可能在旋轉時的剪力下或在翻轉時的重力下釋放。圖2A-2J之紋理化微結構209係根據各種實施例之範例紋理化微結構209的示意圖。在圖2A之實施例中,紋理化微結構209可為具有圓形覆蓋區的一柱。柱形的紋理化微結構209可具有任何所欲的蓋體形狀(例如,接觸表面)。舉例而言,在圖2B之實施例中,柱具有一V形蓋體或一平坦蓋體,以形成一T形微結構,在圖2C之實施例中,柱具有用於蓋體之一吸杯,且在圖2D之實施例中,柱具有一傾斜或不對稱蓋體。紋理化微結構209可具有任何所欲覆蓋區。舉例而言,紋理化微結構209可具有一圓形、卵形或矩形的覆蓋區,並且如圖2E之實施例所示,可進一步具有一十字形、一環形、一三角形形狀、或一矩形的覆蓋區。在一些實施例中,紋理化微結構209可包括一外部部分(例如一周邊壁或框架)及一內部部分,其中該內部部分可為打開的(例如,沒有紋理化材料)及/或可進一步包括額外個別的紋理化微結構209,諸如柱。在圖2F中,紋理化微結構209包括一圓頂形蓋體(例如,在相似於章魚之吸盤的一杯內之球體)。在圖2B~2F之實施例中,紋理化微結構209可包括一具有任何所欲厚度尺寸之柱,或者可以不包括一柱且可僅包括蓋體。圖2G及2H展示具有一半圓形狀及一球體形狀之紋理化微結構209。在圖2I之實施例中,紋理化微結構209係鋸齒形或波形線路,且雖然圖2I展示非相交的線路,但在一些實施例中,該等線路可相交。在一些實施例中,線路可具有其他幾何形狀,諸如線性及/或斷續式(例如,虛線)。紋理化微結構209可以任何所欲方式布置,包括對稱、不對稱、緻密組、矩形陣列、三角形陣列或面為中心之立方體陣列,如下文參看圖6所說明。紋理化材料205之紋理化微結構209可包括這些及其他覆蓋區形狀、大小及布置(例如,六角形陣列、八角形覆蓋區等)的任何合適組合。在圖2J之實施例中,其為展示紋理化微結構209之一布置之的範例性覆蓋區,紋理化微結構209可進一步包括一周邊結構,如矩形框架所描繪,及/或可進一步包括一或多個內部框架化結構,如三角形框架所描繪者,以使得個別的紋理化結構209中之一或多者係包括在周邊結構及/或一或多個內部框架化結構內。雖然圖2J展示具有特定大小、形狀及布置的周邊結構及內部框架化結構,然而周邊結構及內部框架化結構可具有任何合適的大小、形狀及布置。舉例而言,周邊結構可為圓形,且內部框架化結構可為矩形。在一些實施例中,內部框架化結構可具有一相同尺寸及一相同覆蓋區,如同一微電子組件102。在一些實施例中,周邊結構及/或一或多個框架化結構可為不連續的,以使得個別的紋理化微結構並非完全地包封。在一些實施例中,周邊結構可界劃出在載體上包括紋理化微結構209之一第一區域(例如,在周邊結構內)以及在載體上不包括紋理化微結構209之一第二區域(例如,在可包括基準點及其他識別符之該周邊結構外部)。
圖3A-3E為根據各種實施例之使用一紋理化載體之一範例微電子組件組裝程序的各種階段的側截面圖。圖3之程序可以在室溫下進行。圖3A例示一紋理化載體201,其包括具安裝在一載體107上之紋理化微結構209的一紋理化材料205。圖3B例示在取放頭115提供微電子組件102於紋理化微結構209之表面上(例如,載體係重組有晶粒)之後的一總成。如圖3B中所示,微電子組件102可包括在一頂部表面上之一保護材料113。取放頭115可包括真空拾取、靜電拾取或乾黏著劑拾取,且可不包括膠帶拉伸及針器退出。為了降低或消除在拾取一微電子組件102時的一靜態放電事件,取放頭115及/或紋理化材料205可進一步包括具有在1x10 6與1x10 10歐姆厘米之間的電阻率的一靜電耗散材料。舉例而言,靜電耗散材料可包括傳導粒子或一傳導層可沉積在紋理化材料205與載體107之間。圖3C例示在經由在紋理化材料205上之紋理化微結構209將微電子組件102機械式耦接至載體107之後的一總成。微電子組件102可藉由預載、壓縮及/或彈性變形該紋理化微結構209及調諧微電子組件102及紋理化微結構209之表面能量來機械式耦接。將微電子組件102機械式耦接至載體107之後,微電子組件102可經歷額外的處理,諸如經由熱、電漿或紫外線輻射、乾式反應性蝕刻、及/或濕式蝕刻、或溶劑溶解117之保護材料113的移除。圖3D例示保護材料113自微電子組件102移除之後的一總成。圖3E例示藉由機械變形及/或藉由取放頭115克服黏著劑力,而將微電子組件102從紋理化微結構209分離之後的一總成(例如圖1之一紋理化載體總成200)。微電子組件102可經移除且可轉移至另一目的地晶圓以供直接接合或進一步處理。於本文使用時,目的地晶圓、目標晶圓及目的地側可互換地使用。
圖4A-4E為根據各種實施例之使用一紋理化載體之一範例微電子組件組裝程序的各種階段的側截面圖。圖4之程序可以在室溫下進行。圖4A例示一總成(例如,圖1之一紋理化載體總成200),其包括具有一紋理化材料205的一紋理化載體201,其具安裝在一載體107上的紋理化微結構209,以及經由該紋理化微結構209機械式耦接至該紋理化載體201的微電子組件102(例如,紋理化載體201係重建有晶粒)。在一些實施例中,紋理化載體201可經由一取放頭而重建有微電子組件102。在一些實施例中,紋理化載體201可重建有微電子組件102,其藉由附接至一暫態載體上之一陣列或複數個微電子組件102以及將微電子組件102之陣列從該暫時載體分離,只要個別微電子組件102對紋理化載體201之黏著能量係大於個別微電子組件102對臨時載體之黏著能量。圖4B例示在翻轉圖4A之總成並使其與包括IC裝置111之一目的地晶圓109對準之後的一總成。圖4A之總成可對準至目的地晶圓109,例如,使用載體107上之基準點。圖4C例示使微電子組件102與目的地晶圓109上之IC裝置111配接之後的一總成。在一些實施例中,該等微電子組件102進一步可經由直接接合被耦接到IC裝置111。圖4D例示在位移紋理化載體201(例如,向下朝向目的地晶圓109)以彈性地變形紋理化微結構209並釋放微電子組件102之後的一總成。紋理化微結構209及/或紋理化材料205可具有彈性性質,以使得微電子組件102之厚度的變化可被調適。在一些實施例中,只要微電子組件102對目的地晶圓109上之IC裝置111的黏著能量大於微電子組件102對紋理化載體201的黏著強度,紋理化微結構209及/或紋理化材料205可實現微電子組件102之清潔釋放(例如,不留下殘留物)。圖4E例示自紋理化載體201分離微電子組件102之後的一總成。
圖5A及5B為根據各種實施例之使用一紋理化載體之一範例微電子組件單粒化程序的側截面圖。圖5A及5B展示紋理化微結構209能夠承受單粒化程序(例如,刀片或電漿切割)。圖5A例示在一微電子組件103總成之刀片切割(例如,單粒化)期間的一紋理化載體總成200,其中紋理化微結構209A被該切割刀片515彎折或移動。圖5B例示圖5A之總成,其在一微電子組件102從該微電子組件103單粒化之後,其中紋理化微結構209B在切割程序之後回復至其原始結構,且未經歷有害的變形或分層。在一些實施例中,紋理化微結構209可能能夠耐受額外處理,例如包括濕式溶劑、鹼或乾式電漿蝕刻之清潔程序、暴露於紫外線輻射、熱暴露、旋乾及電漿啟動(例如,直接接合程序)。
圖6A-6C為根據各種實施例之一紋理化載體之紋理化微結構的範例布置。紋理化微結構209可具有任何合適的布置及密度。圖6A例示包括一紋理化材料205及布置呈一陣列或柵格615A之紋理化微結構209的一紋理化載體201。圖6B例示包括一紋理化材料205及布置呈一六角形陣列615B之紋理化微結構209的一紋理化載體201。圖6C例示包括一紋理化材料205及布置呈一以面為中心之立方體陣列615C之紋理化微結構209的一紋理化載體201。如上文參看圖1所說明,紋理化材料205及/或紋理化微結構209可經圖案化。
圖7A-7C為根據各種實施例之包括一可致動材料以生成或進一步突出紋理化結構之範例紋理化載體總成的側截面圖。圖7之程序可以在室溫下進行。圖7A例示一紋理化載體總成200,其包括具有安裝在一載體107上之一可致動材料205及一結構啟動導管材料207之紋理化載體201,以及經由該可致動材料205機械式耦接至該紋理化載體201之微電子組件102(例如,在啟動之前,該紋理化載體201係重建有晶粒,但可致動材料205係可大程度上非紋理化)。該結構啟動導管材料207可包括有助於可致動材料205之啟動及形狀改變的任何合適材料。舉例而言,當啟動係經由紫外線曝露119發生時,可致動材料205可包括一紫外線吸收材料,並且當啟動係經由熱或紅外線曝露119發生時,可致動材料205可包括一紅外光吸收或一光至熱轉換材料,諸如具有碳黑或金屬添加之一聚合材料,以及透過成孔劑或發泡劑之熵恢復或啟動或者其他相似機制來致使形狀改變的氧化物結構。當經由熱暴露發生啟動時,一啟動溫度應大於先前程序之溫度。圖7B例示在將載體107之底部表面暴露於紫外線輻射及/或紅外光及/或熱暴露以啟動通過結構啟動導管材料207之可致動材料205之後的總成。圖7C例示在啟動並形成紋理化微結構209之後的圖7B之後的總成,其改變對微電子組件102之接觸區域並使能釋放微電子組件102,該微電子組件可經由一取放頭(未示出)從該紋理化載體201移開,如上文參看圖3所說明,或者紋理化載體201可在啟動之後被移開,如圖4E所示。
圖8A-8B為根據各種實施例之範例靜電載體總成的側截面圖。如圖8A所示,一靜電載體總成300可包括一靜電載體301,其包括一載體107、保持靜電電荷的一高電容率介電材料305、及複數個電極309;以及靜電式耦接311至靜電載體301的複數個微電子組件102。如圖8A所示,一靜電載體總成300可包括一靜電載體301,其包括一載體107、一高電容率介電材料305、及複數個電極309;以及靜電式耦接311至靜電載體301的一微電子組件103。載體107可包括任何合適材料,且在一些實施例中,可包括矽(例如,一矽晶圓)、玻璃(例如,一玻璃面板)、二氧化矽、氮化矽碳、氮化矽、氮氧化矽或其他半導體介電材料(例如,聚醯亞胺、ABF、環氧樹脂構建材料、印刷電路板(PCB)材料)。載體107可與300毫米SEMI標準相容。高電容率介電材料305可由任何合適介電材料製成,其能夠在以高介電質失效強度處理(例如,具有高介電電容率)期間保持電荷、其可易受極化、且其可存活於下游處理之熱、電漿及濕式與乾式蝕刻條件。在一些實施例中,高電容率介電材料305可包括與玻璃處理溫度相容之一介電質(例如,與一玻璃載體相容)。舉例而言,在一些實施例中,高電容率介電材料305可包括聚醯亞胺、聚乙烯、聚丙烯、聚苯乙烯、特氟隆(PTFE)、或其他共軛聚合物。在一些實施例中,高電容率介電材料305可包括諸如鈦及氧(例如,呈氧化鈦形式)之金屬氧化物、或諸如鍶及鈦(例如,呈鈦酸鍶之形式)之壓電材料、鋇及鍶及鈦(例如,呈鈦酸鋇鍶之形式)、鋇及鈦(例如,呈鈦酸鋇之形式)或鉿及氧(例如,呈氧化鉿之形式),還有其他。在一些實施例中,高電容率介電材料305可包括與傳統半導體處理材料(例如,與一矽載體相容)相容的一介電質,諸如化學氣相沉積介電質。在一些實施例中,高電容率介電材料305可包括具不同高電容率介電材料之多個層。靜電載體總成300可經由通過靜電載體301到耦接至充電器307的充電接點(例如,圖11之充電接點317)(未示出)的傳導路徑313被充電。傳導路徑313可包括任何合適結構。在一些實施例中,傳導路徑313包括自電極309延伸至載體107之背側的穿載體通孔(例如,穿基體通孔(TSV))。在一些實施例中,傳導路徑313可進一步包括穿過載體107上之重布層(RDL)(未示出)的安排路由,其可耦接兩個或更多的共同電極以使能夠全域或局部電極充電(例如,集合或個別的電極充電)。在一些實施例中,RDL可安置於載體107之背側上。在一些實施例中,RDL可被安置在載體107的背側與電極309之間。在一些實施例中,傳導路徑313可安排路由從電極309通過高電容率介電材料305到一前側(例如,微電子組件102、103耦接側)(未示出),且可進一步安排路由以使得充電接點係沿著靜電載體301(未示出)之外緣定位。傳導路徑313可包括任何合適傳導材料,諸如一金屬。微電子組件102、103上之靜電吸引力可由庫倫定律式F=(Ԑ 0Ԑ rAU 2)/(8d 2)定義,其中F為鉗夾力,Ԑ 0為自由空間之電容率,Ԑ r為電極309上之高電容率介電材料305的介電常數,d為電極309上面之高電容率介電材料305的厚度,A為電極面積,且U為所施加電壓。為了使靜電保持力311最大化,厚度d係經最小化且電極面積A係經最大化。為了進一步最大化靜電保持力311,正及負充電面積應接近相等。為了又進一步最大化靜電保持力311,高電容率介電材料305之表面(例如,有微電子組件102、103之前側介面處)應被平面化(例如,具有一低粗糙度)。當以電壓U充電時,靜電載體總成300可達到一最大保持力,其可在暴露於放電環境時放電,諸如沒有再充電的長時間時段、高溫及化學物或電漿。為了最小化放電,靜電載體301可進一步包括環境保護劑,例如表面處理、形狀的修改、密封的涵括、或加工硬體的調適,以使能連續充電。電極309可具有任何合適大小、間隔及布置,如下文參看圖12所說明,且可取決於載體107之設計規則。
圖9A-9F為根據各種實施例之使用一靜電載體之一範例微電子組件組裝程序的各種階段的側截面圖。
圖9之程序可以在室溫下進行。圖9A例示一靜電載體總成300,其包括具有一載體107、一高電容率介電材料305、複數個電極309及用於充電之傳導路徑313的一靜電載體301,以及在一取放頭115將微電子組件102提供在該高電容率介電材料305之表面上(例如,該載體係重組有晶粒)之後的複數個微電子組件102。取放頭115可包括真空拾取、靜電拾取或乾黏著劑拾取,且可不包括膠帶拉伸及針器退出。圖9B例示了在藉由經導電路徑313將複數個電極309耦接至一充電器307且對靜電載體301充電而將微電子組件102靜電式耦接311至靜電載體301之後的一總成。圖9C例示靜電式耦接311微電子組件102且移除充電器307之後的一總成。在將微電子組件102靜電式耦接311至靜電載體301之後,微電子組件102可經歷額外的處理,諸如電漿啟動、去離子水清潔、及/或透過一旋乾315之乾燥。靜電載體301可進一步包括在高電容率介電材料305之前側表面上(例如,在高電容率介電材料305與微電子組件102之間)的一疏水性塗層(未示出),用以減少在去離子水清潔期間的放電。靜電載體301可進一步包括用以連續充電靜電載體總成300的硬體,以防止在電漿啟動及/或去離子水清潔期間的放電(例如,藉由對靜電載體總成300之背側以及耦接至導電路徑313且在處理期間連續地充電電極309之充電接點(未示出)抽真空)。圖9D例示在使靜電載體總成300放電以移除靜電接合力311以使得微電子組件102可個別地或集合地分離之後的一總成。圖9E例示在取放頭115移除一單個微電子組件102之後的一總成。舉例而言,可將微電子組件102轉移至一饋給工具(例如,一晶片至晶圓置放工具)以供直接接合至一目的地晶圓。在一些實施例中,用於充電/放電靜電載體301之充電器307可整合至一饋給工具中,使得靜電載體301可放電且微電子組件102可在沒有需要後續清潔或冒一靜電事件的風險之下分離。圖9F例示在經由充電器307對靜電載體301再充電且將剩餘的微電子組件102靜電式再耦接311至靜電載體301以在另一時間供進一步處理或供分離及移除之後的一總成。在一些實施例中,靜電載體301可包括一獨特識別器(例如,在載體107上的晶圓識別號碼(WID))來追蹤從充電起的時間量,以使得靜電載體總成300可在洩漏為基的放電或電荷衰減前再充電。
圖10A-10G為根據各種實施例之使用一靜電載體之一範例微電子組件組裝程序的各種階段的側截面圖。圖10之程序可以在室溫下進行。圖10A例示一靜電載體總成300,其包括具有一載體107、一高電容率介電材料305、複數個電極309及用於充電之傳導路徑313的一靜電載體301,以及在一取放頭115將微電子組件102提供在該高電容率介電材料305之表面上(例如,載體係與晶粒重組)之後的複數個微電子組件102。取放頭115可包括真空拾取、靜電拾取或乾式黏著拾取。圖10B例示了在藉由經導電路徑313將複數個電極309耦接至一充電器307且對靜電載體301充電而將微電子組件102靜電式耦接311至靜電載體301之後的一總成。圖10C例示靜電式耦接311微電子組件102且移除充電器307之後的一總成。在將微電子組件102靜電式耦接311至經靜電充電之載體301之後,微電子組件102可經歷額外的處理。圖10D例示在翻轉圖10C之總成並使其與包括IC裝置111之一目的地晶圓109對準之後的一總成。圖10C之總成可對準至目的地晶圓109,例如,使用載體107上之基準點。圖10E例示使微電子組件102與目的地晶圓109上之IC裝置111配接之後的一總成。圖10F例示在使靜電載體總成300放電以移除靜電接合力311以使得微電子組件102可集合地分離之後的一總成。在一些實施例中,在移除靜電載體301之前,微電子組件102可經由直接接合耦接到IC裝置111。圖10G例示在分離微電子組件102且移除靜電載體301之後的一總成。在一些實施例中,微電子組件102可經由直接接合或經歷進一步的處理來耦接到IC裝置111,諸如檢測及熱退火。
圖11A-11C為根據各種實施例之在一靜電載體上之充電接點的一範例布置的後側視圖及側視圖。圖11A例示在一靜電載體301上之充電接點317的一後側視圖,其布置呈可使個別區域、局部區域或全域充電及放電之柵格陣列。雖然圖11例示充電接點317為突出,充電接點可具有任何合適的形式,包括凹入。圖11B例示靜電載體301上之充電接接點317的背側視圖,其具有可致能全域充電及放電的一集中式布置。圖11C例示圖11B的充電接點317可藉由接觸充電器307上的可回縮或可定址的充電銷319來啟動,以對靜電載體301充電及放電。在一些實施例中,靜電載體301之置放及平坦度可由一真空卡盤321及運動學特徵來決定。在一些實施例中,例如,在直接接合程序中,直接接合設備(例如,電漿啟動工具、水合或旋轉塗佈卡盤、饋給工具及集合式接合/解接合模組)可有效利用一真空卡盤及可定址充電銷陣列(例如,圖11A之柵格陣列)供局部或個別充電及放電。在此等情況下,一取放頭可被盤旋於一個別的微電子組件上面,該個別的微電子組件係在被該取放頭拾起之前被放電及釋放,使得相鄰的微電子組件不受該個別的微電子組件之移除所影響。此外,在此等情況下,一通用接合頭可移除複數個微電子組件而不影響相鄰微電子組件。
圖12A及12B為根據各種實施例之一靜電載體之一前側上之範例電極配置的俯視圖。圖12A例示一通用靜電載體301,其具有覆蓋整個前側表面區域的電極309A,且設計成靜電式耦接微電子組件102(未示出)的任何尺寸及形狀與前側表面區域上的任何地方。圖12B例示電極309B(例如,在一柵格陣列中)的一特定圖案化,其中微電子組件102(未示出)可根據該柵格陣列而靜電式耦接至靜電載體301。電極309B的特定圖案化可致能與目的地晶圓上的一特定IC裝置匹配的特定微電子組件102(未示出)。電極309B的特定圖案化可允許有一最大的每微電子組件區域之吸引力,且可進一步允許識別一特定微電子組件102之位置以及在載體107上之重複基準點。在一些實施例中,靜電載體301可進一步在該微電子組件介面處之該高電容率介電材料上包括一親水性材料及/或一疏水性材料,以自對準該等微電子組件,如下文參看圖14所說明。
圖13A-13C為根據各種實施例之範例紋理化靜電載體總成的側截面圖。紋理化靜電載體401組合紋理化載體201及靜電載體301之元件。如圖13A所示,一紋理化靜電載體總成400可包括一紋理化靜態載體401,其包括一載體107、一高電容率介電材料305、複數個電極309、用於對該等電極309充電之傳導路徑313、具有紋理化微結構209的一紋理化材料205、以及機械耦接(例如,經由紋理化微結構209)及靜電式耦接311至紋理化靜電載體401的複數個微電子組件102。圖13B例示一紋理化靜電載體總成400具有一紋理化靜態載體401,其包括一載體107、一高電容率介電材料305、複數個電極309、用於對該等電極309充電之傳導路徑313、具有紋理化微結構209的一紋理化材料205、以及機械耦接(例如,經由紋理化微結構209)及靜電式耦接311至紋理化靜電載體401的一微電子組件103。圖13C例示具有一紋理化靜電載體401的一紋理化靜電載體總成400,其包括一載體107、具有紋理化微結構209之一紋理化高電容率介電材料405、複數個電極309、用以對該等電極309充電之傳導路徑313、以及機械式耦接(例如經由紋理化微結構209)及靜電式耦接311至紋理化靜電載體401之複數個微電子組件102。在一些實施例中,紋理化高電容率介電材料405可包括一傳導核心材料,諸如奈米碳管、銅線、銀線或其他類似金屬結構;以及一介電塗層材料,諸如鋁及氧(例如,呈氧化鋁形式)、矽及氧(例如,呈氧化矽形式)、矽及氮(例如,呈氮化矽形式)、聚醯亞胺、鉿及氧化物以及其等之組合,其可從電極309垂直延伸。具有或沒有一導電核心之紋理化微結構可具有大約3微米的彈性或黏彈性變形且可調和+/- 1.5微米之微電子組件102厚度變化。可最小化該介電塗層之厚度以最大化靜電接合力311。
圖14A-43E為根據各種實施例之使用一紋理化靜電載體之一範例微電子組件流體自組裝程序的各種階段的側截面圖。圖14之程序可以在室溫下進行。圖14A例示一紋理化靜電載體總成400,其包括一紋理化靜電載體401,具有一載體107、具有紋理化微結構209之一紋理化高電容率介電材料405、複數個電極309、及用以對該等電極309充電之傳導路徑313,以及在該紋理化靜電載體401(例如,載體係重組有晶粒)上提供微電子組件102之後、在該等微電子組件102係機械式及靜電式耦接311至靜電載體301之後、及在翻轉該紋理化靜電載體總成400且將其與一目的地晶圓109對準之後的複數個微電子組件102,該目的地晶圓包括目的地組件111(例如,IC裝置)及針對該等微電子組件102之在該等目的地組件111處的一親水性材料407。在一些實施例中,目的地晶圓109可進一步包括圍繞目的地組件111的一疏水性材料(例如,一低表面能量,例如防止濕化且促進疏水性去濕的芴或微紋理)(未示出)。圖14B例示使微電子組件102與目的地晶圓109上之IC裝置111配接且經由充電器307使紋理化靜電載體401放電以移除靜電接合力311以使得可個別地或集合地分離微電子組件102之後的總成。圖14C例示在分離微電子組件102且移除紋理化靜電載體401之後的一總成。圖14D例示在微電子組件102經由親水性材料407自對準至目的地組件111之後的一總成。圖14E例示在親水性材料407之乾燥之後的一總成。在一些實施例中,微電子組件102可經由直接接合或可經歷進一步的處理來耦接到IC裝置111,諸如檢測及熱退火。雖然圖14A-14E集合地例示使用一紋理化靜電載體401來自對準微電子組件102,但微電子組件102可如上文參看圖3及9所說明者使用取放頭個別地置放以用於自對準。
圖14F為根據各種實施例之一範例微電子組件流體自組裝成一紋理化靜電載體的一側截面圖。使用參看圖14A-14E所說明之一紋理化靜電載體401的微電子組件102之集合或個別自對準可被利用來使用一紋理化靜電載體401自對準微電子組件102。圖14F例示包括一紋理化靜電載體401的紋理化靜電載體總成400,其具有一載體107、具有紋理化微結構209之一紋理化高電容率介電材料405、複數個電極309、用於對該等電極309充電之傳導路徑313、以及在紋理化微結構209之頂部表面處的一親水性材料407。圖14F進一步例示一靜電載體總成300,其具有一靜電載體301,其包括一載體107、一高電容率介電材料305、複數個電極309、用於對該等電極309充電之傳導路徑313、及靜電式耦接311至靜電載體301之複數個微電子組件102。如圖14F所示,該靜電載體總成300已被翻轉,且該等微電子組件102大致與該紋理化靜電載體總成400上之親水性材料407對準。在一些實施例中,紋理化靜電載體總成400可進一步包括圍繞該親水性材料407之一疏水性材料(例如,一低表面能量,諸如防止濕化並促進親水性去濕的芴或微紋理)(未示出)。微電子組件102可經由圖14A-14E中所說明之程序被置放在紋理化靜電載體總成400上。
圖15A及15B根據各種實施例,為一微電子組件流體自組裝程序之範例定向偏好的俯視示意圖例示。圖15A例示一等邊三角形形狀的微電子組件102,其具親水性材料407,該親水性材料對一載體(例如,紋理化材料205、高電容率介電材料305,或紋理化高電容率介電材料405)或一目的地晶圓109不具有定向偏好,以使得微電子組件102可經定向旋轉60度、旋轉120度或不旋轉。圖15B例示具一親水性材料407的一等邊三角形形狀的微電子組件102,該親水性材料對一載體(例如,紋理化材料205、高電容率介電材料305,或紋理化高電容率介電材料405)或一目的地晶圓109具有一確定性定向偏好,以使得微電子組件102必須旋轉60度來以該載體或目的地晶圓109定向。在一些實施例中,載體(例如,紋理化材料205、高電容率介電材料305或紋理化高電容率介電材料405)可包括一親水性材料及/或一疏水性材料或微結構,以幫助在由該目的地晶圓拾取時或在放下於目的地晶圓上時之自對準及定向。
圖16為根據各種實施例之一微電子總成100的一側截面圖。微電子總成100可包括一中介件150,其藉由一直接接合(DB)區130-1被耦接至一微電子組件102-1。特定而言,如圖17所例示,DB區130-1可包括在中介件150之頂部表面的一DB介面180-1A,其中DB介面180-1A包括一組傳導DB接點110及在DB介面180-1A之DB接點110周圍的一DB介電質108。DB區130-1亦可包括在微電子組件102-1之底部表面的一DB介面180-1B,其中DB介面180-1B包括一組傳導DB接點110及在DB介面180-1B之DB接點110周圍的一DB介電質108。中介件150之DB介面180-1A的DB接點110可與微電子組件102-1之DB介面180-1B的DB接點110對準,使得在微電子總成100中,微電子組件102-1之DB接點110係與中介件150之DB接點110接觸。在圖16之微電子總成100中,中介件150之DB介面180-1A可與微電子組件102-1之DB介面180-1B接合(例如電氣地及機械地),以形成耦接中介件150與微電子組件102-1的DB區130-1,如下文進一步論述。更一般而言,本文所揭露之DB區130可包括接合在一起之兩個互補DB介面180;為了易於例示,許多後續圖式可省略DB介面180之識別,以改善圖式之清楚程度。
本文使用時,用語「直接接合」係用來包括金屬對金屬接合技術(例如,銅對銅接合,或使相對之DB介面180的DB接點110首先接觸,接著經受熱與壓縮的其他技術),以及混合接合技術(例如,使相對之DB介面180的DB介電質108首先接觸、接著經受熱且有時經受壓縮的技術,或是使相對之DB介面180的DB接點110及DB介電質108實質上同時接觸,接著經受熱與壓縮的技術)。在此等技術中,使在一DB介面180的DB接點110及DB介電質108分別與在另一DB介面180的DB接點110及DB介電質108接觸,且可施加升高之壓力及/或溫度,以致使接觸中之DB接點110及/或接觸中之DB介電質108接合。在一些實施例中,此接合可在不使用中介焊料或一非等向性傳導材料的情況下達成,而在一些其他實施例中,一焊料薄蓋體或軟性鈍化金屬可用於一DB互連件中以適應平面性,且此焊料或軟性金屬可在處理期間變成DB區130中的一金屬間化合物(IMC)。DB互連件可能能夠可靠地傳導比其他類型的互連件更高的一電流;舉例而言,當電流流動時,一些習知焊料互連件可形成大量脆性IMC,且透過此等互連件提供之最大電流可受約束以減輕機械故障。
一DB介電質108可包括一或多個介電材料,諸如一或多個無機介電材料。舉例而言,一DB介電質108可包括:矽及氮(例如,以氮化矽之形式);矽及氧(例如,以氧化矽形式);矽、碳及氮(例如,以碳氮化矽之形式);碳及氧(例如,以一碳摻雜氧化物之形式);矽、氧及氮(例如,以氧氮化矽之形式);鋁及氧(例如,以氧化鋁之形式);鈦及氧(例如,以氧化鈦之形式);鉿及氧(例如,以氧化鉿之形式);矽、氧、碳及氫(例如,以四乙基正矽酸酯(TEOS)之形式);鋯及氧(例如,以氧化鋯形式);鈮及氧(例如,以氧化鈮之形式);鉭及氧(例如,以氧化鉭之形式);及其等之組合。
一DB接點110可包括一柱體、一襯墊或其他結構。DB接點110雖然於隨附圖式中在一DB區130之兩DB介面180處以相同方式繪示,DB接點110在兩DB介面180處可具有一相同結構,或在不同DB介面180之DB接點110可具有不同結構。舉例而言,在一些實施例中,一個DB介面180中的一DB接點110可包括一金屬柱(例如一銅柱),且一互補DB介面180中的一互補DB接點110可包括凹入一介電質中的一金屬墊(例如一銅襯墊)。一DB接點110可包括任一或多個傳導材料,諸如銅、錳、鈦、金、銀、鈀、鎳、銅及鋁(例如,以一銅鋁合金之形式)、鉭(例如,鉭金屬,或以氮化鉭之形式的鉭及氮)、鈷、鈷及鐵(例如,以一鈷鐵合金之形式),或前述各者中之任何者的任何合金(例如,以錳鎳銅之形式的銅、錳及鎳)。在一些實施例中,一DB介面180的DB介電質108及DB接點110可使用低溫沉積技術(例如,在低於250攝氏度或低於200攝氏度之溫度下發生沉積的技術),諸如低溫電漿強化化學氣相沉積(PECVD)來製造。
圖16及17亦例示藉由一DB區130-2(經由DB介面180-2A及180-2B,如圖17中所示)耦接至中介件150的一微電子組件102-2。雖然圖16繪示一特定數目之藉由DB區130耦接至中介件150的微電子組件102,但是此數目及布置單純是例示性的,且一微電子總成100可包括任何所欲數目及布置之藉由DB區130耦接至一中介件150的微電子組件102。雖然單一參考數字「108」係用來指多個不同DB介面180(及不同DB區130)的DB介電質,但此單純是為了易於例示,且不同DB介面180(甚至在一單個DB區130中)的DB介電質108可具有不同材料及/或結構(例如,根據下文參看圖3所論述之實施例中之任一者)。相似地,雖然單個參考數字「110」係用來指多個不同DB介面180(及不同DB區130)的DB接點,但此單純是為了易於例示,且不同DB介面180(甚至在一單個DB區130中)的DB接點110可具有不同材料及/或結構。
中介件150可包括一絕緣材料106(例如,形成於多層中之一或多個介電材料,如業界所知)及穿過絕緣材料106的一或多個傳導路徑112(例如,包括傳導線路114及/或傳導通孔116,如所示)。在一些實施例中,中介件150之絕緣材料106包括一無機介電材料,諸如矽及氮(例如,呈氮化矽之形式);矽及氧(例如,呈氧化矽形式);矽及碳(例如,呈碳化矽之形式);矽、碳及氧(例如,呈碳氧化矽之形式);矽、碳及氮(例如,呈碳氮化矽之形式);碳及氧(例如,呈摻碳氧化物之形式);矽、氧及氮(例如,呈氮氧化矽的形式);或矽、氧、碳及氫(例如,呈四乙基正矽酸酯(TEOS)之形式);以及其等之組合。在一些實施例中,中介件150之絕緣材料106包括一絕緣金屬氧化物,諸如鋁及氧(例如,呈氧化鋁形式);鈦及氧(例如,呈氧化鈦的形式);鉿及氧(例如,呈氧化鉿之形式);鋯及氧(例如,呈氧化鋯的形式);鈮及氧(例如,呈氧化鈮的形式);或鉭及氧(例如,呈氧化鉭的形式);以及其等之組合。在一些實施例中,中介件150可為半導體(例如,基於矽)為基或玻璃為基者。在一些實施例中,中介件150為一矽晶圓或晶粒。在一些實施例中,中介件150可為絕緣體上矽(SOI)且可進一步包括下列之層體:矽及鍺(例如,呈矽鍺的形式)、鎵及氮(例如,呈氮化鎵的形式)、銦及磷(例如,呈磷化銦的形式),還有其他。在一些實施例中,中介件150之絕緣材料106可係一有機材料,諸如聚醯亞胺或聚苯并㗁唑,或可包括具有一填料材料(其可為無機的,諸如氮化矽、氧化矽、或氧化鋁)的一有機聚合物基質(例如環氧化物)。在一些此等實施例中,中介件150可稱為一「有機中介件」。在一些實施例中,一中介件150的絕緣材料106可提供成多層有機構建膜。製造有機中介件150可比以半導體或玻璃為基的中介件更便宜,且由於有機絕緣材料106的低介電常數及可使用較厚線路(允許改良電力遞送、信號傳遞及潛在熱效益)而可具有電氣效能優勢。有機中介件150亦可具有比以半導體為基之中介件可達成的覆蓋區更大的覆蓋區,其係受限於用於圖案化之標線片的大小。另外,比起限制以半導體或玻璃為基之中介件之設計規則,有機中介件150可經受限制性較低之設計規則,而允許使用諸如非曼哈頓路由(例如,不限於將一層用於水平互連件且另一層用於垂直互連件)之設計特徵且避免諸如穿矽通孔或穿玻璃通孔之穿基體通孔(TSV) (其可達成節距上可能受限,且可導致較低的所欲電力遞送及信號傳遞效能)。包括一有機中介件之習知積體電路封裝體已受限於以焊料為基的附接技術,其等對可達成節距可具有一下限,這排除了使用習知以焊料為基的互連件來達成下一代裝置所欲之微小節距。利用一有機中介件150於具有直接接合之一微電子總成100中,如本文所揭露者,可運用有機中介件的這些優勢組合上直接接合可達成(且先前僅在使用以半導體為基的中介件時可達成)的超微小節距(例如,下文論述的節距128),從而可支援大且精密之晶粒複合體的設計及製造,該等晶粒複合體可達成習知作法所無法致能的封裝式系統競爭表現及能力。
在其他實施例中,中介件150之絕緣材料106可包括阻燃等級4材料(FR-4)、雙馬來醯亞胺三嗪(BT)樹脂,或者低k或超低k介電質(例如:碳摻雜介電質、氟摻雜介電質及多孔介電質)。當使用標準印刷電路板(PCB)程序形成中介件150時,絕緣材料106可包括FR-4,且中介件150中之傳導路徑112可藉由被FR-4之構建層分開的圖案化銅片形成。在一些此等實施例中,中介件150可稱為一「封裝體基體」或一「電路板」。
在一些實施例中,中介件150中之傳導路徑112中之一或多者可在中介件150之頂部表面的一傳導接點(例如,DB接點110中之一者)與中介件150之底部表面的一傳導接點118之間延伸。在一些實施例中,中介件150中之傳導路徑112中之一或多者可在中介件150之頂部表面的不同傳導接點(例如,在可能在不同DB區130中的不同DB接點110之間,如下文進一步論述)之間延伸。在一些實施例中,中介件150中之傳導路徑112中之一或多者可在中介件150之底部表面的不同傳導接點118之間延伸。
在一些實施例中,一中介件150可只包括傳導路徑112,且可不含有主動或被動電路系統。在其他實施例中,一中介件150可包括主動或被動電路系統(例如,電晶體、二極體、電阻器、電感器及電容器,還有其他者)。在一些實施例中,一中介件150可包括一或多個裝置層,其等包括電晶體。
雖然圖16及17例示中介件150中之傳導路徑112的一特定數目及布置,但這些單純是例示性的,且可使用任何合適數目及布置。本文所揭露之傳導路徑112(例如,包括線路114及/或通孔116)可由任何適當傳導材料形成,例如諸如銅、銀、鎳、金、鋁、其他金屬或合金,或材料之組合。
在一些實施例中,一微電子組件102可包括一IC晶粒(經封裝或未封裝)或一IC晶粒堆疊(例如,一高頻寬記憶體晶粒堆疊)。在一些此等實施例中,一微電子組件102之絕緣材料可包括二氧化矽、氮化矽、氧氮化物、聚醯亞胺材料、玻璃強化環氧樹脂基質材料、或一低k或超低k介電質(例如,碳摻雜介電質、氟摻雜介電質、多孔質介電質、有機聚合介電質、可光成像介電質及/或以苯并環丁烯為主之聚合物)。在一些進一步實施例中,一微電子組件102之絕緣材料可包括一半導體材料,諸如矽、鍺、或一III-V材料(例如,氮化鎵),以及一或多個額外材料。舉例而言,一微電子組件102的一絕緣材料可包括氧化矽或氮化矽。一微電子組件102中之傳導路徑可包括傳導線路及/或傳導通孔,且可以任何合適方式連接微電子組件102之該等傳導接點中之任一者(例如,連接微電子組件102之一相同表面或不同表面上的多個傳導接點)。下文參看圖19論述可包括在本文所揭露之微電子組件102中的範例結構。特定而言,一微電子組件102可包括主動及/或被動電路系統(例如,電晶體、二極體、電阻器、電感器及電容器,還有其他者)。在一些實施例中,一微電子組件102可包括一或多個裝置層,其等包括電晶體。當一微電子組件102包括主動電路系統時,電源及/或接地信號可被安排路由通過中介件150且通過一DB區130(且進一步通過中介微電子組件102)進/出微電子組件102。在一些實施例中,一微電子組件102可採取本文之中介件150之實施例中之任一者的形式。雖然圖16之微電子總成100的微電子組件102係單側組件(意即一個別微電子組件102僅在個別微電子組件102的一單個表面上具有傳導接點(例如,DB接點110)),然而在一些實施例中,一微電子組件102可係一雙側(或「多層級」或「全向」)組件,其具位在該組件之多個表面上的傳導接點。
額外組件(未示出),諸如表面安裝電阻器、電容器及/或電感器,可被安置在中介件150的頂部表面或底部表面上,或是被嵌入中介件150中。圖16之微電子總成100亦包括耦接至中介件150的一支撐組件182。在圖16之特定實施例中,支撐組件182包括傳導接點118,其等藉由中介焊料120(例如,呈一球柵陣列(BGA)布置的焊料球)而電氣耦接至中介件150之互補傳導接點118,但可使用任何合適的互連結構(例如,呈一接腳柵陣列布置的接腳、呈一焊盤柵陣列布置的焊盤、柱體、襯墊及柱體等)。本文所揭露之微電子總成100中所利用的焊料120可包括任何合適材料,諸如鉛/錫、錫/鉍、共晶錫/銀、三元錫/銀/銅、共晶錫/銅、錫/鎳/銅、錫/鉍/銅、錫/銦/銅、錫/鋅/銦/鉍,或其他合金。在一些實施例中,中介件150與支撐組件182之間的耦接可稱為第二層級互連件(SLI)或多層級互連件(MLI)。
在一些實施例中,支撐組件182可係一封裝體基體(例如,可使用PCB程序製造,如上文所論述)。在一些實施例中,支撐組件182可係一電路板(例如,一主機板),且可具有附接至其的其他組件(未示出)。支撐組件182可包括用於將電源、接地及信號安排路由通過支撐組件182的傳導路徑及其他傳導接點(未示出),如業界所知。在一些實施例中,支撐組件182可包括另一IC封裝體、一中介件或任何其他合適組件。底填材料138可安置在焊料120周圍,將中介件150耦接至支撐組件182。在一些實施例中,底填材料138可包括一環氧樹脂材料。
在一些實施例中,支撐組件182可係一較低密度組件,而中介件150及/或微電子組件102可係較高密度組件。於本文使用時,用語「較低密度」及「較高密度」係相對之用語,其等指出相較於在一較高密度組件中之傳導路徑,在一較低密度組件中之傳導路徑(例如,包括傳導線路及傳導通孔)係較大及/或具有一較大節距。在一些實施例中,一微電子組件102可係一較高密度組件,而一中介件150可係一較低密度組件。在一些實施例中,一較高密度組件可使用一雙鑲嵌或單鑲嵌程序來製造(例如,當該較高密度組件係一晶粒時),而一較低密度組件可使用一半添加或經修改之半添加程序來製造(具有藉由先進雷射或微影程序所形成之小垂直互連形貌體) (例如,當該較低密度組件係一封裝體基體或一中介件時)。在一些其他實施例中,一較高密度組件可使用一半增式或經修改之半增式程序來製造(例如,當該較高密度組件係一封裝體基體或一中介件時),而一較低密度組件可使用一半增式或一減式程序來製造(使用蝕刻化學來移除不需要之金屬區域,且具有藉由一標準雷射製程所形成之粗垂直互連形貌體時)(例如,當該較低密度組件係一PCB時)。
圖16之微電子總成100亦可包括一模塑材料126。模塑材料126可在中介件150上的微電子組件102中之一或多者周圍延伸。在一些實施例中,模塑材料126可在中介件150上之多個微電子組件102之間以及DB區130周圍延伸。在一些實施例中,模塑材料126可延伸在一中介件150上方之一或多個微電子組件102上方(未示出)。模塑材料126可係一絕緣材料,諸如一適當環氧樹脂材料。模塑材料126可選擇成具有一熱膨脹係數(CTE),且該熱膨脹係數係可減輕或減少在微電子組件102與中介件150之間由於微電子總成100中之不均勻熱膨脹產生的應力。在一些實施例中,模塑材料126之CTE可具有一係在中介件150之CTE (例如,中介件150之絕緣材料106的CTE)及微電子組件102之CTE中間的值。在一些實施例中,用於一微電子總成100中的模塑材料126可至少部分地就其熱性質而被選擇。舉例而言,用於一微電子總成100中的一或多個模塑材料126可具有低熱導性(例如,習知模塑化合物)以阻礙熱轉移,或者可具有高熱導性(例如,包括具有高熱導性之金屬或陶瓷粒子的模塑材料,諸如銅、銀、鑽石、碳化矽、氮化鋁及氮化硼,還有其他者)以促進熱轉移。本文所提及之任何模塑材料126可包括具不同材料組成的一或多個不同材料。
圖16之微電子總成100亦可包括一熱介面材料(TIM)154。TIM 154可包括一聚合物或其他黏合劑中的一熱傳導材料(例如金屬粒子)。TIM 154可係一熱介面材料糊或一熱傳導環氧樹脂(其在施加時可係一流體且可在固化後硬化,如業界所知)。TIM 154可提供一路徑給由微電子組件102產生的熱,以便其輕易地流動到熱轉移結構152,其在該處可擴散及/或消散。圖16之微電子總成100之一些實施例可包括跨模塑材料126及微電子組件102之頂部表面的濺鍍金屬化物(未示出);TIM 154(例如一焊料TIM)可被安置在此金屬化物上。
圖16之微電子總成100亦可包括一熱轉移結構152。熱轉移結構152可用來將熱從微電子組件102中之一或多者移開(例如,使得熱可更容易消散)。熱轉移結構152可包括任何合適的熱傳導材料(例如,金屬、適當陶瓷等),且可包括任何合適形貌體(例如一散熱器、一包括鰭片的熱槽、一冷卻板等)。在一些實施例中,熱轉移結構152可係或可包括一整合式散熱器(IHS)。
微電子總成100的元件可具有任何合適尺寸。僅有隨附圖式中之一子集標記有表示尺寸的參考數字,但此單純是為了清楚例示,且本文所揭露之微電子總成100中之任一者可具有具本文所論述之尺寸的組件。在一些實施例中,中介件150的厚度184可在20微米與200微米之間。在一些實施例中,一DB區130的厚度188可在50奈米與5微米之間。在一些實施例中,一微電子組件102的一厚度190可在5微米與800微米之間。在一些實施例中,一DB區130中之DB接點110的一節距128可小於20微米(例如,在0.1微米與20微米之間)。
本文所揭露之微電子組件102、103、109及微電子總成100可被包括在任何合適電子組件中。圖18-21例示於合適時可包括或被包括在本文所揭露之微電子組件102、103、109及微電子總成100中之任一者的設備之各種範例。
圖18為可包括在本文所揭露之微電子組件102中之任一者中之一晶圓1500及晶粒1502的一俯視圖。舉例而言,晶圓1500可充當微電子組件103及/或目標晶圓109,且晶粒1502可充當微電子組件102,或可包括在微電子組件102中。晶圓1500可由半導體材料構成且可包括具有形成於晶圓1500之一表面上之IC結構的一或多個晶粒1502。晶粒1502中每一者可係包括任何合適IC之一半導體產品的一重複單元。在該半導體產品製造完成之後,晶圓1500可經歷一單粒化程序,其中晶粒1502被彼此分開,以提供該半導體產品的分立「晶片」。晶粒1502可包括一或多個電晶體(例如,下文所論述之圖19的一些電晶體1640)及/或用以將電氣信號安排路由至該等電晶體的支援電路系統。在一些實施例中,晶圓1500或晶粒1502可包括一記憶體裝置(例如,一隨機存取記憶體(RAM)裝置,諸如一靜態RAM (SRAM)裝置、一磁性RAM (MRAM)裝置、一電阻RAM (RRAM)裝置、一傳導性-橋接RAM (CBRAM)裝置等)、一邏輯裝置(例如,一AND、OR、NAND或NOR閘),或任何其他合適之電路元件。這些裝置中之多個裝置可組合在一單個晶粒1502上。舉例而言,由多個記憶體裝置所形成之一記憶體陣列可與經組配來將資訊儲存在記憶體裝置中或施行儲存於記憶體陣列中之指令的一處理裝置(例如圖21之處理裝置1802)或其他邏輯形成於同一晶粒1502上。
圖19為可包括在本文所揭露之微電子組件102中之任一者中之一IC裝置1600的一側截面圖。舉例而言,IC裝置1600(例如,如上文參看圖18所論述之一晶粒1502的一部分)可作為一微電子組件102,或可包括於一微電子組件102中。一或多個IC裝置1600可被包括在一或多個晶粒1502中(圖18)。IC裝置1600可形成在一基體1602(例如,圖18之晶圓1500)上,且可被包括在一晶粒(例如,圖18之晶粒1502)中。基體1602可係一半導體基體,其由包括例如n型或p型材料系統(或兩者之一組合)的半導體材料系統所組成。基體1602可包括例如使用一大塊矽或一絕緣體上矽(SOI)子結構形成之一結晶基體。在一些實施例中,基體1602可使用替代材料形成,其可以或可不與矽結合,其包括但不限於鍺、銻化銦、碲化鉛、砷化銦、磷化銦、砷化鎵、或銻化鎵。亦可使用分類為II-VI、III-V或IV族之其他材料來形成基體1602。雖然在此說明可形成基體1602之材料的數個範例,但可使用可作為一IC裝置1600之一基礎的任何材料。基體1602可係一單粒化晶粒(例如,圖18之晶粒1502)或一晶圓(例如,圖18之晶圓1500)的部分。
IC裝置1600可包括安置在基體1602上之一或多個裝置層1604。裝置層1604可包括形成在基體1602上之一或多個電晶體1640(例如,金屬氧化物半導體場效電晶體(MOSFET))的形貌體。裝置層1604可包括例如一或多個源極及/或汲極(S/D)區1620、用以對S/D區1620之間的電晶體1640中之電流流動進行控制之一閘極1622、以及用以就進/出S/D區1620之電氣信號安排路由的一或多個S/D接點1624。電晶體1640可包括為了清楚起見而未繪示的額外形貌體,諸如裝置隔離區、閘極接點等,及類似者。電晶體1640不限於圖19中所繪示之類型及配置,且可包括廣泛變化之其他類型及配置,諸如例如平面電晶體、非平面電晶體或兩者之組合。平面電晶體可包括雙極接面電晶體(BJT)、異質接面雙極電晶體(HBT)或高電子移動性電晶體(HEMT)。非平面電晶體可包括FinFET電晶體,諸如雙閘極電晶體或三閘極電晶體,以及包繞式或全包圍式閘極電晶體,諸如奈米帶及奈米線電晶體。
每一電晶體1640可包括由至少兩層,一閘極介電質及一閘極電極,所形成之一閘極1622。該閘極介電質可包括一層或一多層之堆疊。該一或多層可包括氧化矽、二氧化矽、碳化矽及/或一高k介電材料。該高k介電材料可包括諸如鉿、矽、氧、鈦、鉭、鑭、鋁、鋯、鋇、鍶、釔、鉛、鈧、鈮及鋅之元素。可用於該閘極介電質之高k材料的範例包括但不限於氧化鉿、氧化鉿矽、氧化鑭、氧化鑭鋁、氧化鋯、氧化鋯矽、氧化鉭、氧化鈦、氧化鋇鍶鈦、氧化鋇鈦、氧化鍶鈦、氧化釔、氧化鋁、氧化鉛鈧鉭、及鈮酸鉛鋅。在一些實施例中,當使用一高k材料時,可在該閘極介電質上實施一退火程序以改善其品質。
閘極電極可形成於該閘極介電質上,且可包括至少一p型功函數金屬或n型功函數金屬,取決於電晶體1640係一p型金屬氧化物半導體(PMOS)或者一n型金屬氧化物半導體(NMOS)電晶體。在一些實施例中,閘極電極可由二或更多個金屬層之一堆疊所構成,其中一或多個金屬層係功函數金屬層,且至少一金屬層係一填充金屬層。為了其他目的可包括其他金屬層,諸如一障壁層。對一PMOS電晶體而言,可用於該閘極電極之金屬包括但不限於:釕、鈀、鉑、鈷、鎳、傳導金屬氧化物(例如,氧化釕)以及下文提及一NMOS電晶體(例如,用於功函數調整)所論述之任何金屬。對一NMOS電晶體而言,可用於該閘極電極之金屬包括但不限於:鉿、鋯、鈦、鉭、鋁、這些金屬之合金、這些金屬之碳化物(例如,碳化鉿、碳化鋯、碳化鈦、碳化鉭、及碳化鋁)以及上文提及一PMOS電晶體(例如,用於功函數調整)所論述之任何金屬。
在一些實施例中,當沿著該源極-通道-汲極方向觀看電晶體1640之一截面時,該閘極電極可由一U形結構構成,該U形結構包括與基體之表面實質上平行之一底部部分及與基體之頂部表面實質上垂直之二側壁部分。在其他實施例中,形成該閘極電極之該等金屬層中的至少一者可僅為一實質上平行於基體之頂部表面的平面層,而不包括實質上垂直於該基體之頂部表面的側壁部分。在其他實施例中,該閘極電極可由U形結構及平面非U形結構的一組合所構成。舉例而言,該閘極電極可由在一或多個平面、非U形層之頂上所形成之一或多個U形金屬層所組成。
在一些實施例中,可在閘極堆疊之相對側形成一對側壁間隔件以托圍該閘極堆疊。該等側壁間隔件可自諸如氮化矽、氧化矽、碳化矽、摻雜碳的氮化矽及氮氧化矽之材料形成。用於形成側壁間隔件之程序係業界所熟知且通常包括沉積及蝕刻程序步驟。在一些實施例中,可使用複數對間隔件;例如,可在該閘極堆疊之相對側上形成兩對、三對或四對的側壁間隔件。
S/D區1620可形成於基體1602內鄰近於每一電晶體1640之閘極1622。S/D區1620可例如使用一植入/擴散程序或一蝕刻/沉積程序來形成。在前者程序中,諸如硼、鋁、銻、磷或砷之摻雜物可被離子植入至基體1602中以形成S/D區1620。活化該等摻雜物且致使它們更深地擴散進入基體1602中的一退火程序可接在該離子植入程序之後。在後者程序中,基體1602可首先經蝕刻以在S/D區1620之位置形成凹部。然後可進行一磊晶沉積程序,以用使用來製造S/D區1620的材料來填充該等凹部。在一些實行方式中,S/D區1620可使用一矽合金製造,諸如矽鍺或碳化矽。在一些實施例中,磊晶沉積矽合金可用諸如硼、砷或磷之摻雜物來進行原位摻雜。在一些實施例中,S/D區1620可使用一或多種替代半導體材料來形成,諸如鍺或一III-V族材料或合金。在其他實施例中,一或多個金屬層及/或金屬合金層可用來形成S/D區1620。
諸如電力及/或輸入/輸出(I/O)信號之電氣信號可安排路由進及/或出裝置層1604之裝置(例如電晶體1640)、通過安置在裝置層1604上之一或多個互連層(在圖19中被例示為互連層1606-1610)。舉例而言,裝置層1604之導電形貌體(例如,閘極1622及S/D接點1624)可與互連層1606-1610之互連結構1628電氣耦接。一或多個互連層1606-1610可形成IC裝置1600之一金屬化堆疊(亦稱為一「ILD堆疊」)1619。
互連結構1628可根據廣泛變化之設計,布置在互連層1606-1610中以安排電氣信號之路由(特定而言,該布置不限於圖19所繪示之互連結構1628之特定布置)。雖然在圖19中繪示了一特定數量的互連層1606-1610,但本揭露內容之實施例包括具有比所繪示者更多或更少互連層的IC裝置。
在一些實施例中,互連結構1628可包括用諸如一金屬之一導電材料所填充的線路1628a及/或通孔1628b。線路1628a可被布置成以實質上平行於其上形成有裝置層1604之基體1602之一表面的一平面方向,安排電氣信號之路由。舉例而言,從圖19之觀點,線路1628a可以進出頁面之方向安排電氣信號之路由。通孔1628b可被布置成以實質上垂直於其上形成有裝置層1604之基體1602之該表面的一平面方向,安排電氣信號之路由。在一些實施例中,通孔1628b可將不同互連層1606-1610之線路1628a電氣耦接在一起。
互連層1606-1610可包括安置在互連結構1628之間的一介電材料1626,如圖19中所示。在一些實施例中,安置在不同互連層1606-1610的互連結構1628之間的介電材料1626可具有不同的組成;在其他實施例中,不同互連層1606-1610之間的介電材料1626的組成可為相同。
一第一互連層1606可形成於裝置層1604上面。在一些實施例中,第一互連層1606可包括線路1628a及/或通孔1628b,如所示。第一互連層1606之線路1628a可與裝置層1604之接點(例如,S/D接點1624)耦接。
一第二互連層1608可形成於第一互連層1606上面。在一些實施例中,第二互連層1608可包括用以耦接第二互連層1608之線路1628a與第一互連層1606之線路1628a的通孔1628b。雖然為了清楚起見,線路1628a及通孔1628b在結構上係以每一互連層內(例如,第二互連層1608內)之一線路來繪示,在一些實施例中,線路1628a及通孔1628b在結構上及/或在材料上仍可相連(例如,在一雙鑲嵌程序期間同時被填充)。
一第三互連層1610(及如所欲之額外互連層)可根據關連於第二互連層1608或第一互連層1606所說明之相似技術及配置接續形成在第二互連層1608上。在一些實施例中,在IC裝置1600之金屬化堆疊1619中「更高向上」(亦即,更遠離裝置層1604)的互連層可為更厚。
IC裝置1600可包括形成在互連層1606-1610上之一阻焊材料1634(例如,聚醯亞胺或相似材料)及一或多個傳導接點1636。在圖19中,傳導接點1636被例示為採取接合墊之形式。傳導接點1636可與互連結構1628電氣耦接且組配成將電晶體1640之電氣信號安排路由至其他外部裝置。舉例而言,焊料接合可形成在一或多個傳導接點1636上,以便機械式及/或電氣耦接包括IC裝置1600之一晶片及另一組件(例如,一電路板)。IC裝置1600可包括額外或替代的結構,以自互連層1606-1610安排電氣信號之路由;例如,傳導接點1636可包括將電氣信號安排路由至外部組件之其他類似形貌體(例如柱)。在一些實施例中,IC裝置1600可不包括一阻焊材料,且替代地可包括如上文參看圖16及圖17所說明的一直接接合區(例如,直接接合區130)。
圖20為可包括本文所揭露之微電子組件102及/或微電子總成100中之任一者之一IC裝置總成1700的一側截面圖。IC裝置總成1700包括安置在一電路板1702(其可例如為一主機板)上之數個組件。IC裝置總成1700包括安置在電路板1702之一第一面1740上及在電路板1702之一相對的第二面1742上的組件;通常,組件可被安置在面1740及1742的一者或兩者上。下文提及IC裝置總成1700所論述之IC封裝體中之任一者可包括本文所揭露之微電子總成100之實施例中之任一者(例如,可包括藉由直接接合耦接在一起之多個微電子組件102)。
在一些實施例中,電路板1702可係一PCB,其包括藉由介電材料層而彼此分開且藉由導電通孔互連之多個金屬層。該等金屬層中之任一或多者可以一所欲電路圖案形成,以便就電氣信號在與電路板1702耦接之組件間安排路由(任擇地結合其他金屬層)。在其他實施例中,電路板1702可係一非PCB基體。
圖20中例示之IC裝置總成1700包括一中介件上封裝體結構1736,其藉由耦接組件1716耦接至電路板1702的第一面1740。耦接組件1716可將中介件上封裝體結構1736電氣及機械式耦接至電路板1702,且可包括焊料球(如圖20中所示)、一插座之公及母部分、一黏著劑、一底填材料,及/或任何其他合適電氣及/或機械式耦接結構。
中介件上封裝體結構1736可包括由耦接組件1718耦接至一封裝體中介件1704的一IC封裝體1720。耦接組件1718可採用任何合適的形式以供應用,諸如上文提及耦接組件1716所論述之形式。雖然圖20中顯示一單個IC封裝體1720,但多個IC封裝體可耦接至封裝體中介件1704;實際上,額外中介件可耦接至封裝體中介件1704。封裝體中介件1704可提供用以橋接電路板1702及IC封裝體1720的一中介基體。舉例而言,IC封裝體1720可係或包括一晶粒(圖18之晶粒1502)、一IC裝置(例如圖19之IC裝置1600),或任何其他合適組件。一般而言,封裝體中介件1704可將一連接擴展至一較寬節距或將一連接重排路由到一不同連接。舉例而言,封裝體中介件1704可將IC封裝體1720 (例如一晶粒)耦接至耦接組件1716之一組BGA傳導接點以耦接至電路板1702。圖20中例示之實施例中,IC封裝體1720及電路板1702係附接至封裝體中介件1704之相對側;在其他實施例中,IC封裝體1720及電路板1702可附接至封裝體中介件1704之相同側。在一些實施例中,三或更多個組件可藉由封裝體中介件1704互連。
在一些實施例中,封裝體中介件1704可形成為一PCB,其包括藉由介電材料層彼此分開且藉由導電通孔互連之多個金屬層。在一些實施例中,封裝體中介件1704可由一環氧樹脂、一玻璃纖維強化環氧樹脂、具有無機填料之一環氧樹脂、一陶瓷材料,或諸如聚醯亞胺之一聚合物材料形成。在一些實施例中,封裝體中介件1704可由替代的剛性或撓性材料形成,其可包括上述用於一半導體基體中之相同材料,諸如矽、鍺、及其他III-V族及IV族材料。封裝體中介件1704可包括金屬線路1710及通孔1708,包括但不限於TSV 1706。封裝體中介件1704可進一步包括嵌入式裝置1714,包括被動及主動裝置兩者。此等裝置可包括但不限於電容器、解耦電容器、電阻器、電感器、保險絲、二極體、變壓器、感測器、靜電放電(ESD)裝置及記憶體裝置。諸如射頻裝置、功率放大器、電力管理裝置、天線、陣列、感測器及微機電系統(MEMS)裝置之更複雜裝置可亦形成在封裝體中介件1704上。中介件上封裝體結構1736可採取如業界所知之任何中介件上封裝體結構的形式。
IC裝置總成1700可包括藉由耦接組件1722耦接至電路板1702之第一面1740的一IC封裝體1724。耦接組件1722可採取上文提及耦接組件1716所論述之實施例中之任一者的形式,且IC封裝體1724可採取上文提及IC封裝體1720所論述之實施例中之任一者的形式。
圖20中例示之IC裝置總成1700包括一堆疊式封裝體結構1734,其係藉由耦接組件1728耦接至電路板1702的第二面1742。堆疊式封裝體結構1734可包括一IC封裝體1726及一IC封裝體1732,其藉由耦接組件1730耦接在一起,以使得IC封裝體1726安置在電路板1702與IC封裝體1732之間。耦接組件1728及1730可採取上文論述之耦接組件1716之任何實施例的形式,且IC封裝體1726及1732可採取上文所論述之IC封裝體1720之任何實施例的形式。堆疊式封裝體結構1734可根據業界所知之堆疊式封裝體結構中之任一者組配。
圖21為可包括本文所揭露之微電子組件102及/或微電子總成100中之任一者之一範例電氣裝置1800的一方塊圖。舉例而言,電氣裝置1800之組件中之任何合適者可包括一或多個本文所揭露之IC裝置總成1700、IC裝置1600或晶粒1502。數個組件係在圖21中例示為包括於電氣裝置1800中,但在合適於應用時可忽略或重複這些組件中之任一或多者。在一些實施例中,電氣裝置1800中所包括的組件中之一些或全部可附接至一或多個主機板。在一些實施例中,這些組件中之一些或全部係製造於一單個系統單晶片(SoC)晶粒上。
另外,在各種實施例中,電氣裝置1800可不包括圖21中所例示之組件中之一或多者,但電氣裝置1800可包括用於耦接至一或多個組件之介面電路系統。舉例而言,電氣裝置1800可不包括一顯示裝置1806,但可包括一顯示裝置1806可耦接之顯示裝置介面電路系統(例如,一連接器及驅動器電路系統)。在另一組範例中,電氣裝置1800可不包括一音訊輸入裝置1824或一音訊輸出裝置1808,但可包括音訊輸入裝置1824或音訊輸出裝置1808可耦接之音訊輸入或輸出裝置介面電路系統(例如,一連接器及支援電路系統)。
電氣裝置1800可包括一處理裝置1802(例如,一或多個處理裝置)。於本文使用時,用語「處理裝置」或「處理器」係指處理來自暫存器及/或記憶體之電子資料以便將該電子資料轉換成可儲存在暫存器及/或記憶體中之其他電子資料的任何裝置或一裝置之一部分。該處理裝置1802可包括:一或多個數位信號處理器(DSP)、多數特殊應用積體電路(ASIC)、多數CPU、多數GPU、多數密碼處理器(執行硬體內之密碼演算法的特殊處理器)、多數伺服器處理器或任何其他適當處理裝置。電氣裝置1800可包括一記憶體1804,其本身可包括一或多個記憶體裝置,諸如依電性記憶體(例如,動態隨機存取記憶體(DRAM))、非依電性記憶體(例如,唯讀記憶體(ROM))、快閃記憶體、固態記憶體,及/或一硬碟。在一些實施例中,記憶體1804可包括與處理裝置1802共享一晶粒的記憶體。此記憶體可用作一快取記憶體,且可包括嵌入式動態隨機存取記憶體(eDRAM)或自旋轉移力矩磁性隨機存取記憶體(STT-MRAM)。
在一些實施例中,電氣裝置1800可包括一通訊晶片1812(例如,一或多個通訊晶片)。舉例而言,通訊晶片1812可經組配以用於管理無線通訊,以從電氣裝置1800轉移資料及將資料轉移至電氣裝置1800。用語「無線」及其衍生詞可用以描述可透過非固態媒體經由使用調變電磁輻射來傳達資料之電路、裝置、系統、方法、技術、通訊頻道等。該用語不暗示該等相關裝置不包含任何導線,雖然在一些實施例中它們能不包含。
通訊晶片1812可實行數個無線標準或協定中之任一者,其包括但不限於電氣電子工程師學會(IEEE)標準,包括Wi-Fi (IEEE 802.11系列)、IEEE 802.16標準(例如IEEE 802.16-2005修正案)、長期演進(LTE)計劃以及任何修正、更新及/或修訂(例如,進階LTE計劃、超級行動寬頻(UMB)計劃(亦被稱作「3GPP2」)等)。IEEE 802.16相容之寬頻無線存取(BWA)網路通常稱為WiMAX網路,即代表全球互通微波存取的縮寫,其係通過IEEE 802.16標準之一致性與互通性測試之產品的認證標記。通訊晶片1812可根據全球行動通訊系統(GSM)、通用封包無線電服務(GPRS)、通用行動電信系統(UMTS)、高速封包存取(HSPA)、演進型HSPA (E-HSPA)或LTE網路來操作。通訊晶片1812可根據增強型GSM演進資料(EDGE)、GSM EDGE無線電存取網路(GERAN)、通用陸地無線電存取網路(UTRAN)或演進型UTRAN (E-UTRAN)來操作。通訊晶片1812可根據分碼多重存取(CDMA)、分時多重存取(TDMA)、數位增強型無線電信(DECT)、演進資料最佳化(EV-DO)及其衍生物,以及命名為3G、4G、5G及往後者之任何其他無線協定而操作。通訊晶片1812在其他實施例中可根據其他無線協定操作。電氣裝置1800可包括一天線1822以促進無線通訊及/或接收其他無線通訊(諸如,AM或FM無線電傳輸)。
在一些實施例中,通訊晶片1812可管理有線通訊,諸如電氣、光學或任何其他合適的通訊協定(例如,乙太網路)。如上文所記述,通訊晶片1812可包括多個通訊晶片。例如,一第一通訊晶片1812可專用於較短範圍無線通訊,諸如Wi-Fi及藍牙,且一第二‎通訊晶片1812可專用於較長範圍無線通訊,諸如全球定位系統(GPS)、EDGE、GPRS、CDMA、WiMAX、LTE、EV-DO或其他。在一些實施例中,一第一通訊晶片1812可專用於無線通訊,且一第二通訊晶片1812可專用於有線通訊。
電氣裝置1800可包括電池/電源電路系統1814。電池/電源電路系統1814可包括一或多個能量儲存裝置(例如,電池或電容器)及/或用於將電氣裝置1800之組件耦接至與電氣裝置1800分開之一能源(例如,AC線電源)的電路系統。
電氣裝置1800可包括一顯示裝置1806(或對應的介面電路系統,如上文所論述)。顯示裝置1806可包括任何視覺指示器,諸如一抬頭顯示器、一電腦監視器、一投影機、一觸控式螢幕顯示器、一液晶顯示器(LCD)、一發光二極體顯示器,一或平板顯示器。
電氣裝置1800可包括一音訊輸出裝置1808(或對應的介面電路系統,如上文所論述)。音訊輸出裝置1808可包括產生一可聽指示符之任何裝置,諸如揚聲器、頭戴式耳機、或耳塞式耳機。
電氣裝置1800可包括一音訊輸入裝置1824(或對應的介面電路系統,如上文所論述)。音訊輸入裝置1824可包括產生表示一聲音之一信號的任何裝置,諸如麥克風、麥克風陣列或數位儀器(例如,具有一樂器數位介面(MIDI)輸出之儀器)。
電氣裝置1800可包括一GPS裝置1818(或對應的介面電路系統,如上文所論述)。GPS裝置1818可與以衛星為基之系統通訊且可接收電氣裝置1800之位置,如業界所知。
電氣裝置1800可包括一其他輸出裝置1810(或對應的介面電路系統,如上文所論述)。其他輸出裝置1810之範例可包括一音訊編解碼器、一視訊編解碼器、一印表機、用於向其他裝置提供資訊之一有線或無線傳送器,或一額外儲存裝置。
電氣裝置1800可包括一其他輸入裝置1820(或對應的介面電路系統,如上文所論述)。其他輸入裝置1820之範例可包括一加速度計、一陀螺儀、一羅盤、一影像擷取裝置、一鍵盤、諸如一滑鼠之一游標控制裝置、一電筆、一觸控板、一條碼讀取器、一快速回應(QR)碼讀取器、任何感測器,或一無線射頻識別(RFID)讀取器。
電氣裝置1800可具有任何所欲型式因子,諸如一手持式或行動電氣裝置(例如,一行動電話、一智慧型手機、一行動網際網路裝置、一音樂播放器、一平板電腦、一膝上型電腦、一筆記型電腦、一超輕薄筆電、一個人數位助理(PDA)、一超輕薄行動個人電腦等)、一桌上型電氣裝置、一伺服器或其他網路運算組件、一印表機、一掃描器、一監視器、一機上盒、一娛樂控制單元、一車輛控制單元、一數位攝影機、一數位錄影機,或一穿戴式電氣裝置。在一些實施例中,電氣裝置1800可係處理資料之任何其他電子裝置。
下列段落提供在本文所揭露之實施例的各種範例。
範例1A為一種載體總成,其包括一載體;紋理化材料,其耦接至該載體且包括紋理化微結構;以及複數個微電子組件,其機械式及可移除地耦接至該等紋理化微結構。
範例2A可包括範例1A之標的,且可進一步指定該紋理化材料係一乾黏著劑材料。
範例3A可包括範例2A之標的,且可進一步指明該乾黏著劑材料之該等紋理化微結構的一形狀包括下列中之一或多者:一柱、一加蓋柱、一球體、一圓頂體、一吸杯、及一傾斜吸杯。
範例4A可包括範例2A之標的,且可進一步指定該等紋理化微結構係經壓印、模製、微影圖案化或層疊於該乾黏著劑材料上。
範例5A可包括範例2A之標的,且可進一步指定該紋理化微結構之一厚度係在100奈米與150微米之間。
範例6A可包括範例1A之標的,且可進一步指定該紋理化材料包括一可致動材料,其一旦啟動時產生該等紋理化微結構。
範例7A可包括範例6A之標的,且可進一步指定該可致動材料係藉由紫外線輻射、增高溫度及紅外光中之一或多者啟動。
範例8A可包括範例6A之標的,且可進一步指定該可致動材料包括一彈性體、一橡膠、一胺基甲酸乙酯、一胺酯共聚物、一聚胺甲酸酯、一丙烯酸酯、一丙烯酸共聚物、一矽酮、一矽酮共聚物、一全氟彈性體,及其等之組合。
範例9A可包括範例1A之標的,且可進一步指定該載體之一材料包括玻璃、矽或一半導體材料。
範例10A可包括範例1A之標的,且可進一步指定該等微電子組件係可個別地移開。
範例11A為一種載體總成,其包括一載體;一圖案化紋理化材料,其耦接至該載體且包括紋理化微結構;以及複數個微電子組件,其機械式及可移除地耦接至該等紋理化微結構。
範例12A可包括範例11A之標的,且可進一步指定該紋理化材料係一乾黏著劑材料。
範例13A可包括範例12A之標的,且可進一步指明該乾黏著劑材料之該等紋理化微結構的一形狀包括下列中之一或多者:一柱、一加蓋柱、一球體、一圓頂體、一吸杯、及一傾斜吸杯。
範例14A可包括範例11A之標的,且可進一步指定該紋理化材料包括一可致動材料,其一旦啟動時產生該等紋理化微結構。
範例15A可包括範例14A之標的,且可進一步指定該可致動材料係藉由紫外線輻射、增高溫度及紅外光中之一或多者啟動。
範例16A可包括範例14A之標的,且可進一步指定該可致動材料包括一彈性體、一橡膠、一胺基甲酸乙酯、一胺酯共聚物、一聚胺甲酸酯、一丙烯酸酯、一丙烯酸共聚物、一矽酮、一矽酮共聚物、一全氟彈性體,及其等之組合。
範例17A為一種載體總成,其包括一載體,該載體包括具有紋理化微結構的一紋理化材料;以及複數個微電子組件,其機械式及可移除地耦接至該等紋理化微結構。
範例18A可包括範例11A之標的,且可進一步指定該等紋理化微結構之一覆蓋區包括一矩形、一圓形、一十字形、一卵形、一環形或一八角形,或者其等之任何組合。
範例19A可包括範例17A之標的,且可進一步指定該等紋理化微結構係布置呈一柵格陣列、一六角形陣列,或一以面為中心的立方體陣列。
範例20A可包括範例17A之標的,且可進一步指定該等微電子組件可集合式移除。
範例1B為一種載體總成,其包括具有一前側及相對的一背側之一載體;在該載體之該前側上的一電極;在該電極及該載體上的一高電容率介電材料;在該載體之該背側上的一充電接點,其電氣耦接至該電極;以及複數個微電子組件,其靜電式耦接至該載體之該前側。
範例2B可包括範例1B之標的,且可進一步指定該高電容率介電材料係與半導體加工相容。
範例3B可包括範例1B之標的,且可進一步指定該載體之一材料包括玻璃、矽或一半導體材料。
範例4B可包括範例1B之標的,且可進一步指定該充電接點為複數個充電接點中之一者,且其中該等複數個充電接點係布置呈在該載體之該背側上的一柵格陣列。
範例5B可包括範例1B之標的,且可進一步指定該充電接點係複數個充電接點中之一者,且其中該等複數個充電接點係中心地布置於該載體之該背側上。
範例6B可包括範例1B之標的,且可進一步指定該電極係複數個電極中之一者,且其中該等複數個電極係布置呈該載體之該前側上的一柵格陣列。
範例7B可包括範例1B之標的,且可進一步指定該電極為複數個電極中之一者,且其中該等複數個電極係覆蓋該載體之該前側的一整體表面區域。
範例8B可包括範例1B之標的,且可進一步指定該等微電子組件係可個別地移開。
範例9B可包括範例1B之標的,且可進一步指定在該載體之該背側上的該充電接點係藉由一穿載體通孔電氣耦接到該電極。
範例10B可包括範例1B之標的,且可進一步指定該載體包括一矽材料,且其中該載體之該背側上的該充電接點係藉由通過該矽材料的傳導路徑而電氣耦接至該電極。
範例11B為一種載體總成,其包括具有一前側及相對的一背側之一載體;在該載體之該前側上的複數個電極;在該等複數個電極及該載體上的一高電容率介電材料;在該載體之該背側上的複數個充電接點,其電氣耦接至該等複數個電極;以及一微電子組件,其靜電式耦接至該載體之該前側。
範例12B可包括範例11B的該標的,且可進一步包括在該載體上的一重布層。
範例13B可包括範例12B之標的,且可進一步指定該等複數個電極中之二或更多個電極係經由該重布層中之傳導路徑來耦接。
範例14B可包括範例11B之標的,且可進一步指定該等複數個電極係可個別地充電。
範例15B可包括範例11B之標的,且可進一步指定該等複數個電極係集合地充電。
範例16B可包括範例11B之標的,且可進一步包括在該載體之該前側處之該高電容率介電材料上的一親水性材料及/或一疏水性材料。
範例17B為一種載體總成,其包括具有一前側及相對的一背側之一載體;在該載體之該前側上的複數個電極;在該等複數個電極及該載體上的一高電容率介電材料;在該載體之該背側上的複數個充電接點,其電氣耦接至該等複數個電極;以及複數個微電子組件,其靜電式耦接至該載體之該前側,且布置呈一圖案,用於配接至具有一積體電路(IC)圖案之一目標晶圓。
範例18B可包括範例17B之標的,且可進一步指定在該載體之該前側處的該高電容率介電材料之一表面係經平坦化。
範例19B可包括範例17B之標的,且可進一步指定該等微電子組件係可集合地移開。
範例20B可包括範例17B之標的,且可進一步指定該等微電子組件係可個別地移開。
範例1C為一種載體總成,其包括一載體,其具有一前側及相對的一背側;在該載體之該前側上的複數個電極;在該等複數個電極及該載體上的一高電容率介電材料,其中該高電容率介電材料包括紋理化微結構;在該載體之該背側上的複數個充電接點,其耦接至該等複數個電極;以及複數個微電子組件,其機械及靜電式耦接至該載體的該前側。
範例2C可包括範例1C之標的,且可進一步指定該高電容率介電材料包括一傳導核心材料及一介電塗層材料。
範例3C可包括範例2C之標的,且可進一步指定該傳導核心材料包括奈米碳管、銅線、銀線、或其他金屬結構。
範例4C可包括範例2C之標的,且可進一步指定該介電塗層材料包括鋁及氧、矽及氧、矽及氮、聚醯亞胺、鉿及氧化物,以及其等之組合。
範例5C可包括範例1C之標的,且可進一步包括在該載體之該前側處之該高電容率介電材料上的一親水性材料及/或一疏水性材料。
範例6C可包括範例1C之標的,且可進一步指定該等微電子組件係可集合地移開。
範例7C可包括範例1C之標的,且可進一步指定該等微電子組件係可個別地移開。
範例8C為一種載體總成,其包括一載體,其具有一前側及相對的一背側;在該載體之該前側上的複數個電極;在該等複數個電極及該載體上的一高電容率介電材料,其中該高電容率介電材料包括紋理化微結構;在該載體之該背側上的複數個充電接點,其耦接至該等複數個電極;以及複數個微電子組件,其機械及靜電式耦接至該載體的該前側,且布置呈一圖案,用於配接至具有一積體電路(IC)圖案之一目標晶圓。
範例9C可包括範例8C之標的,且可進一步指定該高電容率介電材料包括一傳導核心材料及一介電塗層材料。
範例10C可包括範例9C之標的,且可進一步指定該傳導核心材料包括奈米碳管、銅線、銀線、或其他金屬結構。
範例11C可包括範例9C之標的,且可進一步指定該介電塗層材料包括鋁及氧、矽及氧、矽及氮、聚醯亞胺、鉿及氧化物,以及其等之組合。
範例12C可包括範例8C之標的,且可進一步包括在該載體之該前側處之該高電容率介電材料上的一親水性材料及/或一疏水性材料,用以促進流體式自組裝至適當位置。
範例13C可包括範例8C之標的,且可進一步指定該等微電子組件係可集合地移開。
範例14C可包括範例8C之標的,且可進一步指定該等微電子組件係可個別地移開。
範例15C為一種載體總成,其包括一載體,其具有一前側及相對的一背側;在該載體之該前側上的複數個電極;在該等複數個電極及該載體上的一高電容率介電材料,其中該高電容率介電材料包括紋理化微結構;在該載體之該背側上的複數個充電接點,其耦接至該等複數個電極;以及一微電子組件,其機械及靜電式耦接至該載體的該前側。
範例16C可包括範例15C之標的,且可進一步指定該高電容率介電材料包括一傳導核心材料及一介電塗層材料。
範例17C可包括範例16C之標的,且可進一步指定該傳導核心材料包括奈米碳管、銅線、銀線、或其他金屬結構。
範例18C可包括範例16C之標的,且可進一步指定該介電塗層材料包括鋁及氧、矽及氧、矽及氮、聚醯亞胺、鉿及氧化物,以及其等之組合。
範例19C可包括範例15C之標的,且可進一步包括在該載體之該前側處之該高電容率介電材料上的一親水性材料及/或一疏水性材料。
範例20C可包括範例15C之標的,且可進一步指定該等多個電極為共同充電及放電的。
100:微電子總成 102,102-1,102-2,103:微電子組件 106:絕緣材料 107:載體 108:DB介電質 109:目的地晶圓,目標晶圓,微電子組件 110:DB接點 111:目的地組件,IC裝置 112,313:傳導路徑 113:保護材料 114:(傳導)線路 115:取放頭 116:(傳導)通孔 117:溶劑溶解 118,1636:傳導接點 119:紅/紫外線曝露 120:焊料 126:模塑材料 128:節距 130,130-1,130-2:直接接合區,DB區 138:底填材料 150:中介件 152:熱轉移結構 154:熱介面材料(TIM) 180,180-1A,180-1B,180-2A,180-2B:DB介面 182:支撐組件 184,188,190:厚度 200:紋理化載體總成 201:紋理化載體 205:紋理化材料,可致動材料 207:結構啟動導管材料 209,209A,209B:紋理化微結構 300:靜電載體總成 301:靜電載體 305:高電容率介電材料 307:充電器 309,309A,309B:電極 311:靜電保持力,靜電接合力,靜電式耦接 315:旋乾 317:充電接點 319:充電銷 321:真空卡盤 400:紋理化靜電載體總成 401:紋理化靜電載體 405:紋理化高電容率介電材料 407:親水性材料 515:切割刀片 615A:陣列或柵格 615B:六角形陣列 615C:立方體陣列 1500:晶圓 1502:晶粒 1600:IC裝置 1602:基體 1604:裝置層 1606:第一互連層 1608:第二互連層 1610:第三互連層 1619:金屬化堆疊(ILD堆疊) 1620:源極及/或汲極(S/D)區 1622:閘極 1624:S/D接點 1626:介電材料 1628:互連結構 1628a:線路 1628b,1708:通孔 1634:阻焊材料 1640:電晶體 1700:IC裝置總成 1702:電路板 1704:封裝體中介件 1706:TSV 1710:金屬線路 1714:嵌入式裝置 1716,1722,1728,1730:耦接組件 1720,1724,1726,1732:IC封裝體 1734:堆疊式封裝體結構 1736:中介件上封裝體結構 1740:(第一)面 1742:(第二)面 1800:電氣裝置 1802:處理裝置 1804:記憶體 1806:顯示裝置 1808:音訊輸出裝置 1810:其他輸出裝置 1812:通訊晶片 1812:(第一/二)通訊晶片 1814:電池/電源電路系統 1818:GPS裝置 1820:其他輸入裝置 1822:天線 1824:音訊輸入裝置
本文所說明的實施例以範例的方式例示而非限於隨附圖式之圖,其中類似的參考符號指示相似的特徵。下列圖式係為例示性,並可根據本文所說明之標的,使用其他的處理技術或階段。隨附圖式未必按比例繪製。此外,省略一些習知細節以免混淆描本文所說明的發明概念。
圖1A及1B為根據各種實施例之範例紋理化載體總成的立體圖。
圖1C及1D分別為圖1A及1B之範例紋理化載體總成的側截面圖。
圖2A-2J為根據各種實施例之紋理化載體之範例紋理化微結構的示意圖。
圖3A-3E為根據各種實施例之使用一紋理化載體之一範例微電子組件組裝程序的各種階段的側截面圖。
圖4A-4E為根據各種實施例之使用一紋理化載體之一範例微電子組件組裝程序的各種階段的側截面圖。
圖5A及5B為根據各種實施例之使用一紋理化載體之一範例微電子組件單粒化程序的側截面圖。
圖6A-6C為根據各種實施例之一紋理化載體之紋理化微結構的範例布置。
圖7A-7C為根據各種實施例之包括一致動材料之範例紋理化載體總成的側截面圖。
圖8A-8B為根據各種實施例之範例靜電載體總成的側截面圖。
圖9A-9F為根據各種實施例之使用一靜電載體之一範例微電子組件組裝程序的各種階段的側截面圖。
圖10A-10G為根據各種實施例之使用一靜電載體之一範例微電子組件組裝程序的各種階段的側截面圖。
圖11A-11C為根據各種實施例之在一靜電載體上之充電接點的一範例布置的後側視圖及側視圖。
圖12A及12B為根據各種實施例之一靜電載體之一前側上之範例電極配置的俯視圖。
圖13A-13C為根據各種實施例之範例紋理化靜電載體總成的側截面圖。
圖14A-14E為根據各種實施例之使用一紋理化靜電載體之一範例微電子組件流體自組裝程序的各種階段的側截面圖。
圖14F為根據各種實施例之一範例微電子組件流體自組裝成一紋理化靜電載體的一側截面圖。
圖15A及15B根據各種實施例,為一微電子組件流體自組裝程序之範例定向偏好的俯視示意圖例示。
圖16為根據各種實施例之包括直接接合之一範例微電子總成的側截面圖。
圖17為根據各種實施例之圖16之微電子總成之一部分的側截面分解圖。
圖18為根據本文所揭露之實施例中任一者之可包括在一微電子組件中之一晶圓及晶粒的俯視圖。
圖19為根據本文所揭露之實施例中任一者之可包括在一微電子組件中之一積體電路(IC)裝置的側截面圖。
圖20為根據本文所揭露之實施例中任一者之可包括一微電子總成之一IC裝置總成的側截面圖。
圖21為根據本文所揭露之實施例中任一者之可包括一微電子總成之一範例電氣裝置的方塊圖。
102:微電子組件
107:載體
200:紋理化載體總成
201:紋理化載體
205:紋理化材料,可致動材料
209:紋理化微結構

Claims (20)

  1. 一種載體總成,其包含: 一載體; 一紋理化材料,其耦接至該載體且包括紋理化微結構;以及 複數個微電子組件,其機械式及可移除地耦接至該等紋理化微結構。
  2. 如請求項1之載體總成,其中該紋理化材料為一乾黏著劑材料。
  3. 如請求項2之載體總成,其中該乾黏著劑材料之該等紋理化微結構的一形狀包括下列中之一或多者:一柱、一加蓋柱、一球體、一圓頂體、一吸杯、及一傾斜吸杯。
  4. 如請求項2之載體總成,其中該等紋理化微結構係經壓印、模製、微影圖案化或層疊於該乾黏著劑材料上。
  5. 如請求項2之載體總成,其中該等紋理化微結構之一厚度係在100奈米與150微米之間。
  6. 如請求項1之載體總成,其中該紋理化材料包括一可致動材料,其一旦啟動時產生該等紋理化微結構。
  7. 如請求項6之載體總成,其中該可致動材料係藉由紫外線輻射、增高之溫度及紅外光中之一或多者啟動。
  8. 如請求項6之載體總成,其中該可致動材料包括一彈性體、一橡膠、一胺基甲酸乙酯、一胺酯共聚物、一聚胺甲酸酯、一丙烯酸酯、一丙烯酸共聚物、一矽酮、一矽酮共聚物、一全氟彈性體,及其等之組合。
  9. 如請求項1至8中任一項之載體總成,其中該載體之一材料包括玻璃、矽或一半導體材料。
  10. 如請求項1至8中任一項之載體總成,其中該等微電子組件係可個別移除。
  11. 一種載體總成,其包含: 一載體; 一圖案化紋理化材料,其耦接至該載體且包括紋理化微結構;以及 複數個微電子組件,其機械式及可移除地耦接至該等紋理化微結構。
  12. 如請求項11之載體總成,其中該紋理化材料為一乾黏著劑材料。
  13. 如請求項12之載體總成,其中該乾黏著劑材料之該等紋理化微結構的一形狀包括下列中之一或多者:一柱、一加蓋柱、一球體、一圓頂體、一吸杯、及一傾斜吸杯。
  14. 如請求項11之載體總成,其中該紋理化材料包括一可致動材料,其一旦啟動時產生該等紋理化微結構。
  15. 如請求項14之載體總成,其中該可致動材料係藉由紫外線輻射、增高之溫度及紅外光中之一或多者啟動。
  16. 如請求項14之載體總成,其中該可致動材料包括一彈性體、一橡膠、一胺基甲酸乙酯、一胺酯共聚物、一聚胺甲酸酯、一丙烯酸酯、一丙烯酸共聚物、一矽酮、一矽酮共聚物、一全氟彈性體,及其等之組合。
  17. 一種載體總成,其包含: 一載體,其包括具有紋理化微結構之一紋理化材料;以及 複數個微電子組件,其機械式及可移除地耦接至該等紋理化微結構。
  18. 如請求項17之載體總成,其中該等紋理化微結構的一覆蓋區包括一矩形、一圓形、一十字形、一卵形、一環形或一八角形,或者其等之任何組合。
  19. 如請求項17或18之載體總成,其中該等紋理化微結構係布置呈一柵格陣列、一六角形陣列、或一以面為中心的立方體陣列。
  20. 如請求項17至19中任一項之載體總成,其中該等微電子組件係可集合地移開。
TW110135428A 2020-12-23 2021-09-23 用於具有直接接合之微電子總成之載體 TW202243066A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/132,372 US20220199453A1 (en) 2020-12-23 2020-12-23 Carrier for microelectronic assemblies having direct bonding
US17/132,372 2020-12-23

Publications (1)

Publication Number Publication Date
TW202243066A true TW202243066A (zh) 2022-11-01

Family

ID=78819976

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110135428A TW202243066A (zh) 2020-12-23 2021-09-23 用於具有直接接合之微電子總成之載體

Country Status (4)

Country Link
US (1) US20220199453A1 (zh)
EP (1) EP4020536A1 (zh)
CN (1) CN114664748A (zh)
TW (1) TW202243066A (zh)

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4395451A (en) * 1980-07-14 1983-07-26 Althouse Victor E Semiconductor wafer and die handling method and means
US4667944A (en) * 1985-08-29 1987-05-26 Vichem Corporation Means for handling semiconductor die and the like
US9620478B2 (en) * 2011-11-18 2017-04-11 Apple Inc. Method of fabricating a micro device transfer head
US9633982B2 (en) * 2015-02-17 2017-04-25 Chun Yen Chang Method of manufacturing semiconductor device array
US20180294178A1 (en) * 2015-09-23 2018-10-11 Intel Corporation Method of manufacturing ultra thin wafers
US11121302B2 (en) * 2018-10-11 2021-09-14 SeeQC, Inc. System and method for superconducting multi-chip module
CN109661163B (zh) * 2018-12-20 2019-08-13 广东工业大学 一种温控粘附式Micro-LED巨量转移方法

Also Published As

Publication number Publication date
CN114664748A (zh) 2022-06-24
US20220199453A1 (en) 2022-06-23
EP4020536A1 (en) 2022-06-29

Similar Documents

Publication Publication Date Title
US11616047B2 (en) Microelectronic assemblies
US11817390B2 (en) Microelectronic component having molded regions with through-mold vias
US11557579B2 (en) Microelectronic assemblies having an integrated capacitor
US11721649B2 (en) Microelectronic assemblies
EP4356426A1 (en) Microelectronic assemblies having a hybrid bonded interposer for die-to-die fan-out scaling
US11688692B2 (en) Embedded multi-die interconnect bridge having a substrate with conductive pathways and a molded material region with through-mold vias
EP4016615A1 (en) Inter-component material in microelectronic assemblies having direct bonding
US20230073026A1 (en) Microelectronic assemblies having backside die-to-package interconnects
EP4020534A1 (en) Carrier for microelectronic assemblies having direct bonding
US20230088170A1 (en) Microelectronic assemblies including solder and non-solder interconnects
TW202243066A (zh) 用於具有直接接合之微電子總成之載體
US20220270976A1 (en) Microelectronic assemblies including bridges
EP4020535A1 (en) Carrier for microelectronic assemblies having direct bonding
US11749628B2 (en) Sacrificial redistribution layer in microelectronic assemblies having direct bonding
US20220189839A1 (en) Inter-component material in microelectronic assemblies having direct bonding
US20230087367A1 (en) Microelectronic assemblies with through die attach film connections
US20230086691A1 (en) Microelectronic assemblies including bridges
US20230197543A1 (en) Microelectronic assemblies with adaptive multi-layer encapsulation materials
WO2024006594A1 (en) Microelectronic assemblies including stacked dies coupled by a through dielectric via
WO2019132962A1 (en) Thermal structures for microelectronic assemblies