TW202240779A - 半導體重構 - Google Patents

半導體重構 Download PDF

Info

Publication number
TW202240779A
TW202240779A TW111106826A TW111106826A TW202240779A TW 202240779 A TW202240779 A TW 202240779A TW 111106826 A TW111106826 A TW 111106826A TW 111106826 A TW111106826 A TW 111106826A TW 202240779 A TW202240779 A TW 202240779A
Authority
TW
Taiwan
Prior art keywords
semiconductor
semiconductor die
filling material
layer
die
Prior art date
Application number
TW111106826A
Other languages
English (en)
Inventor
拉金德拉 D 潘得悉
Original Assignee
美商元平台技術有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商元平台技術有限公司 filed Critical 美商元平台技術有限公司
Publication of TW202240779A publication Critical patent/TW202240779A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/48Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor body packages
    • H01L33/62Arrangements for conducting electric current to or from the semiconductor body, e.g. lead-frames, wire-bonds or solder balls
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/01Head-up displays
    • G02B27/017Head mounted
    • G02B27/0172Head mounted characterised by optical features
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/075Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L33/00
    • H01L25/0753Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L33/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/075Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L33/00
    • H01L25/0756Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/15Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components having potential barriers, specially adapted for light emission
    • H01L27/153Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components having potential barriers, specially adapted for light emission in a repetitive configuration, e.g. LED bars
    • H01L27/156Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components having potential barriers, specially adapted for light emission in a repetitive configuration, e.g. LED bars two-dimensional arrays
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0093Wafer bonding; Removal of the growth substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0095Post-treatment of devices, e.g. annealing, recrystallisation or short-circuit elimination
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/48Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor body packages
    • H01L33/52Encapsulations
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/01Head-up displays
    • G02B27/017Head mounted
    • G02B2027/0178Eyeglass type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08151Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/08221Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/08225Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/25Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of a plurality of high density interconnect connectors
    • H01L2224/251Disposition
    • H01L2224/2518Disposition being disposed on at least two different sides of the body, e.g. dual array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1017All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
    • H01L2225/1035All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the device being entirely enclosed by the support, e.g. high-density interconnect [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1041Special adaptations for top connections of the lowermost container, e.g. redistribution layer, integral interposer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1047Details of electrical connections between containers
    • H01L2225/1058Bump or bump-like electrical connections, e.g. balls, pillars, posts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1094Thermal management, e.g. cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12041LED
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • H01L2924/1435Random access memory [RAM]
    • H01L2924/1436Dynamic random-access memory [DRAM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18162Exposing the passive side of the semiconductor or solid-state body of a chip with build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2933/00Details relating to devices covered by the group H01L33/00 but not provided for in its subgroups
    • H01L2933/0008Processes
    • H01L2933/0033Processes relating to semiconductor body packages
    • H01L2933/005Processes relating to semiconductor body packages relating to encapsulations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2933/00Details relating to devices covered by the group H01L33/00 but not provided for in its subgroups
    • H01L2933/0008Processes
    • H01L2933/0033Processes relating to semiconductor body packages
    • H01L2933/0066Processes relating to semiconductor body packages relating to arrangements for conducting electric current to or from the semiconductor body

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Optics & Photonics (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Devices For Indicating Variable Information By Combining Individual Elements (AREA)

Abstract

本發明描述一種製品,該製品包括包括積體電路之半導體晶粒。該半導體晶粒界定第一主表面、與該第一主表面相對之第二主表面及結合該第一主表面與該第二主表面之複數個周邊壁。該製品進一步包括在該第一主表面與該第二主表面之間延伸穿過該半導體晶粒之至少一個矽穿孔及包圍該半導體晶粒之至少部分的填充材料。該填充材料接觸該複數個周邊壁中之至少一者,且該填充材料之一表面與該半導體晶粒之該第一主表面實質上共面。該製品進一步包括位於該半導體晶粒之該第一主表面及該填充材料之該表面上的至少一個重佈線層。

Description

半導體重構
本揭示通常係關於半導體裝置及半導體處理。
諸如光學顯示器之光學裝置向使用者呈現內容。舉例而言,光學顯示器發射光且典型地在空間上及時間上調變光以形成影像及/或視訊。在一些應用中,顯示器自一或多個光源發射空間上均勻的光且使用空間濾光器(諸如,液晶(liquid crystal;LC)面板)調變光。在其他應用中,光學顯示器之光源可以2D陣列配置且藉助於發射一定範圍之亮度而在空間上調變光。一些光源可併入有用於驅動器電路及光發射裝置之不同基板材料以達成不同光學特性。
積體電路(IC)或單石積體電路(亦被稱作IC、晶片、微晶片)為在通常為矽之一小片半導體材料上的一組電子電路。在積體電路之內容背景中,晶粒為製造給定功能電路所在之半導體材料的小塊體。典型地,積體電路經由諸如微影之製程在電子級矽(electronic-grade silicon;EGS)或其他半導體(諸如GaAs)之單晶圓上大批量製造。將晶圓分割(切割)成許多片段,每一片段含有電路之至少一個電路或一個複本。此等片段中之每一者稱為晶粒或IC晶粒。多晶片模組(multi-chip module;MCM)為一般電子總成(諸如具有許多導體端子或「接腳」之封裝),其中多個積體電路(IC或「晶片」)、半導體晶粒及/或其他離散組件通常經整合至一體化基板上,使得在使用中,其可如同其為較大IC一樣經處理。構成MCM之個別IC被稱為小晶片。
大體而言,本揭示描述用於形成包含一或多個IC(或晶粒、晶片模組、晶片、小晶片等)之電子總成的半導體晶圓製造方法、系統及製品。該等技術包括用至少部分地囊封一或多個半導體晶粒中之一或多者之填充材料重構一或多個半導體晶粒,及在半導體晶粒及填充材料之表面上形成一或多個重佈線層(redistribution layer;RDL)。在一些實例中,矽穿孔(through silicon via;TSV)可形成於一或多個半導體晶粒中,一或多個封裝穿孔(through package via;TPV)可形成於填充材料中,且一或多個重佈線層可延伸至一或多個半導體晶粒之表面及填充材料之表面上,其中填充材料之表面可與半導體晶粒之表面共面。RDL可在平面位置之間,例如在半導體晶粒表面及共面填充材料表面之位置之間提供複數個互連點及互連路徑。在一些實例中,填充材料為增加之RDL面積提供增加之表面積,且對應地實現互連點及/或路徑之數目的增加或互連點及/或路徑之密度的減小,例如此可減小不利電假影、串擾、非所要電感及其類似者。在一些實例中,填充材料可提供增加之體積以增加通孔,例如在不同平面之間貫穿填充材料及/或半導體晶粒之厚度的互連點及/或路徑。
在一個實例中,本揭示描述一種製品,其包括:半導體晶粒,其包括積體電路,其中半導體晶粒界定第一主表面、與第一主表面相對之第二主表面及結合第一主表面與第二主表面之複數個周邊壁;至少一個矽穿孔,其在第一主表面與第二主表面之間延伸穿過半導體晶粒;填充材料,其包圍半導體晶粒之至少部分,其中填充材料接觸複數個周邊壁中之至少一者,且其中填充材料之表面與半導體晶粒之第一主表面實質上共面;及至少一個重佈線層,其位於半導體晶粒之第一主表面及填充材料之表面上。
在另一實例中,本揭示描述一種方法,其包括:將複數個半導體晶粒置放於載體晶圓上;在複數個半導體晶粒之間引入填充材料以至少部分地囊封複數個半導體晶粒;在第一主表面與跟第一主表面相對之第二主表面之間形成延伸穿過複數個半導體晶粒中之至少一者的至少一個矽穿孔;及在複數個半導體晶粒之第一主表面上形成至少一個重佈線層,其中至少一個重佈線層延伸至填充材料之表面上。
在另一實例中本公開描述一種方法,其包括:將半導體晶粒置放於載體晶圓上;引入包圍半導體晶粒之至少部分之填充材料以至少部分地囊封半導體晶粒;薄化填充材料及半導體晶粒,使得填充材料之表面與半導體晶粒之第一主表面實質上共面;在第一主表面與第二主表面之間形成延伸穿過第一半導體晶粒或第二半導體晶粒中之至少一者的至少一個矽穿孔;及在半導體晶粒之第一主表面及填充材料之表面上形成至少一個重佈線層。
在另一實例中本揭示描述一種人工實境系統,該人工實境系統包括:顯示器,其包括:微型LED陣列,其包含複數個微型LED及包括積體電路之半導體晶粒,其中微型LED陣列界定第一主表面、與第一主表面相對之第二主表面及結合第一主表面與第二主表面之複數個周邊壁;填充材料,其包圍微型LED陣列之至少部分,其中填充材料接觸複數個周邊壁中之至少一者,且其中填充材料之表面與微型LED陣列之第一主表面實質上共面;及至少一個重佈線層,其位於微型LED陣列之第二主表面及填充材料之表面上;以及半導體晶粒,其位於至少一個重佈線層之與微型LED陣列的第二主表面及填充材料之表面相對的主表面上。
因此,所揭示之實例提供用於形成包含一或多個IC之電子總成的方法及技術。在以下隨附圖式及描述中闡述一或多個實例之細節。其他特徵、目標及優點將自描述、圖式及申請專利範圍而顯而易見。
此發明內容既不意欲識別所主張主題之關鍵或基本特徵,亦不意欲單獨使用以決定所主張主題之範圍。應參考本揭示之整篇說明書之適當部分、任何或所有圖式及每一申請專利範圍來理解該主題。下文將在以下說明書、申請專利範圍及隨附圖式中更詳細地描述前述內容連同其他特徵及實例。
本揭示通常係關於整合通常涉及半導體組件之電子功能塊的唯一方式。在一些實例中,且非限制性地,本揭示係關於將半導體組件、小晶片及/或微型發光二極體(micro light emitting diode;μLED)與相關聯數位及/或類比電路(諸如用於半導體組件及/或μLED之控制或驅動器電路)整合於各種組態中之系統、方法及製品,該等各種配置包括延伸區域,該等延伸區域可包括額外重佈線層區域及相關聯的增加之互連及互連路徑及/或減小之互連及互連路徑密度。本文描述各種發明性具體實例,包括裝置、系統、方法、材料及其類似者。
構建處理器、記憶體及其他半導體組件(諸如小晶片)的模組化方法需要複數個輸入/輸出(I/O)存取點及路徑,以便將小晶片電路互連且達成模組設計。當前小晶片在可用於經由重佈線層(RDL)進行平面內連接及經由矽穿孔(TSV)及/或封裝穿孔(TPV)進行貫穿平面連接(例如,層之間)的區域中受到小晶片之大小的限制。
在一些裝置中,例如人工實境裝置(包括虛擬實境、擴增實境及混合實境),μLED及波導可用於顯現人工實境影像。μLED可以一或多個數位/類比晶粒之形式與數位及類比電路整合,且可與諸如圖形處理器之其他組件整合於小型化顯示器封裝中。
半導體組件彼此之整合及與數位/類比電路之整合常常需要晶圓級製造操作,諸如在晶圓中形成TSV及/或TPV以促進半導體封裝中之電路的整合。然而,習知半導體組件及小晶片中可用於互連點及路徑之面積/體積受到限制。因此,對半導體組件之I/O存取受到有限面積/體積及其他互連路由密度限制限制,諸如例如藉由耦接電容而減小、最小化或以其他方式控制互連點/路徑之間的串擾之需要。
本揭示係關於形成具有可用於平面內(RDL)互連點及路徑以及貫穿平面互連點及路徑(TSV及TPV)之增加的面積的半導體組件之製品、系統及技術。在一些實例中,具有用於平面內及貫穿平面互連點及路徑之增加的面積之製品可經由半導體重構技術製造,例如自半導體晶圓切割半導體晶粒,挑選半導體晶粒且將其置放於載體晶圓上,及使用不易於對半導體晶圓執行之一或多個處理步驟處理半導體晶粒,例如由於半導體晶圓大小及製造設備針對處理步驟之失配或其他實用及/或成本原因(諸如僅對通過一定測試要求之經切割半導體晶粒執行昂貴製程)。此外,在需要將不同半導體晶粒一起整合在單一模組化單元中之情形中,此整合藉由針對半導體晶粒中之任一者在親代晶圓上處理之傳統方法排除。
在一些實例中,所揭示之半導體組件、小晶片及/或技術可藉由包括沿著及/或穿過填充材料之RDL、TSV及TPV連接路徑來利用填充材料之區域,從而增加可用於互連之空間(例如,面積或體積)且因此增加設計、配置及利用模組化半導體組件及/或小晶片之可撓性。多個半導體晶粒可在共同基板(例如,載體晶圓)上重構,且可經由一側或兩側(例如,替代地被稱作頂部/底部或前部/背部)上之RDL與填充材料內之半導體晶粒及/或TPV中之每一者或子集內的TSV之組合互連。經由所揭示之重構製程之多晶片整合利用圍繞晶粒邊界之區以增大用於路由及I/O置放之區域。增加之I/O區域可易於路由密度且可減少串擾,或可增加給定路由密度之I/O計數。
在一些實例中,所揭示之半導體組件、小晶片及/或技術可實現雙側(頂部/底部)RDL形成,加倍可用於自半導體晶粒形成I/O互連之區域且允許藉由類型(例如,經由一側存取之電力/接地互連及經由另一側存取之信號互連)對I/O互連進行邏輯分組。具有雙側RDL之半導體組件亦可使得能夠形成可堆疊單元。
在一些實例中,所揭示製程包括運用一薄黏著劑層來挑選且將面向下之複數個經切割半導體晶粒置放於載體晶圓上。接著用填充材料囊封晶粒,將晶粒薄化至所需厚度以暴露晶粒之背部,且平坦化晶粒。TSV可接著形成於半導體晶粒中,且TPV可形成於填充材料中。在一些實例中,TSV/TPV形成可針對流線型製程流程與RDL形成整合,例如TSV及/或TPV可在RDL層形成期間生長。
在本文所描述之實例中的任一者中,所揭示之程序及/或晶圓重構可降低整合半導體組件與數位及/或類比電路之成本、複雜度及/或處理時間。另外,重構可保存原本將例如自較大CMOS數位/類比電路晶圓拋離之經預處理材料。另外,所揭示之具有增加面積及相關聯製造技術之半導體組件可提供平面內(RDL)互連點及路徑以及貫穿平面(TSV及TPV)互連點及路徑之增加計數、減小的平面內互連點及/或貫穿平面互連點及/或路徑密度,及/或減小的串擾。
如上文所提及,在一些實施中,本文中所描述之技術可用於形成包括微型LED之裝置。本文中所描述之微型LED可結合諸如人工實境系統之各種技術來使用。諸如頭戴式顯示器(HMD)或抬頭顯示器(heads-up display;HUD)系統之人工實境系統通常包括經組態以呈現描繪虛擬環境中之物件之人工影像的顯示器。顯示器可呈現虛擬物件或將真實物件之影像與虛擬物件之影像組合,如在虛擬實境(virtual reality;VR)、擴增實境(augmented reality;AR)或混合實境(mixed reality;MR)應用中。舉例而言,在AR系統中,使用者可藉由例如透視透明顯示眼鏡或透鏡(通常被稱作光學透視)或檢視由攝影機捕獲之周圍環境之所顯示影像(通常被稱作視訊透視)來檢視虛擬物件之所顯示影像(例如,電腦產生影像(computer-generated image;CGI))及周圍環境之所顯示影像兩者。在一些AR系統中,可使用基於LED之顯示子系統將人工影像呈現給使用者。
如本文中所使用,術語「發光二極體(LED)」指代至少包括n型半導體層、p型半導體層及n型半導體層與p型半導體層之間的光發射區(亦即,主動區)之光源。光發射區可包括形成諸如量子井之一或多個異質結構之一或多個半導體層。在一些具體實例中,光發射區可包括形成一或多個多重量子井(multiple-quantum-well;MQW)之多個半導體層,該一或多個多重量子井各自包括多個(例如,約2至6個)量子井。
如本文中所使用,術語「微型LED」或「μLED」指代具有晶片之LED,其中該晶片之線性尺寸小於約200 μm,諸如小於100 μm,小於50 μm,小於20 μm,小於10 μm或更小。舉例而言,微型LED之線性尺寸可小至6 µm、5 µm、4 µm、2 µm或更小。一些微型LED可具有與少數載子擴散長度相當的線性尺寸(例如,長度或直徑)。然而,本文中之揭示內容不限於微型LED,且亦可應用於小型LED及大型LED。
如本文中所使用,術語「接合」可指用於實體及/或電連接兩個或更多個裝置及/或晶圓之各種方法,諸如黏著接合、金屬間接合、金屬氧化物接合、晶圓間接合、晶粒至晶圓接合、混合接合、焊接、凸塊下金屬化及其類似者。舉例而言,黏著接合可使用可固化黏著劑(例如,環氧樹脂)以經由黏著力實體地接合兩個或更多個裝置及/或晶圓。金屬間接合可包括例如在金屬之間使用焊接界面(例如,凸塊、墊或球)、導電黏著劑或焊接接頭之線接合或覆晶接合。金屬氧化物接合可在每一表面上形成金屬及氧化物圖案,將氧化物區段接合在一起,且接著將金屬區段接合在一起以產生導電路徑。晶圓間接合可接合兩個晶圓(例如,矽晶圓或其他半導體晶圓)而無任何中間層,且係基於兩個晶圓之表面之間的化學鍵。晶圓間接合可包括在室溫下之晶圓清潔及其他預處理、對準及預接合,及在諸如約250℃或更高之高溫下的退火。晶粒至晶圓接合可使用一個晶圓上之凸塊以將預成型晶片之特徵與晶圓之驅動器對準。混合接合可包括例如晶圓清潔、一個晶圓之接點與另一晶圓之接點的高精度對準、晶圓內之介電材料在室溫下之介電接合,及藉由在例如250℃至300℃或更高溫度下退火而進行之接點之金屬接合。如本文中所使用,術語「凸塊」通常可指在接合期間使用或形成之金屬互連件。
在以下描述中,出於解釋之目的,闡述特定細節以便提供對本公開之實例的透徹理解。然而,將顯而易見的,可在無此等特定細節之情況下實踐各種實例。舉例而言,裝置、系統、結構、總成、方法及其他組件可以方塊圖形式展示為組件,以免以不必要的細節混淆實例。在其他情況下,可在無必要細節之情況下展示熟知裝置、製程、系統、結構及技術,以免混淆實例。圖式及描述並不意欲為限定性的。已在本揭示中採用之術語及表述用作描述之術語且不為限制性的,且在使用此類術語及表述中,不欲排除所展示及描述之特徵或其部分的任何等效物。字組「實例」在本文中用以意謂「充當實例、例項或說明」。不必將本文中描述為「實例」之任何具體實例或設計理解為比其他具體實例或設計較佳或優於其他具體實例或設計。
圖1為根據某些具體實例之包括近眼顯示器120之人工實境系統環境100之實例的簡化方塊圖。圖1中所展示之人工實境系統環境100可包括近眼顯示器120、視情況選用之外部成像裝置150及視情況選用之輸入/輸出介面140,其中之每一者可耦接至視情況選用之控制台110。雖然圖1展示包括一個近眼顯示器120、一個外部成像裝置150及一個輸入/輸出介面140之人工實境系統環境100之實例,但可在人工實境系統環境100中包括任何數目個此等組件,或可省略該等組件中之任一者。舉例而言,可存在多個近眼顯示器120,其可由與控制台110通信之一或多個外部成像裝置150監視。在一些組態中,人工實境系統環境100可不包括外部成像裝置150、視情況選用之輸入/輸出介面140及視情況選用之控制台110。在替代組態中,不同組件或額外組件可包括於人工實境系統環境100中。
近眼顯示器120可為將內容呈現給使用者之頭戴式顯示器。由近眼顯示器120呈現之內容的實例包括影像、視訊、音訊或其任何組合中之一或多者。在一些具體實例中,音訊可經由外部裝置(例如,揚聲器及/或頭戴式耳機)呈現,該外部裝置自近眼顯示器120、控制台110或此兩者接收音訊資訊,且基於該音訊資訊呈現音訊資料。近眼顯示器120可包括一或多個剛體,該一或多個剛性主體可剛性地或非剛性地彼此耦接。剛體之間的剛性耦接可使得經耦接之剛體充當單一剛性實體。剛體之間的非剛性耦接可允許剛體相對於彼此移動。在各種具體實例中,近眼顯示器120可以任何合適的外觀尺寸來實施,包括一副眼鏡。下文關於圖2及圖3進一步描述近眼顯示器120之一些具體實例。另外,在各種具體實例中,本文中所描述之功能性可用於將在近眼顯示器120外部之環境之影像與人工實境內容(例如,電腦產生之影像)組合之耳機中。因此,近眼顯示器120可利用所產生之內容(例如,影像、視訊、聲音等)擴增在近眼顯示器120外部之實體真實世界環境之影像,以將擴增實境呈現給使用者。
在各種具體實例中,近眼顯示器120可包括顯示電子件122、顯示光學件124及眼睛追蹤單元130中之一或多者。在一些具體實例中,近眼顯示器120亦可包括一或多個定位器126、一或多個位置感測器128及慣性量測單元(inertial measurement unit;IMU)132。在各種具體實例中,近眼顯示器120可省略眼睛追蹤單元130、定位器126、位置感測器128及IMU 132中之任一者,或包括額外元件。另外,在一些具體實例中,近眼顯示器120可包括組合結合圖1所描述之各種元件之功能的元件。
顯示電子件122可根據自例如控制台110接收到之資料而向使用者顯示影像或促進向使用者顯示影像。在各種具體實例中,顯示電子件122可包括一或多個顯示面板,諸如液晶顯示器(liquid crystal display;LCD)、有機發光二極體(organic light emitting diode;OLED)顯示器、無機發光二極體(inorganic light emitting diode;ILED)顯示器、微型發光二極體(micro light emitting diode;μLED)顯示器、主動矩陣OLED顯示器(active-matrix OLED display;AMOLED)、透明OLED顯示器(transparent OLED display;TOLED)或某一其他顯示器。舉例而言,在近眼顯示器120之一個實施中,顯示電子件122可包括前TOLED面板、後顯示面板,及在前顯示面板與後顯示面板之間的光學組件(例如,衰減器、偏光器,或繞射或光譜膜)。顯示電子件122可包括像素以發射諸如紅色、綠色、藍色、白色或黃色之主色彩的光。在一些實施中,顯示電子件122可經由由二維面板產生之立體效果來顯示三維(three-dimensional;3D)影像以產生影像深度之主觀感知。舉例而言,顯示電子件122可包括分別定位於使用者之左眼及右眼前方的左顯示器及右顯示器。左顯示器及右顯示器可呈現相對於彼此水平地移位之影像的複本,以產生立體效果(亦即,檢視影像之使用者對影像深度之感知)。
在某些具體實例中,顯示光學件124可以光學方式顯示影像內容(例如,使用光波導及耦合器),或放大自顯示電子件122接收到之影像光,校正與影像光相關聯之光學誤差,且向近眼顯示器120之使用者呈現經校正之影像光。在各種具體實例中,顯示光學件124可包括一或多個光學元件,諸如基板、光波導、孔徑、菲涅爾透鏡(Fresnel lens)、凸透鏡、凹透鏡、濾光器、輸入/輸出耦合器,或可能影響自顯示電子件122發射之影像光的任何其他合適的光學元件。顯示光學件124可包括不同光學元件之組合,以及用以維持組合中之光學元件之相對間隔及位向的機械耦合器。顯示光學件124中之一或多個光學元件可具有光學塗層,諸如抗反射塗層、反射塗層、濾光塗層或不同光學塗層之組合。
顯示光學件124對影像光之放大可允許顯示電子件122相比於較大顯示器實體上較小,重量較輕且消耗較少功率。另外,放大可增加所顯示之內容的視場。顯示光學件124對影像光之放大之量可藉由調整、添加光學元件或自顯示光學件124移除光學元件來改變。在一些具體實例中,顯示光學件124可將所顯示影像投影至可比近眼顯示器120更遠離使用者眼睛之一或多個影像平面。
顯示光學件124亦可經設計以校正一或多種類型之光學誤差,諸如二維光學誤差、三維光學誤差或其任何組合。二維誤差可包括在兩個維度中出現之光學像差。二維誤差之實例類型可包括桶形畸變、枕形畸變、縱向色像差及橫向色像差。三維誤差可包括在三個維度中出現之光學誤差。三維誤差之實例類型可包括球面像差、慧形像差、場曲率及像散。
定位器126可為相對於彼此且相對於近眼顯示器120上之參考點而位於近眼顯示器120上之特定位置中的物件。在一些實施中,控制台110可在由外部成像裝置150捕獲之影像中識別定位器126,以判定人工實境耳機之位置、位向或此兩者。定位器126可為LED、直角反射器、反射標記、與近眼顯示器120進行操作所處之環境形成對比的一種類型之光源,或其任何組合。在定位器126為主動組件(例如,LED或其他類型之光發射裝置)之具體實例中,定位器126可發射在可見光頻帶(例如,約380 nm至750 nm)中、紅外線(infrared;IR)頻帶(例如,約750 nm至1 mm)中、紫外線頻帶(例如,約10 nm至約380 nm)中、電磁波譜之另一部分中或電磁波譜之部分之任何組合中的光。
外部成像裝置150可包括一或多個攝影機、一或多個視訊攝影機、能夠捕獲包括定位器126中之一或多者之影像的任何其他裝置,或其任何組合。另外,外部成像裝置150可包括一或多個濾光器(例如,以增大信雜比)。外部成像裝置150可經組態以偵測在外部成像裝置150之視場中自定位器126發射或反射之光。在定位器126包括被動元件(例如,回反射器)之具體實例中,外部成像裝置150可包括照明定位器126中之一些或全部的光源,該等定位器126可將光逆反射至外部成像裝置150中之光源。慢速校準資料可自外部成像裝置150傳達至控制台110,且外部成像裝置150可自控制台110接收一或多個校準參數以調整一或多個成像參數(例如,焦距、焦點、幀率、感測器溫度、快門速度、孔徑等)。
位置感測器128可回應於近眼顯示器120之運動而產生一或多個量測信號。位置感測器128之實例可包括加速計、陀螺儀、磁力計、其他運動偵測或誤差校正感測器,或其任何組合。舉例而言,在一些具體實例中,位置感測器128可包括用以量測平移運動(例如,向前/向後、向上/向下或向左/向右)之多個加速計及用以量測旋轉運動(例如,俯仰、搖動或橫搖)之多個陀螺儀。在一些具體實例中,各種位置感測器可彼此正交地定向。
IMU 132可為基於自位置感測器128中之一或多者接收到之量測信號而產生快速校準資料的電子裝置。位置感測器128可位於IMU 132外部、IMU 132內部或其任何組合。基於來自一或多個位置感測器128之一或多個量測信號,IMU 132可產生快速校準資料,該快速校準資料指示近眼顯示器120相對於近眼顯示器120之初始位置的所估計位置。舉例而言,IMU 132可隨時間推移對自加速計接收到之量測信號進行整合以估計速度向量,且隨時間推移對該速度向量進行整合以判定近眼顯示器120上之參考點的所估計位置。替代地,IMU 132可將經取樣之量測信號提供至控制台110,該控制台可判定快速校準資料。雖然參考點通常可定義為空間中之點,但在各種具體實例中,參考點亦可定義為近眼顯示器120內之點(例如,IMU 132之中心)。
眼睛追蹤單元130可包括一或多個眼睛追蹤系統。眼睛追蹤可指判定眼睛相對於近眼顯示器120之位置,包括眼睛之位向及部位。眼睛追蹤系統可包括成像系統以對一或多個眼睛進行成像,且可視情況包括光發射器,該光發射器可產生導向眼睛之光,使得由眼睛反射之光可由成像系統捕獲。舉例而言,眼睛追蹤單元130可包括發射在可見光譜或紅外線光譜中之光的非相干或相干光源(例如,雷射二極體),及捕獲由使用者眼睛反射之光的攝影機。作為另一實例,眼睛追蹤單元130可捕獲由微型雷達單元發射之經反射無線電波。眼睛追蹤單元130可使用低功率光發射器,該等低功率光發射器在不會損傷眼睛或引起身體不適之頻率及強度下發射光。眼睛追蹤單元130可經配置以增加由眼睛追蹤單元130捕獲之眼睛影像中的對比度,同時減小由眼睛追蹤單元130消耗之總功率(例如,減小由包括於眼睛追蹤單元130中之光發射器及成像系統消耗的功率)。舉例而言,在一些實施中,眼睛追蹤單元130可消耗小於100毫瓦之功率。
近眼顯示器120可使用眼睛之位向以例如判定使用者之瞳孔間距離(inter-pupillary distance;IPD),判定凝視方向,引入深度提示(例如,在使用者之主視線外之模糊影像),收集關於VR媒體中之使用者交互作用的啟發資訊(例如,花費在任何特定個體、物件或框上之時間,其依據所暴露刺激而變化),部分地基於使用者眼睛中之至少一者之位向的一些其他功能,或其任何組合。由於可判定使用者之兩隻眼睛的位向,故眼睛追蹤單元130可能夠判定使用者看向何處。舉例而言,判定使用者之凝視方向可包括基於使用者左眼及右眼之經判定位向來判定會聚點。會聚點可為使用者眼睛之兩個中央窩軸線相交的點。使用者之凝視方向可為穿過會聚點及在使用者眼睛之瞳孔之間的中點之線之方向。
輸入/輸出介面140可為允許使用者將動作請求發送至控制台110之裝置。動作請求可為執行特定動作之請求。舉例而言,動作請求可為開始或結束應用程式或執行應用程式內之特定動作。輸入/輸出介面140可包括一或多個輸入裝置。實例輸入裝置可包括鍵盤、滑鼠、遊戲控制器、手套、按鈕、觸控螢幕,或用於接收動作請求且將接收到之動作請求傳達至控制台110的任何其他合適裝置。可將由輸入/輸出介面140接收之動作請求傳達至控制台110,該控制台可執行對應於所請求動作之動作。在一些具體實例中,輸入/輸出介面140可根據自控制台110接收到之指令將觸覺反饋提供至使用者。舉例而言,輸入/輸出介面140可在接收到動作請求時或在控制台110已執行所請求動作且將指令傳達至輸入/輸出介面140時提供觸覺反饋。在一些具體實例中,外部成像裝置150可用以追蹤輸入/輸出介面140,諸如追蹤控制器(其可包括例如IR光源)或使用者之手部之部位或位置以判定使用者之運動。在一些具體實例中,近眼顯示器120可包括一或多個成像裝置以追蹤輸入/輸出介面140,諸如追蹤控制器或使用者之手部的部位或位置以判定使用者之運動。
控制台110可根據自外部成像裝置150、近眼顯示器120及輸入/輸出介面140中之一或多者接收到的資訊而將內容提供至近眼顯示器120以供呈現給使用者。在圖1中所展示之實例中,控制台110可包括應用程式商店112、耳機追蹤模組114、人工實境引擎116及眼睛追蹤模組118。控制台110之一些具體實例可包括與結合圖1所描述之模組不同的模組或額外模組。下文進一步所描述之功能可以與此處所描述之方式不同的方式分佈在控制台110之組件當中。
在一些具體實例中,控制台110可包括處理器及儲存可由該處理器執行之指令的非暫時性電腦可讀取儲存媒體。處理器可包括並行地執行指令之多個處理單元。非暫時性電腦可讀取儲存媒體可為任何記憶體,諸如硬碟驅動機、抽取式記憶體或固態驅動器(例如,快閃記憶體或動態隨機存取記憶體(dynamic random access memory;DRAM))。在各種具體實例中,結合圖1所描述之控制台110的模組可編碼為非暫時性電腦可讀取儲存媒體中之指令,該等指令在由處理器執行時使該處理器執行下文進一步所描述之功能。
應用程式商店112可儲存一或多個應用程式以供控制台110執行。應用程式可包括在由處理器執行時產生內容以供呈現給使用者之指令群組。由應用程式產生之內容可回應於經由使用者眼睛之移動而自使用者接收到之輸入,或自輸入/輸出介面140接收到之輸入。應用程式之實例可包括遊戲應用程式、會議應用程式、視訊播放應用程式或其他合適的應用程式。
耳機追蹤模組114可使用來自外部成像裝置150之慢速校準資訊來追蹤近眼顯示器120之移動。舉例而言,耳機追蹤模組114可使用自慢速校準資訊觀測到之定位器及近眼顯示器120之模型來判定近眼顯示器120之參考點的位置。耳機追蹤模組114亦可使用來自快速校準資訊之位置資訊來判定近眼顯示器120之參考點的位置。另外,在一些具體實例中,耳機追蹤模組114可使用快速校準資訊、慢速校準資訊或其任何組合之部分來預測近眼顯示器120之未來部位。耳機追蹤模組114可將近眼顯示器120之所估計或所預測未來位置提供至人工實境引擎116。
人工實境引擎116可執行人工實境系統環境100內之應用程式,且自耳機追蹤模組114接收近眼顯示器120之位置資訊、近眼顯示器120之加速度資訊、近眼顯示器120之速度資訊、近眼顯示器120之經預測未來位置,或其任何組合。人工實境引擎116亦可自眼睛追蹤模組118接收所估計之眼睛位置及位向資訊。基於所接收資訊,人工實境引擎116可判定用以提供至近眼顯示器120以供呈現給使用者之內容。舉例而言,若所接收資訊指示使用者已向左看,則人工實境引擎116可產生用於近眼顯示器120之內容,該內容反映使用者眼睛在虛擬環境中之移動。另外,人工實境引擎116可回應於自輸入/輸出介面140接收到之動作請求而執行在控制台110上執行之應用程式內的動作,且將指示該動作已執行之反饋提供至使用者。反饋可為經由近眼顯示器120之視覺或聽覺反饋,或經由輸入/輸出介面140之觸覺反饋。
眼睛追蹤模組118可自眼睛追蹤單元130接收眼睛追蹤資料,且基於該眼睛追蹤資料判定使用者眼睛之位置。眼睛之位置可包括眼睛相對於近眼顯示器120或其任何元件之位向、部位或其兩者。由於眼睛之旋轉軸線依據眼睛在其眼窩中之部位而變化,故判定眼睛在其眼窩中之部位可允許眼睛追蹤模組118更準確地判定眼睛之位向。
在一些實例中,人工實境系統100可包括諸如本文中所描述之一或多個重構半導體組件,例如顯示電子件122可包括諸如本文中所描述之一或多個重構半導體組件。在一些實例中,諸如本文中所描述之一或多個重構半導體組件可包含具有可用於RDL、TSV及/或TPV互連點及路徑之增加的面積之半導體組件,其可與堆疊組態中之電路(諸如顯示驅動器電路)整合。舉例而言,µLED陣列可堆疊於顯示驅動器電路上,其中顯示驅動器電路可包括積體電路,該積體電路包括用於控制µLED陣列之數位及類比電路。此可促進以相對小體積中及/或使用高效、具成本效益的製程封裝µLED及顯示驅動器電路。堆疊之µLED及顯示驅動器電路可使用本文中所描述之技術中之任一者形成。
圖2A為呈用於實施本文中所揭示之一些實例的HMD裝置200之形式的近眼顯示器之實例的透視圖。HMD裝置200可為例如VR系統、AR系統、MR系統或其任何組合之一部分。HMD裝置200可包括主體220及頭部綁帶230。圖2A在透視圖中展示主體220之底側223、前側225及左側227。頭部綁帶230可具有可調整或可延伸的長度。在HMD裝置200之主體220與頭部綁帶230之間可存在足夠的空間,以允許使用者將HMD裝置200安裝至使用者之頭部上。在各種具體實例中,HMD裝置200可包括額外組件、較少組件或不同組件。舉例而言,在一些具體實例中,HMD裝置200可包括如例如以下圖2B中所展示之眼鏡鏡腿及鏡腿尖端,而非頭部綁帶230。
HMD裝置200可將包括具有電腦產生元素之實體真實世界環境之虛擬及/或擴增視圖的媒體呈現給使用者。由HMD裝置200呈現之媒體的實例可包括影像(例如,二維(2D)或三維(3D)影像)、視訊(例如,2D或3D視訊)、音訊,或其任何組合。該等影像及視訊可由圍封於HMD裝置200之主體220中的一或多個顯示器總成(圖2中未示)呈現給使用者之每隻眼睛。在各種具體實例中,一或多個顯示器總成可包括單一電子顯示面板或多個電子顯示面板(例如,使用者之每隻眼睛一個顯示面板)。電子顯示面板之實例可包括例如LCD、OLED顯示器、ILED顯示器、μLED顯示器、AMOLED、TOLED、某其他顯示器或其任何組合。HMD裝置200可包括兩個眼眶區。
在一些實施中,HMD裝置200可包括各種感測器(圖中未示),諸如深度感測器、運動感測器、位置感測器及眼睛追蹤感測器。此等感測器中之一些可使用結構化之光圖案以用於感測。在一些實施中,HMD裝置200可包括用於與控制台通信之輸入/輸出介面。在一些實施中,HMD裝置200可包括虛擬實境引擎(圖中未示),該虛擬實境引擎可執行HMD裝置200內之應用程式,且自各種感測器接收HMD裝置200之深度資訊、位置資訊、加速度資訊、速度資訊、預測未來位置或其任何組合。在一些實施中,由虛擬實境引擎接收之資訊可用於為一或多個顯示器總成產生信號(例如,顯示指令)。在一些實施中,HMD裝置200可包括相對於彼此且相對於參考點位於主體220上之固定位置中的定位器(圖中未示,諸如定位器126)。定位器中之每一者可發射可由外部成像裝置偵測到之光。
在一些實例中,HMD裝置200可包括諸如本文中所描述之一或多個重構半導體組件。
圖2B為呈用於實施本文中所揭示之一些實例的一副眼鏡之形式的近眼顯示器300之實例的透視圖。近眼顯示器300可為圖1之近眼顯示器120的特定實施,且可經組態以作為虛擬實境顯示器、擴增實境顯示器及/或混合實境顯示器來操作。近眼顯示器300可包括框架305及顯示器310。顯示器310可經組態以將內容呈現給使用者。在一些具體實例中,顯示器310可包括顯示電子件及/或顯示光學件。舉例而言,如上文關於圖1之近眼顯示器120所描述,顯示器310可包括LCD顯示面板、LED顯示面板或光學顯示面板(例如,波導顯示總成)。
近眼顯示器300可進一步包括在框架305上或內之各種感測器350a、350b、350c、350d及350e。在一些具體實例中,感測器350a至350e可包括一或多個深度感測器、運動感測器、位置感測器、慣性感測器或環境光感測器。在一些具體實例中,感測器350a至350e可包括一或多個影像感測器,該一或多個影像感測器經組態以產生表示不同方向上之不同視場的影像資料。在一些具體實例中,感測器350a至350e可用作輸入裝置以控制或影響近眼顯示器300之所顯示內容,及/或向近眼顯示器300之使用者提供交互式VR/AR/MR體驗。在一些具體實例中,感測器350a至350e亦可用於立體成像。
在一些具體實例中,近眼顯示器300可進一步包括一或多個照明器330以將光投影至實體環境中。經投影光可與不同頻帶(例如,可見光、紅外光、紫外光等)相關聯,且可用於各種目的。舉例而言,照明器330可將光投影於黑暗環境中(或具有低強度之紅外光、紫外光等的環境中),以輔助感測器350a至350e捕獲黑暗環境內之不同物件的影像。在一些具體實例中,照明器330可用於將某些光圖案投影至環境內之物件上。在一些具體實例中,照明器330可用作定位器,諸如上文關於圖1所描述之定位器126。
在一些具體實例中,近眼顯示器300亦可包括高解析度攝影機340。攝影機340可捕獲視場中之實體環境的影像。所捕獲影像可例如由虛擬實境引擎(例如,圖1之人工實境引擎116)處理,以將虛擬物件添加至所捕獲影像或修改所捕獲影像中之實體物件,且經處理影像可由顯示器310顯示給使用者以用於AR或MR應用。
在一些實例中,近眼顯示器300可包括諸如本文中所描述之一或多個重構半導體組件。
圖3說明根據某些具體實例的包括波導顯示器之光學透視擴增實境系統400之實例。擴增實境系統400可包括投影機410及組合器415。投影機410可包括光源或影像源412及投影機光學件414。在一些具體實例中,光源或影像源412可包括上文所描述之一或多個微型LED裝置。在一些具體實例中,影像源412可包括顯示虛擬物件之複數個像素,諸如LCD顯示面板或LED顯示面板。在一些具體實例中,影像源412可包括產生相干或部分相干光之光源。舉例而言,影像源412可包括雷射二極體、垂直共振腔面射型雷射、LED及/或上文所描述之微型LED。在一些具體實例中,影像源412可包括各自發射對應於原色(例如,紅色、綠色或藍色)之單色影像光的複數個光源(例如,上文所描述之微型LED陣列)。在一些具體實例中,影像源412可包括三個二維微型LED陣列,其中每一二維微型LED陣列可包括經組態以發射具有原色(例如,紅色、綠色或藍色)之光的微型LED。在一些具體實例中,影像源412可包括光學圖案產生器,諸如空間光調變器。投影機光學件414可包括可調節來自影像源412之光,諸如擴展、準直、掃描或將光自影像源412投影至組合器415的一或多個光學組件。一或多個光學組件可包括例如一或多個透鏡、液體透鏡、鏡面、孔徑及/或光柵。舉例而言,在一些具體實例中,影像源412可包括一或多個一維微型LED陣列或細長二維微型LED陣列,且投影機光學件414可包括經組態以掃描一維微型LED陣列或細長二維微型LED陣列以產生影像框之一或多個一維掃描器(例如,微鏡或稜鏡)。在一些具體實例中,投影機光學件414可包括具有複數個電極之液體透鏡(例如,液晶透鏡),該液體透鏡允許掃描來自影像源412之光。
組合器415可包括用於將來自投影機410之光耦合至組合器415之基板420中的輸入耦合器430。組合器415可透射第一波長範圍內之光的至少50%且反射第二波長範圍內之光的至少25%。舉例而言,第一波長範圍可為自約400 nm至約696 nm之可見光,且第二波長範圍可在例如自約800 nm至約1000 nm之紅外頻帶中。輸入耦合器430可包括體積全像光柵、繞射光學元件(diffractive optical element;DOE)(例如,表面起伏光柵)、基板420之傾斜表面或折射耦合器(例如,楔狀物或稜鏡)。舉例而言,輸入耦合器430可包括反射式體積布拉格光柵或透射式體積布拉格光柵。對於可見光,輸入耦合器430可具有大於30%、50%、75%、90%或更高之耦合效率。耦合至基板420中之光可經由例如全內反射(total internal reflection;TIR)在基板420內傳播。基板420可呈一副眼鏡之透鏡的形式。基板420可具有平坦或彎曲表面,且可包括一或多種類型之介電材料,諸如玻璃、石英、塑膠、聚合物、聚(甲基丙烯酸甲酯)(PMMA)、晶體或陶瓷。基板之厚度可在例如小於約1 mm至約10 mm或更大之範圍內。基板420對於可見光可為透明的。
基板420可包括或可耦接至複數個輸出耦合器440,該複數個輸出耦合器各自經組態以自基板420提取由基板420導引且在基板420內傳播之光之至少一部分,且將所提取光460導向擴增實境系統400之使用者的眼睛490在擴增實境系統400在使用中時可位於的眼眶495。複數個輸出耦合器440可複製出射瞳孔以增大眼眶495之大小,使得經顯示影像在較大區域中可見。如輸入耦合器430,輸出耦合器440可包括光柵耦合器(例如,立體全像光柵或表面起伏光柵)、其他繞射光學元件(DOE)、稜鏡等。舉例而言,輸出耦合器440可包括反射式體積布拉格光柵或透射式體積布拉格光柵。輸出耦合器440可在不同部位處具有不同的耦合(例如,繞射)效率。基板420亦可允許來自組合器415前方之環境的光450在損失極少或無損失之情況下穿過。輸出耦合器440亦可允許光450在損失極少之情況下穿過。舉例而言,在一些實施中,輸出耦合器440可對於光450具有低繞射效率,使得光450可在損耗極少之情況下折射或以其他方式穿過輸出耦合器440,且因此可具有高於所提取光460之強度。在一些實施中,輸出耦合器440可對於光450有高繞射效率,且可在損耗極少之情況下在某些所要方向(亦即,繞射角)上繞射光450。結果,使用者可能夠檢視組合器415前方之環境與由投影機410投影之虛擬物件之影像的經組合影像。
在一些實例中,擴增實境系統400可包括諸如本文所描述之一或多個重構半導體組件,例如影像源412可包括諸如本文所描述之一或多個重構半導體組件。
圖4A說明根據某些具體實例的包括波導顯示器530之近眼顯示器(near-eye display;NED)裝置500之實例。NED裝置500可為近眼顯示器120、擴增實境系統400或另一類型之顯示裝置的實例。NED裝置500可包括光源510、投影光學件520及波導顯示器530。光源510可包括用於不同色彩之光發射器之多個面板,諸如紅光發射器512之面板、綠光發射器514之面板及藍光發射器516之面板。紅光發射器512經組織成陣列;綠光發射器514經組織成陣列;且藍光發射器516經組織成陣列。光源510中之光發射器之尺寸及間距可能較小。舉例而言,每一光發射器可具有小於2 μm(例如,約1.2 μm)之直徑,且間距可小於2 μm(例如,約1.5 μm)。因而,每一紅光發射器512、綠光發射器514及藍光發射器516中之光發射器之數目可等於或大於顯示影像中之像素之數目,諸如960×720、1280×720、1440×1080、1920×1080、2160×1080或2560×1080像素。因此,顯示影像可由光源510同時產生。掃描元件可不用於NED裝置500中。
在到達波導顯示器530之前,由光源510發射之光可由可包括透鏡陣列之投影光學件520進行調節。投影光學件520可準直由光源510發射之光或將該光聚焦於波導顯示器530,該波導顯示器可包括用於將由光源510發射之光耦合至波導顯示器530中的耦合器532。耦合至波導顯示器530中之光可經由例如如上文關於圖3所描述之全內反射在波導顯示器530內傳播。耦合器532亦可將在波導顯示器530內傳播之光的部分耦合出波導顯示器530且朝向使用者之眼睛590。
在一些實例中,NED裝置500可包括諸如本文所描述之一或多個重構半導體組件,例如光源510可包括諸如本文所描述之一或多個重構半導體組件。
圖4B說明根據某些具體實例的包括波導顯示器580之近眼顯示器(NED)裝置550之實例。在一些具體實例中,NED裝置550可使用掃描鏡面570以將來自光源540之光投影至影像場,其中使用者之眼睛590可位於該影像場中。NED裝置550可為近眼顯示器120、擴增實境系統400或另一類型之顯示裝置的實例。光源540可包括一或多列或一或多行不同色彩之光發射器,諸如多列紅光發射器542、多列綠光發射器544及多列藍光發射器546。舉例而言,紅光發射器542、綠光發射器544及藍光發射器546可各自包括N個列,每一列包括例如2560個光發射器(像素)。紅光發射器542組織成陣列;綠光發射器544組織成陣列;且藍光發射器546組織成陣列。在一些具體實例中,光源540可包括用於每一色彩之單線光發射器。在一些具體實例中,光源540可包括紅色、綠色及藍色中之每一者之光發射器的多個行,其中每一行可包括例如1080個光發射器。在一些具體實例中,光源540中之光發射器之尺寸及/或間距可相對較大(例如,約3至5 μm),且因此光源540可不包括用於同時產生完整顯示影像之足夠光發射器。舉例而言,用於單一色彩之光發射器之數目可少於顯示影像中之像素之數目(例如,2560×1080個像素)。由光源540發射之光可為經準直或發散光束之集合。
在到達掃描鏡面570之前,由光源540發射之光可由諸如準直透鏡或自由形式光學元件560之各種光學裝置來調節。自由形式光學元件560可包括例如多琢面稜鏡另或一光摺疊元件,該多琢面稜鏡或另一光摺疊元件可將由光源540發射之光導向掃描鏡面570,諸如使由光源540發射之光之傳播方向改變例如約90°或更大。在一些具體實例中,自由形式光學元件560可為可旋轉的以掃描光。掃描鏡面570及/或自由形式光學元件560可將由光源540發射之光反射並投影至波導顯示器580,該波導顯示器可包括用於將由光源540發射之光耦合至波導顯示器580中之耦合器582。耦合至波導顯示器580中之光可經由例如如上文關於圖3所描述之全內反射在波導顯示器580內傳播。耦合器582亦可將在波導顯示器580內傳播之光之部分耦合出波導顯示器580且朝向使用者之眼睛590。
掃描鏡面570可包括微機電系統(microelectromechanical system;MEMS)鏡面或任何其他合適鏡面。掃描鏡面570可旋轉以在一個或兩個維度上進行掃描。在掃描鏡面570旋轉時,可將由光源540發射之光導向波導顯示器580之不同區域,使得完整顯示影像可在每一掃描循環中投影至波導顯示器580上且由波導顯示器580引導至使用者之眼睛590。舉例而言,在光源540包括一或多個列或行中之所有像素之光發射器的具體實例中,掃描鏡面570可在行或列方向(例如,x或y方向)上旋轉以掃描影像。在光源540包括一或多個列或行中之一些但非所有像素之光發射器的具體實例中,掃描鏡面570可在列及行方向兩者(例如,x及y方向兩者)上旋轉以投影顯示影像(例如,使用光柵型掃描圖案)。
NED裝置550可在預定義顯示週期中操作。顯示週期(例如,顯示循環)可指掃描或投影完整影像之持續時間。舉例而言,顯示週期可為所要幀率之倒數。在包括掃描鏡面570之NED裝置550中,顯示週期亦可稱為掃描週期或掃描循環。由光源540進行之光產生可與掃描鏡面570之旋轉同步。舉例而言,每一掃描循環可包括多個掃描步驟,其中光源540可在每一各別掃描步驟中產生不同光圖案。
在每一掃描循環中,在掃描鏡面570旋轉時,顯示影像可經投影至波導顯示器580及使用者之眼睛590上。顯示影像之給定像素部位之實際色值及光強度(例如,亮度)可為在掃描週期期間照明該像素部位之三個色彩(例如,紅色、綠色及藍色)之光束的平均值。在完成掃描週期之後,掃描鏡面570可恢復回初始位置以投影下一顯示影像之前幾列的光,或可在反方向或以掃描圖案上旋轉以投影下一顯示影像之光,其中新的一組驅動信號可饋送至光源540。隨著掃描鏡面570在每一掃描循環中旋轉,可重複相同製程。因而,可在不同掃描循環中將不同影像投影至使用者之眼睛590。
在一些實例中,NED裝置550可包括諸如本文所描述之一或多個重構半導體組件,例如光源540可包括諸如本文所描述之一或多個重構半導體組件。
圖5說明根據某些具體實例的近眼顯示器系統680中之影像源總成682之實例。影像源總成682可包括例如可產生待投影至使用者之眼睛之顯示影像的顯示面板690,及可將由顯示面板690產生之顯示影像投影至如上文關於圖4至圖5B所描述之波導顯示器的投影機696。顯示面板690可包括光源692及用於光源692之驅動器電路694。光源692可包括例如光源510或540。投影機696可包括例如上文所描述之自由光學元件560、掃描鏡面570及/或投影光學件520。近眼顯示器系統680亦可包括同步地控制光源692及投影機696(例如,掃描鏡面570)之控制器684。影像源總成682可產生影像光並將其輸出至波導顯示器(圖5中未示),諸如波導顯示器530或580。如上文所描述,波導顯示器可在一或多個輸入耦合元件處接收影像光,且將所接收影像光導引至一或多個輸出耦合元件。輸入及輸出耦合元件可包括例如繞射光柵、全像光柵、稜鏡或其任何組合。輸入耦合元件可經選擇以使得利用波導顯示器發生全內反射。輸出耦合元件可將全體經全內反射之影像光之部分耦合出波導顯示器。
如上文所描述,光源692可包括以陣列或矩陣配置之複數個光發射器。每一光發射器可發射單色光,諸如紅光、藍光、綠光、紅外光及其類似者。儘管在本發明中常常論述RGB色彩,但本文中所描述之具體實例不限於將紅色、綠色及藍色用作原色。其他色彩亦可用作近眼顯示器系統680之原色。在一些具體實例中,根據具體實例之顯示面板可使用多於三種原色。光源692中之每一像素可包括三個子像素,該等子像素包括紅色微型LED、綠色微型LED及藍色微型LED。半導體LED通常包括多個半導體材料層內之主動光發射層。多個半導體材料層可包括不同化合物材料或具有不同摻雜劑及/或不同摻雜密度之相同基底材料。舉例而言,多個半導體材料層可包括n型材料層、可包括異質結構(例如,一或多個量子井)之主動區及P型材料層。多個半導體材料層可生長於具有某一位向之基板之表面上。在一些具體實例中,為了提高光提取效率,可形成包括半導體材料層中之至少一些的台面。
控制器684可控制影像源總成682之影像顯現操作,諸如光源692及/或投影機696之操作。舉例而言,控制器684可判定用於影像源總成682以顯現一或多個顯示影像之指令。指令可包括顯示指令及掃描指令。在一些具體實例中,顯示指令可包括影像檔(例如,位元映像檔)。可自例如控制台接收顯示指令,該控制台諸如上文關於圖1所描述之控制台110。掃描指令可由影像源總成682使用以產生影像光。掃描指令可指定例如影像光源之類型(例如,單色或多色)、掃描速率、掃描設備之位向、一或多個照明參數或其任何組合。控制器684可包括圖中未示以免混淆本揭示之其他態樣的硬體、軟體及/或韌體之組合。
在一些具體實例中,控制器684可為顯示裝置之圖形處理單元(graphics processing unit;GPU)。在其他具體實例中,控制器684可為其他類型之處理器。由控制器684執行之操作可包括獲取用於顯示之內容及將內容劃分成離散區段。控制器684可將掃描指令提供至光源692,該等掃描指令包括對應於光源692之個別源元件的位址及/或施加至個別源元件之電偏壓。控制器684可指示光源692使用對應於最終顯示給使用者之影像中之一或多列像素的光發射器來依序呈現離散區段。控制器684亦可指示投影機696執行對光之不同調整。舉例而言,控制器684可控制投影機696以掃描離散區段至波導顯示器(例如,波導顯示器580)之耦合元件之不同區域,如上文關於圖4B所描述。因而,在波導顯示器之出射光瞳處,每一離散部分呈現於不同各別部位中。雖然每一離散區段呈現於不同各別時間,但離散區段之呈現及掃描足夠快速地進行,使得使用者之眼睛可將不同區段整合成單一影像或一系列影像。
影像處理器686可為專用於執行本文所描述之特徵的通用處理器及/或一或多個特殊應用電路。在一個具體實例中,通用處理器可耦接至記憶體以執行使處理器執行本文中所描述之某些製程的軟體指令。在另一具體實例中,影像處理器686可為專用於執行某些特徵之一或多個電路。雖然圖5中之影像處理器686展示為與控制器684及驅動器電路694分離之獨立單元,但在其他具體實例中,影像處理器686可為控制器684或驅動器電路694之子單元。換言之,在彼等具體實例中,控制器684或驅動器電路694可執行影像處理器686之各種圖像處理功能。影像處理器686亦可被稱作影像處理電路。
在圖5中所展示之實例中,可由驅動器電路694基於自控制器684或影像處理器686發送之資料或指令(例如,顯示及掃描指令)來驅動光源692。在一個具體實例中,驅動器電路694可包括連接至光源692之各種光發射器且機械地固持該等光發射器之電路面板。光源692可根據由控制器684設定且由影像處理器686及驅動器電路694潛在地調整之一或多個照明參數來發射光。照明參數可由光源692使用以產生光。照明參數可包括例如源波長、脈衝速率、脈衝振幅、光束類型(連續或脈衝式)、可影響所發射光之其他參數或其任何組合。在一些具體實例中,由光源692產生之源光可包括多個紅光、綠光及藍光光束,或其任何組合。
投影機696可執行一組光學功能,諸如聚焦、組合、調節或掃描由光源692產生之影像光。在一些具體實例中,投影機696可包括組合總成、光調節總成或掃描鏡面總成。投影機696可包括以光學方式調整且潛在地重導向來自光源692之光的一或多個光學組件。光調整之一個實例可包括調節光,諸如擴展、準直、校正一或多個光學誤差(例如,場曲率、色像差等)、一些其他光調整或其任何組合。投影機696之光學組件可包括例如透鏡、鏡面、孔徑、光柵或其任何組合。
投影機696可經由其一或多個反射及/或折射部分重導向影像光,使得影像光以某些位向朝向波導顯示器投影。影像光經重導向波導顯示器之部位可取決於一或多個反射及/或折射部分之特定位向。在一些具體實例中,投影機696包括在至少兩個維度上掃描之單一掃描鏡面。在其他具體實例中,投影機696可包括各自在彼此正交之方向上掃描之複數個掃描鏡面。投影機696可執行光柵掃描(水平地或垂直地)、雙諧振掃描或其任何組合。在一些具體實例中,投影機696可以特定振盪頻率沿著水平及/或垂直方向執行受控振動,以沿著兩個維度掃描且產生呈現給使用者之眼睛的媒體之二維經投影影像。在其他具體實例中,投影機696可包括可用於與一或多個掃描鏡面類似或相同功能之透鏡或稜鏡。在一些具體實例中,影像源總成682可不包括投影機,其中由光源692發射之光可直接入射於波導顯示器上。
在半導體LED中,光子通常經由主動區(例如,一或多個半導體層)內之電子與電洞之重組而以某一內部量子效率產生,其中內部量子效率為主動區中之輻射電子電洞重組發射光子之比例。可接著在特定方向上或在特定立體角內自LED提取所產生光。自LED提取之所發射光子之數目與穿過LED的電子之數目之間的比率被稱作外部量子效率,其描述LED將所注入電子轉化為自裝置提取之光子之高效程度。
外部量子效率可與注入效率、內部量子效率及提取效率成比例。注入效率係指穿過裝置之注入至主動區中的電子之比例。提取效率為在主動區中產生之自裝置逸出的光子之比例。對於LED,且特定言之,對於具有減小之實體尺寸之微型LED,改良內部及外部量子效率及/或控制發射光譜可具挑戰性。在一些具體實例中,為了提高光提取效率,可形成包括半導體材料層中之至少一些之台面。
在一些實例中,近眼顯示器系統680可包括諸如本文所描述之一或多個重構半導體組件,例如顯示面板690可包括諸如本文所描述之一或多個重構半導體組件。
在一些實例中,一或多個重構半導體組件可包括μLED及/或μLED陣列。μLED及/或μLED陣列可包括堆疊於顯示驅動器電路上之發光μLED元件且可使用本文所描述技術中之任一者形成。
在一些實例中,μLED陣列可包含以任何適合的子像素配置來配置之紅色、綠色及藍色μLED陣列。在其他實例中,μLED陣列可包括可在投影之前或之後經由投影光學件及/或波導組合之個別紅色、綠色及藍色μLED陣列。在一些實例中,μLED陣列可經由重構技術及方法形成,如下文關於圖11至圖30進一步描述。在一些實例中,具有延伸互連面積/體積之堆疊μLED及顯示驅動器電路可使用如上文所提及之晶圓重構技術形成。在一些實例中,堆疊μLED及顯示驅動器電路可藉由在形成μLED發光元件之前在晶圓上重構晶粒而形成。
圖6A說明具有垂直台面結構之LED 600的實例。LED 600可為光源510、540或692中之光發射器。LED 600可為由諸如多個半導體材料層之無機材料製成之微型LED。分層半導體光發射裝置可包括多個III-V族半導體材料層。III-V族半導體材料可包括一或多種III族元素,諸如鋁(Al)、鎵(Ga)或銦(In),以及V族元素,諸如氮(N)、磷(P)、砷(As)或銻(Sb)。當III-V族半導體材料之V族元素包括氮時,III-V族半導體材料被稱作III族氮化物材料。分層半導體光發射裝置可藉由使用諸如汽相磊晶(vapor-phase epitaxy;VPE)、液相磊晶(liquid-phase epitaxy;LPE)、分子束磊晶法(molecular beam epitaxy;MBE)或金屬有機化學氣相沈積(metalorganic chemical vapor deposition;MOCVD)之技術使多個磊晶層在基板上生長來製造。舉例而言,半導體材料層可以某一晶格位向(例如,極性、非極性或半極性位向)在基板上逐層生長,該基板諸如為GaN、GaAs或GaP基板,或包括但不限於以下之基板:藍寶石、碳化矽、矽、氧化鋅、氮化硼、鋁酸鋰、鈮酸鋰、鍺、氮化鋁、鎵酸鋰、部分取代之尖晶石或共用β-LiAlO 2結構之四元四方氧化物,其中該基板可在特定方向上經切割以暴露特定平面作為生長表面。
在圖6A中所展示之實例中,LED 600可包括基板610,該基板可包括例如藍寶石基板或GaN基板。半導體層620可生長於基板610上。半導體層620可包括諸如GaN之III-V族材料,且可經p摻雜(例如,用Mg、Ca、Zn或Be摻雜)或n摻雜(例如,用Si或Ge摻雜)。一或多個主動層630可生長於半導體層620上以形成主動區。主動層630可包括III-V族材料,諸如一或多個InGaN層、一或多個AlInGaP層及/或一或多個GaN層,該等層可形成一或多個異質結構,諸如一或多個量子井或MQW。半導體層640可生長於主動層630上。半導體層640可包括諸如GaN之III-V族材料,且可經p摻雜(例如,用Mg、Ca、Zn或Be摻雜)或n摻雜(例如,用Si或Ge摻雜)。半導體層620及半導體層640中之一者可為p型層,且另一者可為n型層。半導體層620及半導體層640包夾主動層630以形成光發射區。舉例而言,LED 600可包括InGaN層,其位於摻雜有鎂之p型GaN層與摻雜有矽或氧之n型GaN層之間。在一些具體實例中,LED 600可包括AlInGaP層,該AlInGaP層位於摻雜有鋅或鎂之p型AlInGaP層與摻雜有硒、矽或碲之n型AlInGaP層之間。
在一些具體實例中,電子阻擋層(electron-blocking layer;EBL)(圖6A中未示)可經生長以在主動層630與半導體層620或半導體層640中之至少一者之間形成層。EBL可減少電子洩漏電流且改善LED之效率。在一些具體實例中,諸如P+或P++半導體層之重摻雜半導體層650可形成於半導體層640上且充當用於形成歐姆接觸且減少裝置之接觸阻抗的接觸層。在一些具體實例中,導電層660可形成於重摻雜半導體層650上。導電層660可包括例如氧化銦錫(ITO)或Al/Ni/Au膜。在一個實例中,導電層660可包括透明ITO層。
為了與半導體層620(例如,n-GaN層)接觸且為了更高效地自LED 600提取由主動層630發射之光,半導體材料層(包括重摻雜半導體層650、半導體層640、主動層630及半導體層620)可經蝕刻以暴露半導體層620且形成包括層620至660之台面結構。台面結構可將載子限制在裝置內。蝕刻台面結構可能引起可正交於生長平面之台面側壁632之形成。鈍化層662可形成於台面結構之側壁632上。鈍化層662可包括氧化物層,諸如SiO 2層,且可充當反射器以將所發射光反射出LED 600。可包括金屬層(諸如Al、Au、Ni、Ti或其任何組合)之接觸層664可形成於半導體層620上且可充當LED 600之電極。另外,諸如Al/Ni/Au金屬層之另一接觸層668可形成於導電層660上且可充當LED 600之另一電極。
當將電壓信號施加至接觸層664及668時,電子及電洞可在主動層630中重組,其中電子及電洞之重組可引起光子發射。所發射光子之波長及能量可取決於主動層630中之價帶與導帶之間的能帶間隙。舉例而言,InGaN主動層可發射綠光或藍光,AlGaN主動層可發射藍光至紫外光,而AlInGaP主動層可發射紅光、橙光、黃光或綠光。所發射光子可由鈍化層662反射且可自頂部(例如,導電層660及接觸層668)或底部(例如,基板610)離開LED 600。
在一些具體實例中,LED 600可包括一或多個其他組件,諸如光發射表面上之透鏡,諸如基板610,以聚集或準直所發射光或將所發射光耦合至波導中。在一些具體實例中,LED可包括另一形狀之台面,諸如平面、圓錐形、半拋物線形或拋物線形,其中台面之基底區域可為圓形、矩形、六邊形或三角形。舉例而言,LED可包括彎曲形狀(例如,抛物面形狀)及/或非彎曲形狀(例如,圓錐形狀)之台面。台面可經截斷或未經截斷。
在一些實例中,LED 600可包含諸如本文所描述之一或多個重構半導體組件之至少一部分。
圖6B為具有拋物線形台面結構之LED 605之實例的橫截面視圖。類似於LED 600,LED 605可包括多個半導體材料層,諸如多個III-V族半導體材料層。半導體材料層可磊晶生長於基板615上,諸如GaN基板或藍寶石基板。舉例而言,半導體層625可生長於基板615上。半導體層625可包括諸如GaN之III-V族材料,且可經p摻雜(例如,用Mg、Ca、Zn或Be摻雜)或n摻雜(例如,用Si或Ge摻雜)。一或多個主動層635可生長於半導體層625上。主動層635可包括III-V族材料,諸如一或多個InGaN層、一或多個AlInGaP層及/或一或多個GaN層,該等層可形成一或多個異質結構,諸如一或多個量子井。半導體層645可生長於主動層635上。半導體層645可包括諸如GaN之III-V族材料,且可經p摻雜(例如,用Mg、Ca、Zn或Be摻雜)或n摻雜(例如,用Si或Ge摻雜)。半導體層625及半導體層645中之一者可為p型層,且另一者可為n型層。
為了與半導體層625(例如,n型GaN層)接觸且為了更高效地自LED 605抽取由主動層635發射之光,半導體層可經蝕刻以暴露半導體層625且形成包括層625至745之台面式結構。台面結構可將載子限制在裝置之注入區域內。蝕刻台面結構可導致形成台面側壁(在本文中亦被稱作琢面),該等台面側壁可能不平行於或在一些狀況下正交於與層625至645之結晶生長相關聯的生長平面。
如圖6B中所展示,LED 605可具有包括平坦頂部之台面結構。介電層669(例如,SiO 2或SiNx)可形成於台面結構之琢面上。在一些具體實例中,介電層669可包括多個介電材料層。在一些具體實例中,金屬層673可形成於介電層669上。金屬層673可包括一或多種金屬或金屬合金材料,諸如鋁(Al)、銀(Ag)、金(Au)、鉑(Pt)、鈦(Ti)、銅(Cu)或其任何組合。介電層669及金屬層673可形成可朝向基板615反射由主動層635發射之光的台面反射器。在一些具體實例中,台面反射器可為拋物線形以充當可至少部分地使所發射光準直之拋物線形反射器。
電接點667及電接點671可分別形成於半導體層645及半導體層625上以充當電極。電接點667及電接點671可各自包括導電材料,諸如Al、Au、Pt、Ag、Ni、Ti、Cu或其任何組合(例如,Ag/Pt/Au或Al/Ni/Au),且可充當LED 605之電極。在圖6B中所展示之實例中,電接點671可為n接點,且電接點667可為p接點。電接點667及半導體層645(例如,p型半導體層)可形成背向反射器以用於將由主動層635發射之光朝向基板615反射回。在一些具體實例中,電接點667及金屬層673包括相同材料,且可使用相同製程形成。在一些具體實例中,可包括額外導電層(圖中未示)作為電接點667及671與半導體層之間的中間導電層。
在跨越接點667及671施加電壓信號時,電子及電洞可在主動層635中重組。電子及電洞之重組可引起光子發射,由此產生光。所發射光子之波長及能量可取決於主動層635中之價帶與導帶之間的能帶間隙。舉例而言,InGaN主動層可發射綠光或藍光,而AlInGaP主動層可發射紅光、橙光、黃光或綠光。所發射光子可在許多不同方向上傳播,且可由台面反射器及/或背向反射器反射,且可例如自圖6B中所展示之底側(例如,基板615)離開LED 605。一或多個其他次級光學組件,諸如透鏡或光柵,可形成於諸如基板615之光發射表面上,以聚焦或準直所發射光及/或將所發射光耦合至波導中。
可在晶圓上製造上文所描述之LED之一維或二維陣列以形成光源(例如,光源692)。驅動器電路(例如,驅動器電路694)可使用CMOS製程製造於例如矽晶圓上。晶圓上之LED及驅動器電路可經切割且接著接合在一起,或可在晶圓級上接合且接著經切割。各種接合技術可用於接合LED及驅動器電路,諸如黏著接合、金屬間接合、金屬氧化物接合、晶圓間接合、晶粒至晶圓接合、混合接合及其類似者。
在一些實例中,LED 605可包含諸如本文所描述之一或多個重構半導體組件之至少一部分。
圖7A說明根據某些具體實例之用於LED陣列之晶粒至晶圓接合之方法的實例。在圖7A中所展示之實例中,LED陣列701可包括載體基板705上之複數個LED 707。載體基板705可包括各種材料,諸如GaAs、InP、GaN、AlN、藍寶石、SiC、Si或其類似者。LED 707可藉由例如在執行接合之前生長各種磊晶層、形成台面結構及形成電接點或電極來製造。磊晶層可包括各種材料,諸如GaN、InGaN、(AlGaIn)P、(AlGaIn)AsP、(AlGaIn)AsN、(AlGaIn)Pas、(Eu:InGa)N、(AlGaIn)N或其類似者,且可包括n型層、p型層及主動層,該主動層包括一或多個異質結構,諸如一或多個量子井或MQW。電接點可包括各種導電材料,諸如金屬或金屬合金。
晶圓703可包括基底層709,該基底層在其上製造有被動或主動積體電路(例如,驅動器電路711)。基底層709可包括例如矽晶圓。驅動器電路711可用於控制LED 707之操作。舉例而言,用於每一LED 707之驅動器電路可包括具有兩個電晶體及一個電容器之2T1C像素結構。晶圓703亦可包括接合層713。接合層713可包括各種材料,諸如金屬、氧化物、介電質、CuSn、AuTi及其類似者。在一些具體實例中,圖案化層715可形成於接合層713之表面上,其中圖案化層715可包括由諸如Cu、Ag、Au、Al或其類似者之導電材料製成的金屬柵格。
LED陣列701可經由接合層713或圖案化層715接合至晶圓703。舉例而言,圖案化層715可包括由諸如CuSn、AuSn或奈米多孔Au之各種材料製成的金屬墊或凸塊,該等金屬墊或凸塊可用於將LED陣列701中之LED 707與晶圓703上之對應驅動器電路711對準。在一個實例中,可使LED陣列701朝向晶圓703,直至LED 707與對應於驅動器電路711之各別金屬墊或凸塊接觸為止。LED 707之一些或所有可與驅動器電路711對準,且可接著藉由各種接合技術(諸如金屬間接合)經由圖案化層715接合至晶圓703。在LED 707已接合至晶圓703之後,可自LED 707移除載體基板705。
圖7B說明根據某些具體實例的用於LED陣列之晶圓間接合之方法的實例。如圖7B中所展示,第一晶圓702可包括基板704、第一半導體層706、主動層708及第二半導體層710。基板704可包括各種材料,諸如GaAs、InP、GaN、AlN、藍寶石、SiC、Si或其類似者。第一半導體層706、主動層708及第二半導體層710可包括各種半導體材料,諸如GaN、InGaN、(AlGaIn)P、(AlGaIn)AsP、(AlGaIn)AsN、(AlGaIn)Pas、(Eu:InGa)N、(AlGaIn)N或其類似者。在一些具體實例中,第一半導體層706可為n型層,且第二半導體層710可為p型層。舉例而言,第一半導體層706可為n摻雜GaN層(例如,摻雜有Si或Ge),且第二半導體層710可為p摻雜GaN層(例如,摻雜有Mg、Ca、Zn或Be)。主動層708可包括例如一或多個GaN層、一或多個InGaN層、一或多個AlInGaP層及其類似者,其可形成一或多個異質結構,諸如一或多個量子井或MQW。
在一些具體實例中,第一晶圓702亦可包括接合層。接合層712可包括各種材料,諸如金屬、氧化物、介電質、CuSn、AuTi及其類似者。在一個實例中,接合層712可包括p接點及/或n接點(圖中未示)。在一些具體實例中,其他層亦可包括於第一晶圓702上,諸如基板704與第一半導體層706之間的緩衝層。緩衝層可包括各種材料,諸如多晶GaN或AlN。在一些具體實例中,接觸層可在第二半導體層710與接合層712之間。接觸層可包括用於將電接點提供至第二半導體層710及/或第一半導體層706之任何合適材料。
第一晶圓702可經由接合層713及/或接合層712接合至包括如上文所描述之驅動器電路711及接合層713的晶圓703。接合層712及接合層713可由相同材料或不同材料製成。接合層713及接合層712可為實質上平坦的。第一晶圓702可藉由各種方法接合至晶圓703,該等方法諸如為金屬間接合、共晶接合、金屬氧化物接合、陽極接合、熱壓縮接合、紫外線(UV)接合及/或熔融接合。
如圖7B中所展示,第一晶圓702可在第一晶圓702之p側(例如,第二半導體層710)面向下方(亦即,朝向晶圓703)的情況下接合至晶圓703。在接合之後,可自第一晶圓702移除基板704,且接著可自n側處理第一晶圓702。處理可包括例如形成用於個別LED之某些台面形狀,以及形成對應於個別LED之光學組件。
在一些實例中,圖7A至圖7B可描述包含諸如本文所描述之一或多個經重構半導體組件之至少一部分的陣列及/或晶圓。
圖8A至圖8D說明根據某些具體實例之用於LED陣列的混合接合之方法的實例。混合接合通常可包括晶圓清潔及活化、一個晶圓之接點與另一晶圓之接點的高精確度對準、介電材料在室溫下在晶圓之表面處的介電接合及藉由在高溫下退火而進行的接點之金屬接合。圖8A展示上面製造有被動或主動電路820之基板810。如上文關於圖7A至7B所描述,基板810可包括例如矽晶圓。電路820可包括用於LED陣列之驅動器電路。接合層可包括介電區840及經由電互連件822連接至電路820之接觸墊830。接觸墊830可包括例如Cu、Ag、Au、Al、W、Mo、Ni、Ti、Pt、Pd或其類似者。介電區840中之介電材料可包括SiCN、SiO 2、SiN、Al 2O 3、HfO 2、ZrO 2、Ta 2O 5或其類似者。接合層可使用例如化學機械拋光來進行平坦化及拋光,其中平坦化或拋光可能造成接觸墊中之凹陷(碗狀輪廓)。接合層之表面可藉由例如離子(例如,電漿)或快速原子(例如,Ar)光束805來清潔及活化。經活化表面可在原子級上清潔且在晶圓例如在室溫下接觸時可為反應性的,以用於在晶圓之間形成直接接合。
圖8B說明晶圓850,其包括上面製造有微型LED 870之陣列,如上文關於例如圖6A至圖7B所描述。晶圓850可為載體晶圓,且可包括例如GaAs、InP、GaN、AlN、藍寶石、SiC、Si或其類似者。微型LED 870可包括磊晶生長於晶圓850上之n型層、主動區及p型層。磊晶層可包括上文所描述之各種III-V族半導體材料,且可自p型層側經處理以蝕刻磊晶層中之台面結構,諸如實質上垂直結構、拋物線形結構、圓錐結構或其類似者。鈍化層及/或反射層可形成於台面結構之側壁上。p接點880及n接點882可形成於沈積於台面結構上之介電材料層860中,且可分別與p型層及n型層進行電接觸。介電材料層860中之介電材料可包括例如SiCN、SiO 2、SiN、Al 2O 3、HfO 2、ZrO 2、Ta 2O 5或其類似者。p接點880及n接點882可包括例如Cu、Ag、Au、Al、W、Mo、Ni、Ti、Pt、Pd或其類似者。p接點880、n接點882及介電材料層860之頂部表面可形成接合層。接合層可使用例如化學機械拋光來平坦化及拋光,其中拋光可能造成p接點880及n接點882中之凹陷。接合層可接著藉由例如離子(例如,電漿)或快速原子(例如,Ar)光束815來清潔及活化。經活化表面可為原子級清潔且可在晶圓例如在室溫下接觸時為反應性的以用於在晶圓之間形成直接接合。
圖8C說明用於接合接合層中之介電材料之室溫接合製程。舉例而言,在包括介電區840及接觸墊830之接合層以及包括p接點880、n接點882及介電材料層860之接合層經表面活化之後,晶圓850及微型LED 870可倒置且與基板810及其上形成之電路接觸。在一些具體實例中,可將壓縮壓力825施加至基板810及晶圓850,使得接合層彼此壓靠。歸因於表面活化及接點中之凹陷,介電區840及介電材料層860可由於表面吸引力而直接接觸,且可進行反應且在其間形成化學鍵,此係因為表面原子可具有懸鍵且在活化之後可處於不穩定能態。因此,可在具有或不具有熱處理或壓力之情況下將介電區840及介電材料層860中之介電材料接合在一起。
圖8D說明用於在接合接合層中之介電材料之後接合接合層中之接點的退火製程。舉例而言,接觸墊830及p接點880或n接點882可藉由在例如約200℃至400℃或更高之溫度下進行退火而接合在一起。在退火程序期間,熱量835可使接點比介電材料膨脹更多(歸因於不同熱膨脹係數),且因此可封閉接點之間的凹陷間隙,使得接觸墊830及p接點880或n接點882可進行接觸且可在經活化表面處形成直接金屬接合。
在兩個經接合晶圓包括具有不同熱膨脹係數(coefficient of thermal expansion;CTE)之材料的一些具體實例中,在室溫下接合之介電材料可幫助減少或防止由不同熱膨脹造成的接觸墊之未對準。在一些具體實例中,為了進一步減少或避免接觸墊在退火期間在高溫下之未對準,可在接合之前在微型LED之間、在微型LED之群組之間、穿過基板中之部分或全部或在類似處形成溝槽。
在微型LED接合至驅動器電路之後,上面製造有微型LED之基板可經薄化或移除,且各種次級光學組件可經製造於微型LED之光發射表面上,以例如提取、準直及重導向自微型LED之主動區發射的光。在一個實例中,微透鏡可形成於微型LED上,其中每一微透鏡可對應於各別微型LED,且可幫助改良光提取效率且準直由微型LED發射之光。在一些具體實例中,次級光學組件可經製造於基板或微型LED之n型層中。在一些具體實例中,次級光學組件可製造於沈積於微型LED之n型側上的介電層中。次級光學組件之實例可包括透鏡、光柵、抗反射(antireflection;AR)塗層、稜鏡、光子晶體或其類似者。
在一些實例中,圖8A至圖8D可描述可包括重構一或多個半導體組件或可包括諸如本文所描述之一或多個重構半導體組件之至少一部分的方法。
圖9說明根據某些具體實例的其上製造有次級光學組件之LED陣列900的實例。可藉由使用上文關於例如圖7A至8D所描述之任何合適接合技術將LED晶片或晶圓與包括製造於其上之電路的矽晶圓接合來製造LED陣列900。在圖9中所展示之實例中,可使用如上文關於圖8A至圖8D所描述之晶圓間混合接合技術來接合LED陣列900。LED陣列900可包括基板910,該基板可為例如矽晶圓。積體電路920,諸如LED驅動器電路可製造於基板910上。積體電路920可經由互連件922及接觸墊930連接至微型LED 970之p接點974及n接點972,其中接觸墊930可與p接點974及n接點972形成金屬接合。基板910上之介電層940可通過熔融接合接合至介電層960。
LED晶片或晶圓之基板(圖中未示)可經薄化或可經移除以暴露微型LED 970之n型層950。諸如球面微透鏡982、光柵984、微透鏡986、抗反射層988及其類似者之各種次級光學組件可形成於n型層950中或其頂部上。舉例而言,可使用灰度遮罩及對曝光光具有線性回應之光阻,或使用藉由經圖案化光阻層之熱回焊形成的蝕刻遮罩來在微型LED 970之半導體材料中蝕刻球面微透鏡陣列。亦可使用類似光微影技術或其他技術在沈積於n型層950上之介電層中蝕刻次級光學組件。舉例而言,微透鏡陣列可經由使用二元遮罩圖案化之聚合物層的熱回焊而形成於聚合物層中。聚合物層中之微透鏡陣列可用作次級光學組件或可用作蝕刻遮罩以用於將微透鏡陣列之分佈轉移至介電層或半導體層中。介電層可包括例如SiCN、SiO 2、SiN、Al 2O 3、HfO 2、ZrO 2、Ta 2O 5或其類似者。在一些具體實例中,微型LED 970可具有多個對應次級光學組件,諸如微透鏡及抗反射塗層、在半導體材料中蝕刻之微透鏡及在介電材料層中蝕刻之微透鏡、微透鏡及光柵、球面透鏡及非球面透鏡及其類似者。圖9中說明三個不同次級光學組件以展示可形成於微LED 970上之次級光學組件之一些實例,此未必暗示針對每一LED陣列同時使用不同次級光學組件。
本文中所揭示之具體實例可用以實施人工實境系統之組件或可結合人工實境系統實施。人工實境為在呈現給使用者之前已以某一方式調整的實境形式,其可包括例如虛擬實境、擴增實境、混合實境、混雜實境或其某一組合及/或衍生物。人工實境內容可包括完全產生內容或與所捕獲(例如,真實世界)內容組合之所產生內容。人工實境內容可包括視訊、音訊、觸覺反饋或其某一組合,且其中之任一者可在單一通道中或在多個通道中呈現(諸如,對觀看者產生三維效應之立體聲視訊)。另外,在一些具體實例中,人工實境亦可與用於例如在人工實境中創建內容及/或以其他方式用於人工實境中(例如,在人工實境中執行活動)之應用程式、產品、配件、服務或其某一組合相關聯。提供人工實境內容之人工實境系統可實施於各種平台上,包括連接至主機電腦系統之HMD、獨立式HMD、行動裝置或計算系統或能夠將人工實境內容提供至一或多個觀看者之任何其他硬體平台。
在一些實例中,LED陣列900可包括諸如本文所描述之一或多個重構半導體組件。
圖10為用於實施本文中所揭示之一些實例中之實例近眼顯示器(例如,HMD裝置)之實例電子系統1000的簡化方塊圖。電子系統1000可用作上文所描述之HMD裝置或其他近眼顯示器的電子系統。在此實例中,電子系統1000可包括一或多個處理器1010及記憶體1020。處理器1010可經組態以執行用於在數個組件處執行操作的指令,且可為例如適合實施於攜帶型電子裝置內的通用處理器或微處理器。處理器1010可與電子系統1000內之複數個組件通信耦接。為了實現此通信耦接,處理器1010可跨越匯流排1040與其他所說明之組件通信。匯流排1040可為適於在電子系統1000內傳送資料之任何子系統。匯流排1040可包括複數個電腦匯流排及額外電路以傳送資料。
記憶體1020可耦接至處理器1010。在一些具體實例中,記憶體1020可提供短儲期存及長期儲存兩者,且可劃分為若干單元。記憶體1020可為揮發性的,諸如靜態隨機存取記憶體(static random access memory;SRAM)及/或動態隨機存取記憶體(DRAM),及/或為非揮發性的,諸如唯讀記憶體(read-only memory;ROM)、快閃記憶體及其類似者。此外,記憶體1020可包括可移式儲存裝置,諸如安全數位(secure digital;SD)卡。記憶體1020可提供電腦可讀取指令、資料結構、程式模組及用於電子系統1000之其他資料的儲存。在一些具體實例中,記憶體1020可分佈至不同硬體模組中。指令集及/或程式碼可儲存於記憶體1020上。指令可呈可由電子系統1000執行之可執行程式碼之形式,及/或可呈源程式碼及/或可安裝程式碼之形式,該源程式碼及/或可安裝程式碼在電子系統1000上編譯及/或安裝於該電子系統上(例如,使用多種常用的編譯器、安裝程式、壓縮/解壓公用程式等中之任一者)後,可呈可執行程式碼之形式。
在一些具體實例中,記憶體1020可儲存複數個應用程式模組1022至1024,該複數個應用程式模組可包括任何數目個應用程式。應用程式之實例可包括遊戲應用程式、會議應用程式、視訊播放應用程式或其他合適之應用程式。應用程式可包括深度感測功能或眼睛追蹤功能。應用程式模組1022至1024可包括待由處理器1010執行之特定指令。在一些具體實例中,應用程式模組1022至1024之某些應用程式或部分可由其他硬體模組1080執行。在某些具體實例中,記憶體1020可另外包括安全記憶體,該安全記憶體可包括額外安全控制以防止對安全資訊之複製或其他未授權存取。
在一些具體實例中,記憶體1020可包括其中裝載之作業系統1025。作業系統1025可操作以起始執行由應用程式模組1022至1024提供之指令及/或管理其他硬體模組1080以及與可包括一或多個無線收發器之無線通信子系統1030之介面。作業系統1025可適於跨越電子系統1000之組件執行其他操作,包括執行緒處理、資源管理、資料儲存控制及其他類似功能性。
無線通信子系統1030可包括例如紅外線通信裝置、無線通信裝置及/或晶片組(諸如,Bluetooth®裝置、IEEE 2602.11裝置、Wi-Fi裝置、WiMax裝置、蜂巢式通信設施等)及/或類似通信介面。電子系統1000可包括用於無線通信之一或多個天線1034,作為無線通信子系統1030之部分或作為耦接至該系統之任何部分的獨立組件。取決於所要功能性,無線通信子系統1030可包括獨立收發器以與基地收發器台及其他無線裝置及存取點通信,其可包括與諸如無線廣域網路(wireless wide-area network;WWAN)、無線區域網路(wireless local area network;WLAN)或無線個域網路(wireless personal area network;WPAN)之不同資料網路及/或網路類型通信。WWAN可為例如WiMax(IEEE 2602.16)網路。WLAN可為例如IEEE 2602.11x網路。WPAN可為例如藍牙網路、IEEE 2602.15x或一些其他類型之網路。本文中所描述之技術亦可用於WWAN、WLAN及/或WPAN之任何組合。無線通信子系統1030可准許與網路、其他電腦系統及/或本文所描述之任何其他裝置交換資料。無線通信子系統1030可包括用於使用天線1034及無線1032傳輸或接收諸如HMD裝置之識別符、位置資料、地理地圖、熱圖、相片或視訊之資料的構件。無線通信子系統1030、處理器1010及記憶體1020可一起包含用於執行本文中所揭示之一些功能的構件中之一或多者的至少一部分。
電子系統1000之具體實例亦可包括一或多個感測器1090。感測器1090可包括例如影像感測器、加速度計、壓力感測器、溫度感測器、近接感測器、磁力計、陀螺儀、慣性感測器(例如,組合加速度計與陀螺儀之模組)、環境光感測器或可操作以提供感測輸出及/或接收感測輸入之任何其他類似的模組,諸如深度感測器或位置感測器。舉例而言,在一些實施中,感測器1090可包括一或多個慣性量測單元(IMU)及/或一或多個位置感測器。IMU可基於自位置感測器中之一或多者接收到的量測信號來產生校準資料,該校準資料指示HMD裝置相對於HMD裝置之初始位置的估計位置。位置感測器可回應於HMD裝置之運動而產生一或多個量測信號。位置感測器之實例可包括但不限於一或多個加速計、一或多個陀螺儀、一或多個磁力計、偵測運動之另一合適類型的感測器、用於IMU之誤差校正的一種類型之感測器或其任何組合。位置感測器可位於IMU外部、IMU內部或其任何組合。至少一些感測器可使用結構化之光圖案以用於感測。
電子系統1000可包括顯示模組1060。顯示模組1060可為近眼顯示器,且可以圖形方式將來自電子系統1000之資訊(諸如影像、視訊及各種指令)呈現給使用者。此資訊可源自一或多個應用程式模組1022至1024、虛擬實境引擎1026、一或多個其他硬體模組1080、其組合或用於為使用者解析圖形內容(例如,藉由作業系統1025)之任何其他合適的構件。顯示模組1060可使用LCD技術、LED技術(包括例如OLED、ILED、微型LED、AMOLED、TOLED等)、發光聚合物顯示器(light emitting polymer display;LPD)技術或某一其他顯示器技術。
電子系統1000可包括使用者輸入/輸出模組1070。使用者輸入/輸出模組1070可允許使用者將動作請求發送至電子系統1000。動作請求可為執行特定動作之請求。舉例而言,動作請求可為開始或結束應用程式或執行應用程式內之特定動作。使用者輸入/輸出模組1070可包括一或多個輸入裝置。實例輸入裝置可包括觸控式螢幕、觸控板、麥克風、按鈕、撥號盤、開關、鍵盤、滑鼠、遊戲控制器或用於接收動作請求且將所接收之動作請求傳達至電子系統1000之任何其他合適的裝置。在一些具體實例中,使用者輸入/輸出模組1070可根據自電子系統1000接收到之指令將觸覺反饋提供至使用者。舉例而言,觸覺反饋可在接收到動作請求或已執行動作請求時提供。
電子系統1000可包括攝影機1050,該攝影機可用以拍攝使用者之相片或視訊,例如用於追蹤使用者之眼睛位置。攝影機1050亦可用於拍攝環境之相片或視訊,例如用於VR、AR或MR應用。攝影機1050可包括例如具有數百萬或數千萬個像素之互補金屬氧化物半導體(complementary metal-oxide-semiconductor;CMOS)影像感測器。在一些實施中,攝影機1050可包括可用以捕獲3D影像之兩個或更多個攝影機。
在一些具體實例中,電子系統1000可包括複數個其他硬體模組1080。其他硬體模組1080中之每一者可為電子系統1000內之實體模組。雖然其他硬體模組1080中之每一者可永久地經組態為結構,但其他硬體模組1080中之一些可臨時經組態以執行特定功能或臨時被啟動。其他硬體模組1080之實例可包括例如音訊輸出及/或輸入模組(例如,麥克風或揚聲器)、近場通信(near field communication;NFC)模組、可再充電電池、電池管理系統、有線/無線電池充電系統等。在一些具體實例中,其他硬體模組1080之一或多個功能可在軟體中實施。
在一些具體實例中,電子系統1000之記憶體1020亦可儲存虛擬實境引擎1026。虛擬實境引擎1026可執行電子系統1000內之應用程式,且自各種感測器接收HMD裝置之位置資訊、加速度資訊、速度資訊、經預測未來位置或其任何組合。在一些具體實例中,由虛擬實境引擎1026接收之資訊可用於為顯示模組1060產生信號(例如,顯示指令)。舉例而言,若所接收之資訊指示使用者已看向左側,則虛擬實境引擎1026可為HMD裝置產生反映使用者在虛擬環境中之移動的內容。另外,虛擬實境引擎1026可回應於自使用者輸入/輸出模組1070接收到之動作請求而執行應用程式內之動作,並將反饋提供至使用者。所提供之反饋可為視覺反饋、聽覺反饋或觸覺反饋。在一些實施中,處理器1010可包括可執行虛擬實境引擎1026之一或多個GPU。
在各種實施中,上述硬體及模組可實施於可使用有線或無線連接彼此通信之單一裝置或多個裝置上。舉例而言,在一些實施中,諸如GPU、虛擬實境引擎1026及應用程式(例如,追蹤應用程式)之一些組件或模組可實施於與頭戴式顯示裝置分離之控制台上。在一些實施中,一個控制台可連接至或支援超過一個HMD。
在替代組態中,不同及/或額外組件可包括於電子系統1000中。類似地,組件中之一或多者的功能性可按不同於上文所描述之方式的方式分佈於組件當中。舉例而言,在一些具體實例中,電子系統1000可經修改以包括其他系統環境,諸如AR系統環境及/或MR環境。
在一些實例中,電子系統1000可包括諸如本文所描述之一或多個重構半導體組件。
圖11至圖26說明實例半導體製品,其可在本文中被稱作小晶片,包括至少部分地由填充材料包圍之一或多個IC晶粒(例如,半導體晶粒)且包括安置於一或多個IC晶粒及填充材料之第一共面表面上的重佈線層(RDL),例如RDL延伸至填充材料之表面、IC晶粒之表面兩者上,其中IC晶粒及填充材料兩者之表面彼此共面。在一或多個實例中,小晶片可包括IC晶粒內之一或多個TSV及/或填充材料內之一或多個TPV,且小晶片可包括一或多個IC晶粒及填充材料之與第一共面側相對之第二共面表面上的替代及/或額外RDL。舉例而言,圖11至圖26之一或多個實例可包括包括RDL層之實例小晶片,該等RDL層具有用於平面內電互連點及/或路徑之延伸及/或增加的面積,且具有用於貫穿平面電互連點及/或路徑(例如,TPV)之增加的體積。
圖11A為根據本揭示中所描述之技術的製品1100之橫截面之示意性圖示。在一些實例中,製品1100可為半導體組件1100。在所展示之實例中,製品1100為包括單一半導體晶粒1102(替代地被稱作IC晶粒1102、填充材料1108及重佈線層(RDL)1110)之小晶片1100。
在所展示之實例中,IC晶粒1102包括某一厚度之塊材矽1104及IC層1107。IC層1107可為包括IC結構及組件之相對薄層,例如金屬化層及/或電線、由介電材料分離之半導體及導電材料,例如源極、汲極、閘極、導電墊、通孔及其類似者,包含積體電子組件,例如IC晶粒1102之電晶體、電容器、電阻器、電感器及其類似者。舉例而言,IC層1107可包含在IC晶粒1102內自表面1106延伸之5微米(μm)厚IC層、10 μm厚層、20 μm厚層或任何合適厚度之層。
在所展示之實例中,表面1111為小晶片1100之第一主表面,且表面1106為小晶片1100之與第一主表面1111相對的第二主表面。在所展示之實例中,表面1106包括IC層1107之表面的至少一部分,其可被稱作IC晶粒1102及/或IC層1107之前面、頂面、前表面及或頂表面,此係因為一或多個積體電路(IC)形成於IC晶粒1102內(例如,IC層1107內)之表面。亦即,表面1106至少部分地包括IC晶粒1102之前表面。
在所展示之實例中,填充材料1108可為有機材料或無機材料。舉例而言,填充材料1108可為氧化物或介電聚合物。在一些實例中,填充材料1108可為模具及/或模製化合物。填充材料1108可經沈積、旋轉或以其他方式安置於鄰近IC晶粒1102之體積中。IC晶粒1102與填充材料1108之組合接著可使用研磨、拋光、化學機械拋光或其類似者來平坦化,使得IC晶粒1102與填充材料1108之表面在表面1111處實質上共面,例如沿著IC晶粒1102及填充材料1108之與表面1106相對之主表面。
表面1111接著可經加工以薄化填充材料1108。舉例而言,表面1111可使用研磨、拋光、化學機械拋光或其類似者來薄化。表面1111可經薄化以使得IC晶粒1102及填充材料1108之與IC晶粒1102之前面1106相對的主表面實質上共面。
IC晶粒1102之區域中的表面1111可被稱作IC晶粒1102之背面,且IC晶粒1102之區域中的表面1106可被稱作IC晶粒1102之前面。在所展示之實例中,IC晶粒1102之前面及背面為實質上可平行之相對表面。IC晶粒1102之正面及背面可由一或多個周邊壁結合,該一或多個周邊壁可由實質上垂直於IC晶粒1102之正面及背面之一或多個表面界定。在矩形IC晶粒1102之情況下,IC晶粒1102之正面及背面可由四個周邊壁結合,該等周邊壁中之每一者可具有與IC晶粒1102之正面及背面之各別x方向及y方向長度對應的在x方向及y方向上之長度。
填充材料1108可包圍IC晶粒1102之至少一部分及/或至少部分囊封IC晶粒1102。舉例而言,填充材料1108可接觸IC晶粒1102之一或多個周邊壁中之至少一者。
重佈線層(RDL)1110可包括介電材料1112內之一或多個導體1114。導體1114可在介電材料1112內形成一或多個導電路徑。RDL 1110可包含例如在如所展示之厚度及/或z方向上之複數個層。舉例而言,在厚度方向上,RDL 1110可包括第一介電層、第一導電層、第二介電層、第二導電層及第三介電層。在一些實例中,RDL 1110可具有更多或更少導電層及/或介電層。導電層中之每一者可具有圖案,例如第一導電層可包括複數個導電通道/列/電線及其類似者或任何其他類型之圖案。第二導電層可包括在垂直於第一導電層之方向上或以任何其他角度的複數個導電通道/行/電線及其類似者,或可包括任何其他類型之圖案。在一些實例中,介電層可包括可包括導電材料之通孔,該導電材料將第一導電層中之一或多個導體及/或導電特徵與第二導電層或額外導電層中之一或多個導體及/或導電特徵電互連。最頂層及最底層,例如第一介電層及第三介電層可包括包括導電材料之通孔,該導電材料可例如在RDL 1110之頂表面及底表面上形成一或多個互連點及/或區域。
在一些實例中,RDL 1110可安置於IC 1102及填充材料1108之主表面(例如,表面1111)上。舉例而言,RDL 1110可藉助於延伸超出IC晶粒1102之平面內區域而包含互連點及互連路徑之延伸區域。在一些實例中,RDL 1110可與可跟IC晶粒1102之IC層1107互連之一或多個其他電組件(圖中未示)連接。
圖11A之實例說明小晶片1100之x-z橫截面。小晶片1100可為矩形的,如所展示,具有在x方向上之第一長度、在y方向上之第二長度及在z方向上之厚度,但小晶片1100及其所描述組件可能通常或相對於彼此未按比例說明。舉例而言,整個小晶片1100與IC層1107之相對厚度可能相對於彼此未按比例說明。在所展示之實例中,填充材料1108及RDL 1110在x方向上延伸超出IC晶粒1102之長度。儘管圖中未示,但在一些實例中,填充材料1108及RDL 1110亦可在y方向上延伸超出IC晶粒1102在y方向上之長度相同比例量或某一其他量。在一些實例中,小晶片1100可在x-y方向上具有矩形形狀。在其他實例中,小晶片1100可具有任何其他形狀。
在所展示之實例中,小晶片1100視情況包括一或多個凸塊1116。凸塊1116可為在接合期間預形成及/或形成之金屬互連件。在一些實例中,凸塊1116可為諸如上文所描述之焊接界面(例如,凸塊、墊或球)及/或實質上類似於上文圖7A至圖7B所描述之接合層713或圖案化層715的接合層或圖案化層。在所展示之實例中,凸塊1116安置於RDL 1110之與表面1111相對的表面上。在一些實例中,凸塊1116可以任何組合方式安置於表面1106、RDL 1110之與表面1111相對的表面或表面1111之任一者或全部上,例如作為表面1111與RDL 1110之間的連接點。
圖11B為根據本揭示中所描述之技術的製品1150之橫截面之示意性圖示。在一些實例中,製品1150可為半導體組件1150。在所展示之實例中,製品1150為可實質上類似於圖11A之小晶片1100的小晶片1150,不同之處在於RDL 1110安置於小晶片1150之相對側上,例如表面1106上。在一些實例中,RDL 1110可形成有可電連接及/或耦接至IC層1107之互連點(例如,電連接及/或耦接至形成於IC晶粒1102之表面1106上的一或多個導電墊)的一或多個互連點。
在所展示之實例中,小晶片1150視情況包括一或多個凸塊1116。在所展示之實例中,凸塊1116安置於RDL 1110之與表面1106相對的表面上。在一些實例中,凸塊1116可以任何組合方式安置於表面1111、RDL 1110之與表面1106相對的表面或表面1106之任一者或全部上,例如作為表面1106與RDL 1110之間的連接點。
圖12A為根據本揭示中所描述之技術之另一實例製品1200的橫截面之示意性圖示。在一些實例中,製品1200可為半導體組件1200。在所展示之實例中,製品1200為可實質上類似於圖11A之小晶片1100的小晶片1200,不同之處在於IC晶粒1102包括一或多個TSV 1218。
TSV 1218可為自厚度及/或z方向上之第一位置至厚度及/或z方向上之第二位置的貫穿平面互連點及/或路徑,例如穿過IC晶粒1102(例如,至少部分穿過塊材矽1104及IC層1107中之一者或兩者)。舉例而言,TSV 1218可為自IC層1107之導電墊至表面1111及/或RDL 1110之導電點的導電路徑。在所展示之實例中,TSV 1218經說明為在表面1111與IC層1107之表示IC層1107之厚度的界面之間,然而,TSV 1218亦可延伸至IC層1107內之任何z位置或延伸至表面1106。
TSV 1218可由任何合適的導電材料製成。在一些實例中,TSV 1218可為IC層1107及/或塊材矽1104之金屬填充空隙。舉例而言,TSV 1218可藉由首先在IC層1107及/或塊材矽1104內產生空隙及至少部分地用金屬及/或任何合適的導電材料填充空隙來製造。可在沈積導電材料之前沈積額外層(諸如,襯墊氧化物)以隔離空隙之側壁與導電材料。
圖12B為根據本揭示中所描述之技術的製品1250之橫截面之示意性圖示。在一些實例中,製品1250可為半導體組件1250。在所展示之實例中,製品1250為可實質上類似於圖12A之小晶片1200的小晶片1250,不同之處在於RDL 1110安置於小晶片1250之相對側上,例如安置於表面1106上,諸如上文關於圖11B所描述。在一些實例中,RDL 1110可形成有可電連接及/或耦接至IC層1107之互連點(例如,電連接及/或耦接至形成於IC晶粒1102之表面1106上的一或多個導電墊及/或TSV 1218)的一或多個互連點。
圖13A為根據本揭示中所描述之技術之另一實例製品1300的橫截面之示意性圖示。在一些實例中,製品1300可為半導體組件1300。在所展示之實例中,製品1300為實質上可類似於圖11A之小晶片1100的小晶片1300,不同之處在於填充材料1108包括一或多個TPV 1318。
TPV 1318可實質上類似於上文所描述之TSV 1218,不同之處在於TPV 1318可經由填充材料1108形成。舉例而言,TPV 1318可為貫穿平面互連點及/或路徑,例如自厚度及/或z方向上之第一位置至厚度及/或z方向上之第二位置的穿過填充材料1108。舉例而言,TPV 1318可為自RDL 1110之導電點至表面1106的導電路徑。在一些實例中,可添加TPV 1318以提供熱功能(例如,提高小晶片1300之有效熱導率)且其可不電耦接至RDL層。在所展示之實例中,TPV 1318說明為在表面1111與表面1106之間,然而,TPV 1318亦可在填充材料1108內之任何z位置之間延伸。
TPV 1318可由任何合適的導電材料製成。在一些實例中,TPV 1318可為填充材料1108之金屬填充空隙。舉例而言,TPV 1318可藉由首先在填充材料1108內產生空隙及至少部分地用金屬及/或任何合適的導電材料填充空隙來製造。
圖13B為根據本揭示中所描述之技術的製品1350之橫截面之示意性圖示。在一些實例中,製品1350可為半導體組件1350。在所展示之實例中,製品1350為可實質上類似於圖13A之小晶片1300的小晶片1350,不同之處在於RDL 1110安置於小晶片1350之相對側上,例如安置於表面1106上,諸如上文關於圖11B所描述。在一些實例中,RDL 1110可形成具有可電連接及/或耦接至IC層1107之互連點(例如,電連接及/或耦接至形成於IC晶粒1102之表面1106上的一或多個導電墊及/或TPV 1318)的一或多個互連點。
圖14A為根據本揭示中所描述之技術之另一實例製品1400的橫截面之示意性圖示。在一些實例中,製品1400可為半導體組件1400。在所展示之實例中,製品1400為可實質上類似於圖11A之小晶片1100的小晶片1400,不同之處在於IC晶粒1102包括一或多個TSV 1218,諸如上文參考圖12A所描述,且填充材料1108包括一或多個TPV 1318,諸如上文參考圖13A所描述。
圖14B為根據本揭示中所描述之技術的製品1450之橫截面之示意性圖示。在一些實例中,製品1450可為半導體組件1450。在所展示之實例中,製品1450為可實質上類似於圖14A之小晶片1400的小晶片1450,不同之處在於RDL 1110安置於小晶片1450之相對側上,例如安置於表面1106上,諸如上文關於圖11B所描述。在一些實例中,RDL 1110可形成有可電連接及/或耦接至IC層1107之互連點(例如,電連接及/或耦接至形成於IC晶粒1102之表面1106上的一或多個導電墊及/或TSV 1218及TPV 1318中之任一者)的一或多個互連點。
圖15為根據本揭示中所描述之技術之另一實例製品1500的橫截面之示意性圖示。在一些實例中,製品1500可為半導體組件1500。在所展示之實例中,製品1500為可實質上類似於圖14A之小晶片1400的小晶片1500,不同之處在於小晶片1500另外包括安置於小晶片1500之相對側上(例如,表面1106上)之RDL 1510。RDL 1510可實質上類似於安置於小晶片1150之表面1106上的RDL 1110,諸如上文關於圖11B所描述。在所展示之實例中,RDL 1510可形成有可電連接及/或耦接至IC層1107之互連點(例如,電連接及/或耦接至形成於IC晶粒1102之表面1106上的一或多個導電墊及/或TSV 1218及TPV 1318中之任一者)的一或多個互連點。在所展示之實例中,RDL 1110可形成有可電連接及/或耦接至TSV 1218及/或TPV 1318的互連點之一或多個互連點。在一些實例中,小晶片1500可例如基於小晶片1500之應用及/或使用情況的功能及整合要求僅包括TSV 1218但不包括TPV 1318或僅包括TPV 1318但不包括TSV 1218或其任何組合。此外,如上文關於小晶片1300及1350所陳述,小晶片1500之TPV 1318中之一些或全部可出於熱功能性(例如,導熱率)而添加,且可不與RDL層電耦接。
在上文關於圖11至圖15所描述之實例中,實例小晶片1100至1500為包括RDL層之單一IC晶粒小晶片之實例,該等RDL層具有用於平面內電互連點及/或路徑之延伸及/或增加的面積及用於貫穿平面電互連點及/或路徑(例如,TPV)之增加的體積。
在下文關於圖16至圖20所描述之實例中,實例小晶片1600至2000為包括RDL層之多個IC晶粒小晶片之實例,該等RDL層具有用於平面內電互連點及/或路徑之延伸及/或增加的面積及用於貫穿平面電互連點及/或路徑(例如,TPV)之增加的體積。
圖16為根據本揭示中所描述之技術之另一實例製品1600的橫截面之示意性圖示。在一些實例中,製品1600可為半導體組件1600。在所展示之實例中,製品1600為包括多個半導體晶粒1602(替代地稱作IC晶粒1602)、填充材料1608及重佈線層(RDL)1610之小晶片1600。在一些實例中,小晶片1600可實質上類似於上文關於圖11A所描述之小晶片1100,但具有多個IC晶粒。
在一些實例中,多個IC晶粒1602中之每一者可實質上類似於上文所描述之IC晶粒1102,但IC晶粒1602可能未必彼此相同,例如,IC晶粒1602中之每一者可為不同IC晶粒。舉例而言,IC晶粒1602包括某一厚度之塊材矽1604及IC層1607。IC層1607可為包括IC結構及組件之相對薄層,例如金屬化層及/或電線、由介電材料分離之半導體及導電材料,例如源極、汲極、閘極、導電墊、通孔及其類似者,包含積體電子組件,例如IC晶粒1602之電晶體、電容器、電阻器、電感器及其類似者。舉例而言,IC層1607可包含在IC晶粒1602內自表面1606延伸之5微米(μm)厚IC層、10 μm厚層、20 μm厚層或任何合適厚度之層。
在所展示之實例中,表面1611為小晶片1600之第一主表面,且表面1606為小晶片1600之與第一主表面1611相對的第二主表面。在所展示之實例中,表面1606包括IC層1607之表面的至少一部分,其可被稱作IC晶粒1602及/或IC層1607之前面、頂面、前表面及或頂表面,此係因為其為一或多個積體電路(IC)形成於IC晶粒1602內(例如,IC層1607內)之表面。亦即,表面1606至少部分地包括IC晶粒1602之前表面。
在所展示之實例中,填充材料1608可為有機材料或無機材料。舉例而言,填充材料1608可為氧化物或介電聚合物。在一些實例中,填充材料1608可為模具及/或模製化合物。填充材料1608可經沈積、旋轉或以其他方式安置於鄰近IC晶粒1602之體積中。IC晶粒1602與填充材料1608之組合接著可使用研磨、拋光、化學機械拋光或其類似者來平坦化,使得IC晶粒1602與填充材料1608之表面在表面1611處實質上共面,例如沿著IC晶粒1602及填充材料1608之與IC晶粒1602之前面1606相對之主表面。
表面1611接著可經加工以薄化填充材料1608。舉例而言,表面1611可使用研磨、拋光、化學機械拋光或其類似者來薄化。表面1611可經薄化以使得IC晶粒1602及填充材料1608之與表面1606相對的主表面實質上共面。
IC晶粒1602之區域中的表面1611可被稱作IC晶粒1602之背面,且IC晶粒1602之區域中的表面1606可被稱作IC晶粒1602之前面。在所展示之實例中,IC晶粒1602之前面及背面為實質上可平行之相對表面。IC晶粒1602之正面及背面可由一或多個周邊壁結合,該一或多個周邊壁可由實質上垂直於IC晶粒1602之正面及背面之一或多個表面界定。在矩形IC晶粒1602之情況下,IC晶粒1602之正面及背面可由四個周邊壁結合,該等周邊壁中之每一者可具有與IC晶粒1602之正面及背面之各別x方向及y方向長度對應的在x方向及y方向上之長度。
填充材料1608可包圍IC晶粒1602之至少一部分及/或至少部分囊封IC晶粒1602。舉例而言,填充材料1608可接觸IC晶粒1602之一或多個周邊壁中之至少一者。
重佈線層(RDL)1610可包括介電材料1612內之一或多個導體1614。導體1614可在介電材料1612內形成一或多個導電路徑。RDL 1610可包含例如在如所展示之厚度及/或z方向上之複數個層。舉例而言,在厚度方向上,RDL 1610可包括第一介電層、第一導電層、第二介電層、第二導電層及第三介電層。在一些實例中,RDL 1610可具有更多或更少導電層及/或介電層。導電層中之每一者可具有圖案,例如第一導電層可包括複數個導電通道/列/電線及其類似者或任何其他類型之圖案。第二導電層可包括在垂直於第一導電層之方向上或以任何其他角度的複數個導電通道/行/電線及其類似者,或可包括任何其他類型之圖案。在一些實例中,介電層可包括可包括導電材料之通孔,該導電材料將第一導電層中之一或多個導體及/或導電特徵與第二導電層中之一或多個導體及/或導電特徵電互連。第一,最頂層及最底層,例如第一介電層及第三介電層可包括包括導電材料之通孔,該導電材料可例如在RDL 1610之頂表面及底表面上形成一或多個互連點及/或區域。
在一些實例中,RDL 1610可安置於IC 1602及填充材料1608之主表面(例如,表面1611)上。舉例而言,RDL 1610可藉助於延伸超出IC晶粒1602之平面內區域而包含互連點及互連路徑之延伸區域。在一些實例中,RDL 1610可與可跟IC晶粒1602之IC層1607互連之一或多個其他電組件(圖中未示)連接。
圖16A之實例說明小晶片1600之x-z橫截面。小晶片1600可為矩形的,如所展示,具有在x方向上之第一長度、在y方向上之第二長度及在z方向上之厚度,但小晶片1600及其所描述組件可能未大體或相對於彼此按比例說明。舉例而言,整個小晶片1600與IC層1607之相對厚度可能未相對於彼此按比例說明。在所展示之實例中,填充材料1608及RDL 1610在x方向上延伸超出IC晶粒1602之長度。儘管圖中未示,但在一些實例中,填充材料1608及RDL 1610亦可在y方向上延伸超出IC晶粒1602在y方向上之長度相同比例量或某一其他量。在一些實例中,小晶片1600可在x-y方向上具有矩形形狀。在其他實例中,小晶片1600可具有任何其他形狀。
在所展示之實例中,小晶片1600視情況包括一或多個凸塊1616。凸塊1616可為在接合期間使用及/或形成之金屬互連件。在一些實例中,凸塊1616可為諸如上文所描述之焊接界面(例如,凸塊、墊或球)及/或實質上類似於上文圖7A至圖7B所描述之接合層713或圖案化層715的接合層或圖案化層。在所展示之實例中,凸塊1616安置於RDL 1610之與表面1611相對之表面上。在一些實例中,凸塊1616可以任何組合方式安置於表面1606、RDL 1610之與表面1611相對的表面或表面1611之任一者或全部上,例如作為表面1611與RDL 1610之間的連接點。
在一些實例中,RDL 1610可安置於小晶片1600之相對側上,例如表面1606上而非表面1611上,且可實質上類似於如上文關於圖11B所描述之小晶片1150,不同之處在於具有多個IC晶粒1602。在一些實例中,RDL 1610可形成有可電連接及/或耦接至IC層1607之互連點(例如,電連接及/或耦接至形成於IC晶粒1602之表面1606上的一或多個導電墊)的一或多個互連點。另外,凸塊1616可以任何組合安置於表面1611、RDL 1610之與表面1106相對之表面及/或表面1611或表面1106之任一者或全部上,例如作為表面1106與RDL 1110之間的連接點。
圖17為根據本揭示中所描述之技術之另一實例製品的橫截面之示意性圖示。在一些實例中,製品1700可為半導體組件1700。在所展示之實例中,製品1700為可實質上類似於圖16之小晶片1600的小晶片1700,不同之處在於IC晶粒1602包括一或多個TSV 1718。在一些實例中,小晶片1600可實質上類似於上文關於圖12A所描述之小晶片1200,但具有多個IC晶粒。在一些實例中,IC晶粒1602可不必彼此相同,例如,IC晶粒1602中之每一者可為不同IC晶粒。
TSV 1718可為自厚度及/或z方向上之第一位置至厚度及/或z方向上之第二位置的貫穿平面互連點及/或路徑,例如穿過一或多個IC晶粒1602(例如,至少部分穿過塊材矽1604及IC層1607中之一者或兩者)。舉例而言,TSV 1718可為自IC層1607之導電墊至表面1611及/或RDL 1610之導電點的導電路徑。在所展示之實例中,TSV 1718經說明為在表面1611與IC層1607之表示IC層1607之厚度的界面之間,然而,TSV 1718亦可延伸至IC層1607內之任何z位置或延伸至表面1606。
TSV 1718可由任何合適的導電材料製成。在一些實例中,TSV 1718可為IC層1607及/或塊材矽1604之金屬填充空隙。舉例而言,TSV 1718可藉由首先在IC層1607及/或塊材矽1604內產生空隙及至少部分地用金屬及/或任何合適的導電材料填充空隙來製造。可在沈積導電材料之前沈積額外層(諸如,襯墊氧化物)以隔離空隙之側壁與導電材料。
在一些實例中,RDL 1610可安置於小晶片1700之相對側上,例如表面1606上而非表面1611上,且可實質上類似於如上文關於圖12B所描述之小晶片1250,不同之處在於具有多個IC晶粒1602。舉例而言,RDL 1610可形成有可電連接及/或耦接至IC層1607之互連點(例如,電連接及/或耦接至形成於IC晶粒1602之表面1606上的一或多個導電墊及/或TSV 1718)的一或多個互連點。
圖18為根據本揭示中所描述之技術之另一實例製品1800的橫截面之示意性圖示。在一些實例中,製品1800可為半導體組件1800。在所展示之實例中,製品1800為實質上可類似於圖16之小晶片1600的小晶片1800,不同之處在於填充材料1608包括一或多個TPV 1818。在一些實例中,小晶片1800可實質上類似於上文關於圖13A所描述之小晶片1300,但具有多個IC晶粒。在一些實例中,IC晶粒1602可不必彼此相同,例如,IC晶粒1602中之每一者可為不同IC晶粒。
TPV 1818可實質上類似於上文所描述之TSV 1718,不同之處在於TPV 1818可經由填充材料1608形成。舉例而言,TPV 1818可為貫穿平面互連點及/或路徑,例如自厚度及/或z方向上之第一位置至厚度及/或z方向上之第二位置的穿過填充材料1608。舉例而言,TPV 1818可為自RDL 1610之導電點至表面1606的導電路徑。在一些實例中,可添加TPV 1818以提供熱功能(例如,提高小晶片1800之有效熱導率)且其可不電耦接至RDL層。在所展示之實例中,TPV 1818說明為在表面1611與表面1606之間,然而,TPV 1818亦可在填充材料1608內之任何z位置之間延伸。
TPV1818可由任何合適的導電材料製成。在一些實例中,TPV 1818可為填充材料1608之金屬填充空隙。舉例而言,TPV 1818可藉由首先在填充材料1608內產生空隙及至少部分地用金屬及/或任何合適的導電材料填充空隙來製造。
在一些實例中,RDL 1610可安置於小晶片1800之相對側上,例如表面1606上而非表面1611上,且可實質上類似於如上文關於圖13B所描述之小晶片1350,不同之處在於具有多個IC晶粒1602。舉例而言,RDL 1610可形成有可電連接及/或耦接至IC層1607之互連點(例如,電連接及/或耦接至形成於IC晶粒1602之表面1606上的一或多個導電墊及/或TPV 1618)的一或多個互連點。
圖19為根據本揭示中所描述之技術之另一實例製品1900的橫截面之示意性圖示。在一些實例中,製品1900可為半導體組件1900。在所展示之實例中,製品1900為可實質上類似於圖16之小晶片1600的小晶片1900,不同之處在於IC晶粒1602包括一或多個TSV 1718,諸如上文參考圖17所描述,且填充材料1608包括一或多個TPV 1818,諸如上文參考圖18所描述。在一些實例中,小晶片1900可實質上類似於上文關於圖14A所描述之小晶片1400,但具有多個IC晶粒。在一些實例中,IC晶粒1602可不必彼此相同,例如,IC晶粒1602中之每一者可為不同IC晶粒。
在一些實例中,RDL 1610可安置於小晶片1900之相對側上,例如表面1606上而非表面1611上,且可實質上類似於如上文關於圖14B所描述之小晶片1450,不同之處在於具有多個IC晶粒1602。舉例而言,RDL 1610可形成有可電連接及/或耦接至IC層1607之互連點(例如,電連接及/或耦接至形成於IC晶粒1602之表面1606上的一或多個導電墊及/或TSV 1718及TPV 1818中的任一者)的一或多個互連點。
圖20為根據本揭示中所描述之技術之另一實例製品2000的橫截面之示意性圖示。在一些實例中,製品2000可為半導體組件2000。在所展示之實例中,製品2000為可實質上類似於圖19之小晶片1900的小晶片2000,不同之處在於小晶片2000另外包括安置於小晶片2000之相對側上(例如,表面1606上)之RDL 2010。RDL 2010可實質上類似於安置於小晶片1600之表面1606上的RDL 1610,諸如上文關於圖16所描述。在一些實例中,IC晶粒1602可不必彼此相同,例如,IC晶粒1602中之每一者可為不同IC晶粒。
在所展示之實例中,RDL 2010可形成有可電連接及/或耦接至IC層1607之互連點(例如,電連接及/或耦接至形成於IC晶粒1602之表面1606上的一或多個導電墊及/或TSV 1718及TPV 1818中的任一者)的一或多個互連點。在所展示之實例中,RDL 1610可形成有可電連接及/或耦接至TSV 1718及/或TPV 1818的互連點之一或多個互連點。在一些實例中,小晶片2000可例如基於小晶片1500之應用及/或使用情況的功能及整合要求僅包括TSV 1718但不包括TPV 1818或僅包括TPV 1818但不包括TSV 1718或其任何組合。此外,如上文關於小晶片1300及1350所陳述,小晶片1500之TPV 1818中之一些或全部可出於熱功能性(例如,導熱率)而添加,且可不與RDL層電耦接。
在下文關於圖21至圖24所描述之實例中,實例小晶片2100至2400為包括RDL層之實例小晶片,該等RDL層具有用於平面內電互連點及/或路徑之延伸及/或增加的面積及用於貫穿平面電互連點及/或路徑(例如,TPV)之增加的體積,以及亦包括如下文所描述之額外組件及/或變化。
圖21為根據本揭示中所描述之技術之另一實例製品2100的橫截面之示意性圖示。在一些實例中,製品2100可為半導體組件2100。在所展示之實例中,製品2100為可實質上類似於圖15之小晶片1500的小晶片2100,不同之處在於另外包括半導體晶粒2102或任何其他半導體組件,例如上文所描述之小晶片1100至2000中之任一者、封裝IC及其類似者。在一些實例中,小晶片2100可實質上類似於圖20之小晶片2000,例如小晶片2100可包括複數個IC晶粒1602。
半導體晶粒2102可為包括積體電路(例如,IC結構及組件)之IC晶粒2102,例如金屬化層及/或電線、由介電材料分離之半導體及導電材料,例如源極、汲極、閘極、導電墊、通孔及其類似者,包含積體電子組件,例如電晶體、電容器、電阻器、電感器及其類似者,類似於IC晶粒1102及/或IC晶粒1602。在所展示之實例中,IC晶粒2102包含動態隨機存取記憶體IC晶片或DRAM 2102。在其他實例中,IC晶粒2102可為任何其他類型之記憶體,例如靜態隨機存取記憶體(SRAM)、磁性隨機存取記憶體(magnetic random access memory;MRAM)、相變隨機存取記憶體(phase change random access memory;PRAM)、參數隨機存取記憶體、電阻性隨機存取記憶體(resistive random access memory;RRAM)、鐵電隨機存取記憶體(ferroelectric random access memory;FRAM)或任何其他類型之記憶體及/或半導體晶粒。在一些實例中,IC晶粒2102可為任何半導體組件,例如封裝IC、本文中所描述之小晶片及/或製品(諸如小晶片1100至2000、2300至2600)中之任一者及其類似者。在一些實例中,IC晶粒2102及IC晶粒1602可不必彼此相同,例如IC晶粒1602及IC晶粒2102中之每一者可為不同IC晶粒。
在一些實例中,小晶片2100可簡化一或多個互連件(例如,一或多個IC晶粒1602與DRAM 2102之間)的路由。舉例而言,小晶片2100可包括DRAM 2102與一或多個IC晶粒1602之間的N數目個互連件,及IC晶粒1602與小晶片2100可整合至其中的系統之其他部分之間的M數目個互連件,例如其他通信及/或電力互連件。在DRAM並未如所展示堆疊於RDL 1610上之典型小晶片中,N數目個互連件可經由RDL 1610路由及/或路由出小晶片以及路由穿過例如印刷電路板(printed circuit board;PCB)及其類似者之額外組件,從而產生可相對長(例如,數毫米)之互連件。相比之下,小晶片2100之N數目個互連件可直接路由於IC晶粒1602與DRAM 2102之間(例如,若不包括RDL 1610)或經由可相對薄之RDL 1610路由。舉例而言,N個互連件可經路由出小晶片2100之背部,且M數目個互連件可經路由出小晶片2100之前部。因此,可減小互連路由密度,可減小互連路徑之長度,且可簡化路由。在一些實例中,小晶片2100可例如經由減少之互連路徑長度、複雜度及密度提供較高頻寬互連件,且可提供經改良(例如,減少)之互連等待時間、減少之寄生電容及經改良之信號完整性及電源完整性,例如經改良之SI/PI。
圖22為根據本揭示中所描述之技術之另一實例製品2200的橫截面之示意性圖示。在一些實例中,製品2200可為半導體組件2200。在所展示之實例中,製品2200為可實質上類似於圖21之小晶片2100的小晶片2200,不同之處在於包括半導體晶粒2202而非DRAM 2102。舉例而言,半導體晶粒2202可為顯示處理器及/或控制器,且IC晶粒1602可為與IC電路整合之紅色、綠色及藍色微型LED陣列。在一些實例中,IC晶粒2202可為任何半導體組件,例如封裝IC、本文中所描述之小晶片及/或製品(諸如小晶片1100至2100、2300至2600)中之任一者及其類似者。在一些實例中,IC晶粒2202及IC晶粒1602可不必彼此相同,例如IC晶粒1602中之每一者可為不同於彼此且不同於IC晶粒2202之IC晶粒。
在所展示之實例中,半導體晶粒2202可直接附接至RDL 1610,且可減少及/或消除將半導體晶粒2202互連至IC晶粒1602另外所需的扇出封裝。此外,晶粒2202之高度可經選擇以小於凸塊1616之間距高度,例如如所展示在z方向上之凸塊1616中之一或多者之長度,以促進及/或實現半導體組件(例如,IC晶粒、另一小晶片及/或任何其他半導體組件)與小晶片2200之垂直堆疊,例如在不實體地干擾半導體晶粒2202之情況下。
圖23為根據本揭示中所描述之技術之另一實例製品2300的橫截面之示意性圖示。在一些實例中,製品2300可為半導體組件2300。在所展示之實例中,製品2300為可實質上類似於圖15之小晶片1500的小晶片2300,不同之處在於小晶片2300之TSV 1718及TPV 1818可經組態以單獨地路由電力及/或接地及I/O連接。在一些實例中,IC晶粒1602可不必彼此相同,例如,IC晶粒1602中之每一者可為不同IC晶粒。
舉例而言,可藉助於一或多個TSV 1718及/或TPV 1818將電力及/或接地互連至IC晶粒1602。舉例而言,電力及/或接地互連可路由穿過表面1611(例如,路由出小晶片2300之背部)至IC晶粒1602之IC電路,且I/O互連可路由穿過表面1606(例如,路由出小晶片2300之前面)。在一些實例中,電力及/或接地互連可經由朝向IC晶粒1602之體積之中心安置的TSV 1718路由。在一些實例中,小晶片2300可包括複數個IC晶粒1602,其中如針對IC晶粒1602中之每一者所描述,路由電力及/或接地與I/O互連分離。
在一些實例中,小晶片2300可經由將電力及/或接地路由出小晶片2300之背部而改良(例如,減小)等待時間、SI/PI、互連密度及/或I/O互連件之數目及寄生電容,從而為將I/O互連及路徑路由出小晶片2300之前部留下更大空間,例如此可為IC晶粒1602提供較短互連路徑。
在一些實例中,小晶片2300可減少及/或消除平面,例如另外可用於將電力及/或接地自PCB路由至小晶片2300之結構。舉例而言,小晶片2300之電力及/或接地互連路徑,例如直接在小晶片2300之背部之外小於1 mm,且可另外減少所需的RDL 1610之層的數目。
圖24為根據本揭示中所描述之技術之另一實例製品2400的橫截面之示意性圖示。在一些實例中,製品2400可為半導體組件2400。在所展示之實例中,製品2400為可實質上類似於圖20之小晶片2000的小晶片2400,不同之處在於IC晶粒1602中之一或多者可能不具有TSV 1718且IC晶粒1602中之一或多者可經翻轉,例如一或多個IC晶粒1602之前面可朝向表面1611而非表面1606。在一些實例中,IC晶粒1602可不必彼此相同,例如,IC晶粒1602中之每一者可為不同IC晶粒。
圖25為根據本揭示中所描述之技術之另一實例製品2500的橫截面之示意性圖示。在一些實例中,製品2500可為半導體組件2500。在所展示之實例中,製品2500為包括複數個小晶片之堆疊小晶片2500。在所展示之實例中,堆疊小晶片2500包括以垂直格式互連之三個小晶片1500。每一小晶片1500可實質上與圖15之小晶片1500相同,且可經由各別RDL層互連,例如藉由將第一小晶片1500之RDL 1110上之墊或其他互連點電連接至第二小晶片1500之RDL 1510。另外或替代地,每一小晶片1500可以任何組合經由RDL 1110及/或RDL 1510互連至凸塊1116互連件、凸塊間連接件或任何合適之互連件。在一些實例中,小晶片2500之IC晶粒1102可不必彼此相同,例如,IC晶粒1102中之每一者可為不同IC晶粒。
在一些實例中,每一小晶片1500可包括藉助於RDL 1110、RDL 1510、TSV 1218及TPV 1318中之任一者或全部穿過前面或底面中之一者或兩者(例如,穿過表面1106及/或1111)的I/O互連點及/或路徑。每一小晶片1500可例如藉由將第一小晶片1500之前面處的一或多個互連件互連至第二小晶片1500之背面處的一或多個互連件而以所展示之垂直格式無縫地整合。
在一些實例中,堆疊小晶片2500可為包括及/或省略小晶片1500之組件的堆疊。舉例而言,堆疊小晶片2500可不包括凸塊,且組件小晶片中之每一者可經由RDL層互連。在一些實例中,堆疊小晶片2500可由上文所描述之小晶片1100至1500之任何組合形成。
圖26為根據本揭示中所描述之技術之另一實例製品2600的橫截面之示意性圖示。在一些實例中,製品2600可為半導體組件2600。在所展示之實例中,製品2600為包括各自包括多個IC晶粒之複數個小晶片的堆疊小晶片2600。在所展示之實例中,堆疊小晶片2600包括三個小晶片2000。堆疊小晶片2000可與圖25之堆疊小晶片2500實質上相同,不同之處在於每一小晶片包括複數個IC晶粒。在一些實例中,堆疊小晶片2600可由上文所描述之小晶片1600至2000之任何組合形成,且可如上文參考圖25所描述互連。在一些實例中,小晶片2600之IC晶粒1602可不必彼此相同,例如,IC晶粒1602中之每一者可為不同IC晶粒。
圖27及圖28A至圖28B說明形成半導體製品(例如,小晶片)之實例方法2700,且同時加以描述。圖27為根據本揭示中所描述之技術的形成小晶片之實例方法2700之流程圖。圖28A至圖28B為根據本揭示中所描述之技術的貫穿圖27之處理步驟的一或多個IC晶粒1602之示意性圖示。
圖27及圖28A至圖28B之技術包括將包括複數個積體電路之晶圓2802單粒化為複數個經單粒化晶粒1602(2702)。在所展示之實例中,晶圓2802包括製造於矽晶圓中之複數個IC,例如晶圓2802包括如上文所描述之IC層1607及塊體矽1604。在一些實例中,晶圓2802之每一IC(例如,製造於IC層1607中)構成用於通信及控制形成於無機半導體層中之μLED陣列的裝置驅動器積體電路(device driver integrated circuitry;DDIC)。在一些實例中,積體電路包括數位及類比電路。在一些實例中,晶圓2802為互補金屬氧化半導體(CMOS)晶圓、雙CMOS晶圓或包括用於通信及控制μLED之數位及/或類比電路的任何其他架構。
在單粒化製程期間,晶圓2802及經單粒化晶粒1602可由框架層2806支撐。舉例而言,框架層2806可安置於晶圓2802之前面(例如,IC層1607側)或背面上及/或附接至該前面或背面,且晶圓2802可經單粒化,從而產生駐存於框架層2806上且由該框架層支援之個別經單粒化晶粒1602。
來自晶圓2802之至少一個經單粒化晶粒1602可附接至臨時載體2807(2704)。在一些實例中,來自晶圓2802之複數個經單粒化晶粒1602可附接至臨時載體2807,且在一些實例中,來自晶圓2802之至少一個經單粒化晶粒1602及來自可能具有不同IC電路之不同晶圓的至少一個經單粒化晶粒可以任何組合附接至臨時載體2807。換言之,可自晶圓2802及/或任何其他晶圓以任何順序挑選經單粒化晶粒1602中之每一者並將其置放於臨時載體2807上,且經單粒化晶粒1602中之每一者可包括來自彼此之相同及/或不同IC電路,例如IC晶粒1602中之每一者可為不同IC晶粒。在所展示之實例中,經單粒化晶粒1602經由黏著劑2809「面朝下」附接,例如IC層1607附接至黏著劑2809。在一些實例中,臨時載體2807可經大小設定以與半導體處理技術相容,例如臨時載體2807可具有300 mm直徑。在一些實例中,臨時載體2807可為矽,且在其他實例中,臨時載體可為與矽相比更硬且具有增加之穩定性(例如,尺寸及/或溫度穩定性)的材料。在一些實例中,載體2807可為至少部分地光學透明(例如,透光)之材料。在一些實例中,臨時載體2807可為諸如銅之金屬,或臨時載體2807可為玻璃。
在一些實例中,黏著劑2809可為黏著劑之相對薄層,例如約小於200 μm、或小於約150 μm、或小於約100 μm、或小於約50 μm。在一些實例中,黏著劑2809經組態以展現減小之變形,諸如一或多個經單粒化晶粒1602在晶粒置放及後續處理期間,例如在一或多個經單粒化晶粒1602附接至臨時載體2807期間及/或在填充及平坦化期間,例如在以下(2706)處之傾斜及/或移位。舉例而言,例如對於相對軟的黏著劑2809,黏著劑2809可具有小於10 μm之厚度。在其他實例中,例如對於相對剛性的黏著劑2809,黏著劑2809可具有至多50 μm之厚度。在一些實例中,黏著劑2809可為丙烯酸黏著劑,諸如可從商業名稱3M™液體UV可固化黏著劑得到之丙烯酸黏著劑,例如3M晶圓支撐系統LC系列黏著劑。在一些實例中,黏著劑2809可為Sekisui SELFA HS膠帶及/或Sekisui SELFA SE膠帶及其類似者。在另外其他實例中,黏著劑2809可為Loctite® Ablestik ATB系列黏著劑膜或Brewer Science BrewerBOND®系列黏著劑。
在一些實例中,一或多個經單粒化晶粒1602可藉由鄰近晶粒1602及/或晶粒1602之至少一個側之間的空間接合至臨時晶圓2807。在一些實例中,IC層1607可經由面向下扇出製程附接至臨時晶圓2807。
在一些實例中,個別一或多個經單粒化晶粒1602可在單粒化之前或之後,例如在附接至臨時晶圓2807之後但在下文所描述之最終薄化及平坦化之前薄化。舉例而言,若半導體晶圓2802在一或多個經單粒化晶粒1602單粒化及附接至臨時載體2807之前尚未薄化,則個別一或多個晶粒1602之半導體晶圓材料可經由機械研磨或拋光或化學機械研磨而自其標稱厚度(例如,780 μm)薄化至約500 μm之標稱厚度,類似於如上文所描述。在一些實例中,個別晶粒之半導體晶圓材料之薄化可在小晶片形成的後續步驟期間減少弓曲。
一旦晶粒1602之經單粒化晶粒附接至臨時晶圓2807,填充材料1608便可圍繞一或多個經單粒化晶粒1602沈積以至少部分地囊封一或多個經單粒化晶粒1602,及/或用填充材料1608填充鄰近經單粒化晶粒1602之間的體積(2706)。在包括單一晶粒1602之實例中,可沈積填充材料1608以包圍及/或至少部分囊封經單粒化半導體晶粒1602。填充材料1608可為聚合物或其類似者。在一些實例中,填充材料1608可具有延伸超出一或多個經單粒化晶粒1602之厚度且可囊封一或多個經單粒化晶粒1602。在一些實例中,填充材料1608可經由諸如壓縮或轉移模製之模製製程而沈積,且可為模製化合物材料。在一些情況下,填充材料1608可例如經由噴嘴及/或液體分配針分配。在一些實例中,填充材料1608可經組態以例如在固化、薄化、平坦化及/或後續TSV、TPV及RDL形成期間減少一或多個經單粒化晶粒1602之傾斜及移位。
接著,填充材料1608及一或多個經單粒化晶粒1602可經薄化及平坦化,使得填充材料1608之表面與一或多個經單粒化晶粒1602之第一主表面實質上共面(2708),例如形成共面表面1611。填充材料1608及一或多個半導體晶粒1602可薄化至預定厚度。填充材料1608及一或多個半導體晶粒1602可使用機械研磨、機械拋光、化學機械拋光及其類似者來薄化。預定厚度可為例如約150微米。可在薄化之後暴露一或多個經單粒化晶粒1602之表面。在一些實例中,填充材料1608之經暴露表面可塗佈有保護層以防止在TSV處理及/或其他後續處理期間填充材料1608之除氣或劣化及/或處理腔室之污染。保護塗層可尤其在填充材料1608為有機聚合物(諸如模製化合物)之情況下變為必要的。塗佈材料通常可為無機材料,諸如氧化物或金屬。
一或多個TSV 1718可形成於每一一或多個經單粒化晶粒1602中(2710)。舉例而言,TSV 1718可經由用於形成TSV之半導體處理技術形成。在一些實例中,TSV 1718將I/O連接點及或貫穿平面路徑提供給積體電路及/或μLED陣列及/或個別μLED,且可連接至IC層1607之一或多個I/O接觸點及/或墊。
一或多個TPV 1818可形成於填充材料1608中(2712)。舉例而言,TPV 1818可經由用於形成TPV之適合處理技術形成,諸如用雷射或電漿對填充材料1608進行後鑽孔,或在沈積填充材料1608之前對金屬柱進行預電鍍。在一些實例中,TPV 1818在小晶片2000之第一主表面與第二主表面之間提供I/O連接點及或貫穿平面路徑,例如背側表面1611及前面表面1606。在一些實例中,TPV 1818可連接至RDL(例如,RDL 1610及/或2010)之一或多個I/O接觸點及/或墊。
在一些實例中,TSV及/或TPV形成為視情況選用的,且小晶片可僅形成有RDL層,例如類似於上文所描述之小晶片1100、1150及1600。
RDL 1610可形成於一或多個經單粒化晶粒1602及填充材料1608之第一主表面上,例如圖28B中所展示之實例中的共面表面1611(2714)。在所展示之實例中,RDL 1610包括介電材料1612內之一或多個導體1614。導體1614可在介電材料1612內形成一或多個導電路徑。RDL 1610可包含例如在如所展示之厚度及/或z方向上之複數個層。舉例而言,在厚度方向上,RDL 1610可包括第一介電層、第一導電層、第二介電層、第二導電層及第三介電層。在一些實例中,RDL 1610可具有更多或更少導電層及/或介電層。導電層中之每一者可具有圖案,例如第一導電層可包括複數個導電通道/列/電線及其類似者或任何其他類型之圖案。第二導電層可包括在垂直於第一導電層之方向上或以任何其他角度的複數個導電通道/行/電線及其類似者,或可包括任何其他類型之圖案。在一些實例中,介電層可包括可包括導電材料之通孔,該導電材料將第一導電層中之一或多個導體及/或導電特徵與第二導電層中之一或多個導體及/或導電特徵電互連。第一,最頂層及最底層,例如第一介電層及第三介電層可包括包括導電材料之通孔,該導電材料可例如在RDL 1610之頂表面及底表面上形成一或多個互連點及/或區域。在一些實例中,RDL 1610可藉助於延伸超出IC晶粒1602之平面內區域而包含互連點及互連路徑之延伸區域。在一些實例中,RDL 1610可與可跟IC晶粒1602之IC層1607互連之一或多個其他電組件(圖中未示)連接。
可移除載體晶圓2807及黏著劑2806(2716),且RDL 2010可視情況形成於一或多個經單粒化晶粒1602及填充材料1608之第二主表面上,例如圖28B中所展示之實例中的共面表面1606(2718)。在一些實例中,RDL 2010可實質上類似於上文所描述之RDL 1610,包括介電材料內之多個圖案化導體層。
凸塊1616可視情況形成於RDL 1610上,及/或凸塊2016可視情況形成於RDL 2010(2720)上。凸塊1616、2016可為在接合期間使用及/或形成之金屬互連件。在一些實例中,凸塊1616、2016可為諸如上文所描述之焊接界面(例如,凸塊、墊或球)及/或實質上類似於上文圖7A至圖7B所描述之接合層713或圖案化層715的接合層或圖案化層。在所展示之實例中,凸塊1616安置於RDL 1610之與第一主表面1611相對的表面上,且凸塊2016安置於RDL 2110之與第二主表面1606相對的表面上。在一些實例中,凸塊1616可以任何組合方式安置於表面1611、RDL 1610之與表面1611相對的表面及/或RDL 1610之鄰近於表面1611的表面之任一者或全部上,且凸塊2016可以任何組合方式安置於表面1606、RDL 2110之與表面1606相對的表面及/或RDL 2110之鄰近於表面1606的表面之任一者或全部上,例如作為表面1611與RDL 1610之間及表面1606與RDL 2110之間的連接點。
圖29為根據本揭示中所描述之技術的用於形成半導體製品之實例方法2900的流程圖。在一些實例中,方法2900為形成包括複數個半導體晶粒之小晶片之實例方法,且參考圖上述圖28A至圖28B描述。
複數個半導體晶粒1602可附接至臨時載體2807(2902)。在一些實例中,可自相同或不同晶圓以任何順序挑選複數個半導體晶粒1602中之每一者且將其置放於臨時載體2807上,且半導體晶粒1602中之每一者可包括彼此相同及/或不同的IC電路。在所展示之實例中,半導體晶粒1602經由黏著劑2809「面朝下」附接,例如IC層1607附接至黏著劑2809。在一些實例中,臨時載體2807可經大小設定以與半導體處理技術相容,例如臨時載體2807可具有300 mm直徑。在一些實例中,臨時載體2807可為矽,且在其他實例中,臨時載體可為與矽相比更硬且具有增加之穩定性(例如,尺寸及/或溫度穩定性)的材料。在一些實例中,載體2807可為至少部分地光學透明(例如,透光)之材料。在一些實例中,臨時載體2807可為諸如銅之金屬,或臨時載體2807可為玻璃。
在一些實例中,黏著劑2809可為黏著劑之相對薄層,例如約小於200 μm、或小於約150 μm、或小於約100 μm、或小於約50 μm。在一些實例中,黏著劑2809經組態以展現減小之變形,諸如半導體晶粒1602在晶粒置放及處理期間,例如在半導體晶粒1602附接至臨時載體2807期間及/或在填充及平坦化期間之傾斜及/或移位。舉例而言,例如對於相對軟的黏著劑2809,黏著劑2809可具有小於10 μm之厚度。在其他實例中,例如對於相對剛性的黏著劑2809,黏著劑2809可具有至多50 μm之厚度。在一些實例中,黏著劑2809可為丙烯酸黏著劑,諸如可從商業名稱3M™液體UV可固化黏著劑得到之丙烯酸黏著劑,例如3M晶圓支撐系統LC系列黏著劑。在一些實例中,黏著劑2809可為Sekisui SELFA HS膠帶及/或Sekisui SELFA SE膠帶及其類似者。在另外其他實例中,黏著劑2809可為Loctite® Ablestik ATB系列黏著劑膜或Brewer Science BrewerBOND®系列黏著劑。
在一些實例中,個別一或多個經單粒化晶粒1602可在單粒化之前或之後,例如在附接至臨時晶圓2807之後但在下文所描述之最終薄化及平坦化之前薄化。舉例而言,若半導體晶圓2802在一或多個經單粒化晶粒1602單粒化及附接至臨時載體2807之前尚未薄化,則個別一或多個晶粒1602之半導體晶圓材料可經由機械研磨或拋光或化學機械研磨而自其標稱厚度(例如,780 μm)薄化至約500 μm之標稱厚度,類似於如上文所描述。在一些實例中,個別晶粒之半導體晶圓材料之薄化可在小晶片形成的後續步驟期間減少弓曲。
在一些實例中,半導體晶粒1602可藉由鄰近晶粒1602之間的空間接合至臨時晶圓2807。在一些實例中,IC層1607可經由面向下扇出製程附接至臨時晶圓2807。
一旦半導體晶粒1602附接至臨時晶圓2807,填充材料1608便可沈積於半導體晶粒1602之間及/或周圍以至少部分地囊封複數個半導體晶粒1602,及/或用填充材料1608填充鄰近半導體晶粒1602之間的體積(2904)。填充材料1608可為聚合物或其類似者。在一些實例中,填充材料1608可具有延伸超出一或多個經單粒化晶粒1602之厚度且可囊封一或多個經單粒化晶粒1602。在一些實例中,填充材料1608可經由諸如壓縮或轉移模製之模製製程而沈積,且可為模製化合物材料。在一些情況下,填充材料1608可例如經由噴嘴及/或液體分配針分配。在一些實例中,填充材料1608可經組態以例如在固化、薄化、平坦化及/或後續TSV、TPV及RDL形成期間減少一或多個經單粒化晶粒1602之傾斜及移位。
在一些實例中,填充材料1608及一或多個經單粒化晶粒1602可接著經薄化及平坦化,使得填充材料1608之表面與一或多個經單粒化晶粒1602之第一主表面實質上共面,例如形成共面表面1611。填充材料1608及一或多個半導體晶粒1602可薄化至預定厚度。填充材料1608及一或多個半導體晶粒1602可使用機械研磨、機械拋光、化學機械拋光及其類似者來薄化。預定厚度可為例如約150微米。可在薄化之後暴露一或多個經單粒化晶粒1602之表面。在一些實例中,填充材料1608之經暴露表面可塗佈有保護層以防止在TSV處理及/或其他後續處理期間填充材料1608之除氣或劣化及/或處理腔室之污染。保護塗層可尤其在填充材料1608為有機聚合物(諸如模製化合物)之情況下變為必要的。塗佈材料通常可為無機材料,諸如氧化物或金屬。
在一些實例中,延伸穿過第一主表面1611與跟第一主表面相對之第二主表面1606之間的複數個半導體晶粒1602中之至少一者的至少一個TSV 1718可視情況例如經由用於形成TSV之半導體處理技術形成(2906)。舉例而言,TSV 1718可將I/O連接點及或貫穿平面路徑提供給積體電路及/或μLED陣列及/或個別μLED,且可連接至IC層1607之一或多個I/O接觸點及/或墊。
在一些實例中,可視情況形成延伸穿過填充材料之第一主表面1611與填充材料之與填充材料之第一主表面1611相對的第二主表面1606之間的填充材料1608之至少一個TPV 1818。舉例而言,TPV 1818可經由用於形成TPV之適合處理技術形成,諸如用雷射或電漿對填充材料1608進行後鑽孔,或在沈積填充材料1608之前對金屬柱進行預電鍍。舉例而言,TPV 1818可在小晶片2000之第一主表面與第二主表面之間提供I/O連接點及或貫穿平面路徑,例如背側表面1611及前面表面1606。在一些實例中,TPV 1818可連接至RDL(例如,RDL 1610及/或2010)之一或多個I/O接觸點及/或墊。
至少一個RDL 1610可形成於複數個半導體晶粒1602之第一主表面1611上,且可延伸至填充材料1608之第一主表面1611上,例如所展示之實例中的共面表面1611上(2908)。在所展示之實例中,RDL 1610包括介電材料1612內之一或多個導體1614。導體1614可在介電材料1612內形成一或多個導電路徑。RDL 1610可包含例如在如所展示之厚度及/或z方向上之複數個層。舉例而言,在厚度方向上,RDL 1610可包括第一介電層、第一導電層、第二介電層、第二導電層及第三介電層。在一些實例中,RDL 1610可具有更多或更少導電層及/或介電層。導電層中之每一者可具有圖案,例如第一導電層可包括複數個導電通道/列/電線及其類似者或任何其他類型之圖案。第二導電層可包括在垂直於第一導電層之方向上或以任何其他角度的複數個導電通道/行/電線及其類似者,或可包括任何其他類型之圖案。在一些實例中,介電層可包括可包括導電材料之通孔,該導電材料將第一導電層中之一或多個導體及/或導電特徵與第二導電層中之一或多個導體及/或導電特徵電互連。第一,最頂層及最底層,例如第一介電層及第三介電層可包括包括導電材料之通孔,該導電材料可例如在RDL 1610之頂表面及底表面上形成一或多個互連點及/或區域。在一些實例中,RDL 1610可藉助於延伸超出IC晶粒1602之平面內區域而包含互連點及互連路徑之延伸區域。在一些實例中,RDL 1610可與可跟IC晶粒1602之IC層1607互連之一或多個其他電組件(圖中未示)連接。
在一些實例中,至少一個第二RDL(例如,RDL 2010)可形成於複數個半導體晶粒1602之第二主表面1606上,且可延伸至填充材料之第二表面1606上,例如圖28B中所展示之實例中的共面表面1606。
在一些實例中,第二複數個半導體晶粒1602可置放於第二載體晶圓上,可在第二複數個半導體晶粒1602之間引入第二填充材料以至少部分地將第二複數個半導體晶粒1602囊封於第二複數個半導體晶粒1602之第一主表面上,且至少一個第二RDL可經形成且可延伸至第二填充材料之表面上。接著可移除第二載體晶圓,且可將第一複數個半導體晶粒1602之第二主表面置放於第二RDL上。換言之,一或多個小晶片(諸如小晶片1100至155及/或小晶片1600至2000中之任一者)可垂直地堆疊且互連以形成堆疊小晶片(例如,堆疊小晶片2500及/或2600)。在一些實例中,一或多個TSV 1218及/或1718可形成於小晶片2500及/或2600之半導體晶粒1102及/或1602中的任一者或全部中,及/或一或多個TPV 1318及/或1818可形成於小晶片2500及/或2600之填充材料1108及/或1608中。
圖30為根據本揭示中所描述之技術的用於形成半導體製品之實例方法3000的流程圖。在一些實例中,方法3000為包括單一半導體晶粒1102之小晶片1500或包括一或多個半導體晶粒1602之小晶片2400的實例方法,且參考圖上述圖28A至圖28B描述。儘管方法3000可描述為諸如包括單一半導體晶粒1102之小晶片1500的小晶片,但下文參考且通常遵循圖28A及圖28B處理步驟來描述方法,不同之處在於方法3000可適用於單一半導體晶粒1102及/或小晶片1602。
複數個半導體晶粒1602可附接至臨時載體2807(3002)。在一些實例中,半導體晶粒1602可經挑選且置放於臨時載體2807上。在所展示之實例中,半導體晶粒1602經由黏著劑2809「面朝下」附接,例如IC層1607附接至黏著劑2809。在一些實例中,臨時載體2807可經大小設定以與半導體處理技術相容,例如臨時載體2807可具有300 mm直徑。在一些實例中,臨時載體2807可為矽,且在其他實例中,臨時載體可為與矽相比更硬且具有增加之穩定性(例如,尺寸及/或溫度穩定性)或透光的材料。舉例而言,臨時載體2807可為諸如銅或玻璃之金屬。
在一些實例中,黏著劑2809可為黏著劑之相對薄層,例如約小於200 μm、或小於約150 μm、或小於約100 μm、或小於約50 μm。在一些實例中,黏著劑2809經組態以展現減小之變形,諸如半導體晶粒1602在晶粒置放及後續處理期間,例如在半導體晶粒1602附接至臨時載體2807期間及/或在填充及平坦化期間之傾斜及/或移位。舉例而言,例如對於相對軟的黏著劑2809,黏著劑2809可具有小於10 μm之厚度。在其他實例中,例如對於相對剛性的黏著劑2809,黏著劑2809可具有至多50 μm之厚度。在一些實例中,黏著劑2809可為丙烯酸黏著劑,諸如可從商業名稱3M™液體UV可固化黏著劑得到之丙烯酸黏著劑,例如3M晶圓支撐系統LC系列黏著劑。在一些實例中,黏著劑2809可為Sekisui SELFA HS膠帶及/或Sekisui SELFA SE膠帶及其類似者。在另外其他實例中,黏著劑2809可為Loctite® Ablestik ATB系列黏著劑膜或Brewer Science BrewerBOND®系列黏著劑。
在一些實例中,個別經單粒化晶粒1602可在單粒化之前或之後,例如在附接至臨時晶圓2807之後但在下文所描述之最終薄化及平坦化之前薄化。舉例而言,若半導體晶圓2802在一或多個經單粒化晶粒1602單粒化及附接至臨時載體2807之前尚未薄化,則個別晶粒1602之半導體晶圓材料可經由機械研磨或拋光或化學機械研磨而自其標稱厚度(例如,780 μm)薄化至約500 μm之標稱厚度,類似於如上文所描述。在一些實例中,個別晶粒之半導體晶圓材料之薄化可在小晶片形成的後續步驟期間減少弓曲。
一旦半導體晶粒1602附接至臨時晶圓2807,便可包圍半導體晶粒1602之至少一部分沈積填充材料1608以至少部分地囊封半導體晶粒1602(3004)。填充材料1608可為聚合物或其類似者。在一些實例中,填充材料1608可具有延伸超出經單粒化晶粒1602之厚度且可囊封經單粒化晶粒1602。在一些實例中,填充材料1608可經由諸如壓縮或轉移模製之模製製程而沈積,且可為模製化合物材料。在一些情況下,填充材料1608可例如經由噴嘴及/或液體分配針分配。在一些實例中,填充材料1608可經組態以例如在固化、薄化、平坦化及/或後續TSV、TPV及RDL形成期間減少一或多個經單粒化晶粒1602之傾斜及移位。
接著,填充材料1608及經單粒化晶粒1602可經薄化及平坦化,使得填充材料1608之表面與一或多個半導體晶粒1602之第一主表面實質上共面(3006),例如形成共面表面1611。填充材料1608及半導體晶粒1602可薄化至預定厚度。填充材料1608及半導體晶粒1602可使用機械研磨、機械拋光、化學機械拋光及其類似者來薄化。預定厚度可為例如約150微米。可在薄化之後暴露半導體晶粒1602之表面。在一些實例中,填充材料1608之經暴露表面可塗佈有保護層以防止在TSV處理及/或其他後續處理期間填充材料1608之除氣或劣化及/或處理腔室之污染。保護塗層可尤其在填充材料1608為有機聚合物(諸如模製化合物)之情況下變為必要的。塗佈材料通常可為無機材料,諸如氧化物或金屬。
在一些實例中,延伸穿過第一主表面1611與跟第一主表面相對之第二主表面1606之間的複數個半導體晶粒1602中之至少一者的至少一個TSV 1718可視情況例如經由用於形成TSV之半導體處理技術形成(3008)。舉例而言,TSV 1718可將I/O連接點及或貫穿平面路徑提供給積體電路及/或μLED陣列及/或個別μLED,且可連接至IC層1607之一或多個I/O接觸點及/或墊。
在一些實例中,可視情況形成延伸穿過填充材料之第一主表面1611與填充材料之與填充材料之第一主表面1611相對的第二主表面1606之間的填充材料1608之至少一個TPV 1818。舉例而言,TPV 1818可經由用於形成TPV之適合處理技術形成,諸如用雷射或電漿對填充材料1608進行後鑽孔,或在沈積填充材料1608之前對金屬柱進行預電鍍。舉例而言,TPV 1818可在小晶片2000之第一主表面與第二主表面之間提供I/O連接點及或貫穿平面路徑,例如背側表面1611及前面表面1606。在一些實例中,TPV 1818可連接至RDL(例如,RDL 1610及/或2010)之一或多個I/O接觸點及/或墊。
至少一個RDL 1610可形成於半導體晶粒1602之第一主表面1611上,且可延伸至填充材料1608之第一主表面1611上,例如所展示之實例中之共面表面1611上(3010)。在所展示之實例中,RDL 1610包括介電材料1612內之一或多個導體1614。導體1614可在介電材料1612內形成一或多個導電路徑。RDL 1610可包含例如在如所展示之厚度及/或z方向上之複數個層。舉例而言,在厚度方向上,RDL 1610可包括第一介電層、第一導電層、第二介電層、第二導電層及第三介電層。在一些實例中,RDL 1610可具有更多或更少導電層及/或介電層。導電層中之每一者可具有圖案,例如第一導電層可包括複數個導電通道/列/電線及其類似者或任何其他類型之圖案。第二導電層可包括在垂直於第一導電層之方向上或以任何其他角度的複數個導電通道/行/電線及其類似者,或可包括任何其他類型之圖案。在一些實例中,介電層可包括可包括導電材料之通孔,該導電材料將第一導電層中之一或多個導體及/或導電特徵與第二導電層中之一或多個導體及/或導電特徵電互連。第一,最頂層及最底層,例如第一介電層及第三介電層可包括包括導電材料之通孔,該導電材料可例如在RDL 1610之頂表面及底表面上形成一或多個互連點及/或區域。在一些實例中,RDL 1610可藉助於延伸超出IC晶粒1602之平面內區域而包含互連點及互連路徑之延伸區域。在一些實例中,RDL 1610可與可跟IC晶粒1602之IC層1607互連之一或多個其他電組件(圖中未示)連接。
在一些實例中,至少一個第二RDL(例如,RDL 2010)可形成於複數個半導體晶粒1602之第二主表面1606上,且可延伸至填充材料之第二表面1606上,例如圖28B中所展示之實例中的共面表面1606。
在一些實例中,第二半導體晶粒1602之第一主表面1606可置放於臨時載體晶圓1607上,例如第二半導體晶粒1602可面向上而非面向下置放,例如根據上文所說明之小晶片2400。填充材料1608可引入於第一面下半導體晶粒1602與第二面上半導體晶粒1602之間且至少部分地囊封第一半導體晶粒及第二半導體晶粒1602兩者。填充材料1608可經薄化使得填充材料1608之表面與第二半導體晶粒1602之第二主表面(例如,IC層1607之表面)實質上共面,且至少一個RDL 1610可形成於第二半導體晶粒1602之第二主表面、填充材料1608及第一半導體晶粒1602之第一主表面上。
如本文中藉助於各種實例所描述,本發明之技術可包括人工實境系統或結合人工實境系統實施。如所描述,人工實境為在向使用者呈現之前已以某一方式調整的實境形式,其可包括例如虛擬實境(VR)、擴增實境(AR)、混合實境(MR)、混雜實境或其某一組合及/或衍生物。人工實境內容可包括完全產生之內容或與所捕獲內容(例如,真實世界相片或視訊)組合的產生之內容。人工實境內容可包括視訊、音訊、觸覺反饋或其某一組合,且其中之任一者可在單一通道中或在多個通道中呈現(諸如,對觀看者產生三維效應之立體聲視訊)。另外,在一些實例中,人工實境可與例如用以在人工實境中創建內容及/或用於人工實境中(例如,在人工實境中執行活動)之應用程式、產品、配件、服務或其某一組合相關聯。提供人工實境內容之人工實境系統可實施於各種平台上,包括連接至主機電腦系統之HMD、獨立式HMD、行動裝置或計算系統或能夠將人工實境內容提供至一或多個觀看者之任何其他硬體平台。
本發明中所描述之技術可至少部分地實施於硬體、軟體、韌體或其任何組合中。舉例而言,所描述技術之各種態樣可實施於一或多個處理器內,包括一或多個微處理器、DSP、特殊應用積體電路(application specific integrated circuit;ASIC)、場可程式化閘陣列(field programmable gate array;FPGA)或任何其他等效積體或離散邏輯電路,以及此等組件之任何組合。術語「處理器(processor)」或「處理電路(processing circuitry)」通常可指單獨或與其他邏輯電路或任何其他等效電路組合之前述邏輯電路中之任一者。包含硬體之控制單元亦可進行本發明之技術中之一或多者。
此類硬體、軟體及韌體可實施於同一裝置內或個別裝置內,以支援本發明中所描述之各種操作及功能。另外,所描述單元、模組或組件中之任一者可一起或分別實施為離散但可互操作之邏輯裝置。將不同特徵描述為模組或單元意欲突出不同功能性態樣,且未必暗示此類模組或單元必須藉由個別硬體或軟體組件實現。確切而言,與一或多個模組或單元相關聯之功能性可由個別硬體或軟體組件執行,或整合於共同或個別硬體或軟體組件內。
本揭示中所描述之技術亦可具體實現或編碼於含有指令之電腦可讀取媒體(諸如,電腦可讀取儲存媒體)中。嵌入或編碼於電腦可讀取儲存媒體中之指令可例如在執行指令時使可程式化處理器或其他處理器執行方法。電腦可讀取儲存媒體可包括隨機存取記憶體(random access memory;RAM)、唯讀記憶體(ROM)、可程式化唯讀記憶體(programmable read only memory;PROM)、可抹除可程式化唯讀記憶體(erasable programmable read only memory;EPROM)、電子可抹除可程式化唯讀記憶體(electronically erasable programmable read only memory;EEPROM)、快閃記憶體、硬碟、CD-ROM、軟碟、卡匣、磁性媒體、光學媒體或其他電腦可讀取媒體。
上文所論述之方法、系統及裝置為實例。在適當時各種具體實例可省略、取代或添加各種程序或組件。舉例而言,在替代性組態中,可按不同於所描述次序之次序來執行所描述之方法,及/或可添加、省略及/或組合各種階段。此外,可在各種其他具體實例中組合關於某些具體實例所描述之特徵。可以相似方式組合具體實例之不同態樣及元件。並且,技術發展,且因此許多元件為實例,該等實例並不將本揭示之範圍限制於彼等特定實例。
在本說明書中給出特定細節以提供對具體實例之透徹理解。然而,具體實例可在無此等特定細節之情況下實踐。舉例而言,已在無不必要細節之情況下展示熟知電路、製程、系統、結構及技術以便避免混淆具體實例。本說明書僅提供實例具體實例,且並不意欲限制本揭示之範圍、適用性或組態。確切而言,具體實例之前述描述將為所屬領域中具通常知識者提供能夠實施各種具體實例之描述。可在不脫離本揭示之精神及範圍的情況下對元件之功能及配置作出各種改變。
並且,將一些具體實例描述為描繪為流程圖或方塊圖之製程。儘管每一者可將操作描述為依序製程,但操作中之許多者可並行地或同時執行。另外,可重新配置操作之次序。製程可具有未包括於圖式中之額外步驟。此外,可由硬體、軟體、韌體、中間軟體、微碼、硬體描述語言或其任何組合實施方法之具體實例。當實施於軟體、韌體、中間軟體或微碼中時,用以執行相關聯任務之程式碼或碼段可儲存於諸如儲存媒體之電腦可讀取媒體中。處理器可執行相關聯任務。
所屬領域中具有通常知識者將顯而易見,可根據特定要求作出實質變化。舉例而言,亦可使用自訂或專用硬體,及/或可用硬體、軟體(包括攜帶型軟體,諸如小程式等)或此兩者來實施特定元件。此外,可採用至其他計算裝置(諸如,網路輸入/輸出裝置)之連接。
參考附圖,可包括記憶體之組件可包括非暫時性機器可讀取媒體。術語「機器可讀取媒體」及「電腦可讀取媒體」可指代參與提供使得機器以特定方式操作之資料的任何儲存媒體。在上文所提供之具體實例中,各種機器可讀取媒體可涉及將指令/程式碼提供至處理單元及/或其他裝置以供執行。另外或替代地,機器可讀取媒體可用於儲存及/或攜載此類指令/程式碼。在許多實施中,電腦可讀取媒體為實體及/或有形儲存媒體。此媒體可呈許多形式,包括但不限於非揮發性媒體、揮發性媒體及傳輸媒體。電腦可讀取媒體之常見形式包括例如磁性及/或光學媒體,諸如光碟(compact disk;CD)或數位化通用光碟(digital versatile disk;DVD);打孔卡;紙帶;具有孔圖案之任何其他實體媒體;RAM;可程式化唯讀記憶體(PROM);可抹除可程式化唯讀記憶體(EPROM);FLASH-EPROM;任何其他記憶體晶片或卡匣;如下文中所描述之載波;可供讀取指令及/或程式碼之任何其他媒體。電腦程式產品可包括程式碼及/或機器可執行指令,該等程式碼及/或機器可執行指令可表示程序、函式、子程式、程式、常式、應用程式(App)、次常式、模組、軟體套件、類別,或指令、資料結構或程式陳述式之任何組合。
所屬技術領域中具有通常知識者將瞭解,可使用多種不同技術及技藝中之任一者來表示用以傳達本文所描述之訊息的資訊及信號。舉例而言,可由電壓、電流、電磁波、磁場或磁粒子、光場或光粒子或其任何組合表示可貫穿以上描述參考之資料、指令、命令、資訊、信號、位元、符號及晶片。
如本文中所使用,術語「及(and)」及「或(or)」可包括多種含義,該等含義亦預期至少部分地取決於使用此類術語之上下文。典型地,「或」若用以關聯清單,諸如A、B或C,則意欲意謂A、B及C(此處以包括性意義使用),以及A、B或C(此處以獨佔式意義使用)。另外,如本文中所使用,術語「一或多個(one or more)」可用於以單數形式描述任何特徵、結構或特性,或可用以描述特徵、結構或特性之某一組合。然而,應注意,此僅為說明性實例且所主張之主題不限於此實例。此外,術語「中之至少一者(at least one of)」若用以關聯清單(諸如,A、B或C),則可解譯為意謂A、B及/或C之任何組合,諸如A、AB、AC、BC、AA、ABC、AAB、AABBCCC等。
另外,雖然已使用硬體與軟體之特定組合描述了某些具體實例,但應認識到,硬體與軟體之其他組合亦為可能的。可僅以硬體或僅以軟體或使用其組合來實施某些具體實例。在一個實例中,軟體可藉由含有電腦程式碼或指令之電腦程式產品來實施,該等電腦程式碼或指令可由一或多個處理器執行以用於執行本揭示中所描述之步驟、操作或處理中之任一者或全部,其中電腦程式可儲存於非暫時性電腦可讀取媒體上。本文中所描述之各種處理可以任何組合實施於同一處理器或不同處理器上。
在裝置、系統、組件或模組經描述為經組態以執行某些操作或功能之情況下,可例如藉由設計電子電路以執行操作、藉由程式化可程式化電子電路(諸如,微處理器)以執行操作(諸如,藉由執行電腦指令或程式碼,或經程式化以執行儲存於非暫時性記憶體媒體上之程式碼或指令的處理器或核心)或其任何組合而實現此組態。程序可使用多種技術進行通信,包括但不限於用於程序間通信之習知技術,且不同對程序可使用不同技術,或同一對程序可在不同時間使用不同技術。
因此,應在說明性意義上而非限定性意義上看待說明書及圖式。然而,將顯而易見,可在不脫離如申請專利範圍中所闡述的更廣泛精神及範圍之情況下對本發明做出添加、減去、刪除以及其他修改及改變。因此,儘管已描述特定具體實例,但此等具體實例並不意欲為限制性的。各種修改及等效者係在以下申請專利範圍之範圍內。
100:人工實境系統環境 110:控制台 112:應用程式商店 114:耳機追蹤模組 116:人工實境引擎 118:眼動追蹤模組 120:近眼顯示器 122:顯示電子件 124:顯示光學件 126:定位器 128:位置感測器 130:眼動追蹤單元 132:慣性量測單元 140:輸入/輸出介面 150:外部成像裝置 200:HMD裝置 220:主體 223:底側 225:前側 227:左側 230:頭部綁帶 300:近眼顯示器 305:框架 310:顯示器 330:照明器 340:高解析度攝影機 350a:感測器 350b:感測器 350c:感測器 350d:感測器 350e:感測器 400:擴增實境系統 410:投影機 412:光源或影像源 414:投影機光學件 415:組合器 420:基板 430:輸入耦合器 440:輸出耦合器 450:光 460:所提取光 490:眼睛 495:眼眶 500:近眼顯示器裝置 510:光源 512:紅光發射器 514:綠光發射器 516:藍光發射器 520:投影光學件 530:波導顯示器 530:波導顯示器 532:耦合器 540:光源 542:紅光發射器 544:綠光發射器 546:藍光發射器 550:近眼顯示器裝置 560:自由形式光學元件 570:掃描鏡面 580:波導顯示器 582:耦合器 590:眼睛 600:LED 605:LED 610:基板 615:基板 620:半導體層 625:半導體層 630:主動層 632:側壁 635:主動層 640:半導體層 645:半導體層 650:重摻雜半導體層 660:導電層 662:鈍化層 664:接觸層 667:電接點 668:接觸層 669:介電層 671:電接點 673:金屬層 680:近眼顯示器系統 682:影像源總成 684:控制器 686:影像處理器 690:顯示面板 692:光源 694:驅動器電路 696:投影機 701:LED陣列 702:第一晶圓 703:晶圓 704:基板 705:載體基板 706:第一半導體層 707:LED 708:主動層 709:基底層 710:第二半導體層 711:驅動器電路 712:接合層 713:接合層 715:圖案化層 805:光束 810:基板 815:光束 820:電路 822:電互連件 825:壓縮壓力 830:接觸墊 835:熱量 840:介電區 850:晶圓 860:介電材料層 870:微型LED 880:p接點 882:n接點 900:LED陣列 910:基板 920:積體電路 922:互連件 930:接觸墊 940:介電層 950:n型層 960:介電層 970:微型LED 972:n接點 974:p接點 982:球面微透鏡 984:光柵 986:微透鏡 988:抗反射層 1000:電子系統 1010:處理器 1020:記憶體 1022-1024:應用程式模組 1025:作業系統 1026:虛擬實境引擎 1030:無線通信子系統 1032:無線鏈路 1034:天線 1040:匯流排 1050:攝影機 1060:顯示模組 1070:使用者輸入/輸出模組 1080:其他硬體模組 1090:感測器 1100:製品 1102:IC晶粒 1104:塊材矽 1106:表面 1107:IC層 1108:填充材料 1110:重佈線層 1111:表面 1112:介電材料 1114:導體 1116:凸塊 1150:製品 1200:製品 1218:TSV 1250:製品 1300:製品 1318:TPV 1350:製品 1400:製品 1450:製品 1500:製品 1510:RDL 1600:製品 1602:半導體晶粒 1604:塊材矽 1606:表面 1607:IC層 1608:填充材料 1610:重佈線層 1611:表面 1612:介電材料 1614:導體 1616:凸塊 1700:製品 1718:TSV 1800:製品 1818:TPV 1900:製品 2000:製品 2010:RDL 2010:RDL 2016:凸塊 2100:製品 2102:半導體晶粒/IC晶粒/DRAM 2200:製品 2202:半導體晶粒 2300:製品 2400:製品 2500:製品 2600:製品 2700:方法 2702:步驟 2704:步驟 2706:步驟 2708:步驟 2710:步驟 2712:步驟 2714:步驟 2716:步驟 2718:步驟 2720:步驟 2802:晶圓 2806:框架層 2807:臨時載體 2809:黏著劑 2900:方法 2902:步驟 2904:步驟 2906:步驟 2908:步驟 3000:方法 3002:步驟 3004:步驟 3006:步驟 3008:步驟 3010:步驟
在下文參考以下圖式詳細地描述說明性具體實例。
[圖1]為根據本揭示中所描述之技術的包括近眼顯示器之人工實境系統環境之實例的簡化方塊圖。
[圖2A]為呈用於實施本文中所揭示之一些實例的頭戴式顯示器(head-mounted display;HMD)裝置之形式的近眼顯示器之實例的透視圖。
[圖2B]為呈用於實施本文中所揭示之一些實例的一副眼鏡之形式的近眼顯示器之實例的透視圖。
[圖3]說明根據本揭示中所描述之技術的包括波導顯示器之光學透視擴增實境系統之實例。
[圖4A]說明根據本揭示中所描述之技術的包括波導顯示器之近眼顯示器裝置的實例。
[圖4B]說明根據本揭示中所描述之技術的包括波導顯示器之近眼顯示器裝置的實例。
[圖5]說明根據本揭示中所描述之技術的擴增實境系統中之影像源總成的實例。
[圖6A]說明根據某些具體實例的具有垂直台面結構之發光二極體(light emitting diode;LED)之實例。
[圖6B]為根據某些具體實例之具有拋物線形台面結構之LED之實例的橫截面圖。
[圖7A]說明根據某些具體實例之用於LED陣列之晶粒至晶圓接合之方法的實例。
[圖7B]說明根據某些具體實例的用於LED陣列之晶圓間接合之方法的實例。
[圖8A]至[圖8D]說明根據某些具體實例的用於LED陣列之混合接合之方法的實例。
[圖9]說明根據某些具體實例的其上製造有次級光學組件之LED陣列的實例。
[圖10]為根據某些具體實例的近眼顯示器之實例的電子系統之簡化方塊圖。
[圖11A]為根據本揭示中所描述之技術的實例製品之橫截面之示意性圖示。
[圖11B]為根據本揭示中所描述之技術之另一實例製品的橫截面之示意性圖示。
[圖12A]為根據本揭示中所描述之技術之另一實例製品的橫截面之示意性圖示。
[圖12B]為根據本揭示中所描述之技術的另一實例製品之橫截面的示意性圖示。
[圖13A]為根據本揭示中所描述之技術之另一實例製品的橫截面之示意性圖示。
[圖13B]為根據本揭示中所描述之技術之另一實例製品的橫截面之示意性圖示。
[圖14A]為根據本揭示中所描述之技術之另一實例製品的橫截面之示意性圖示。
[圖14B]為根據本揭示中所描述之技術之另一實例製品的橫截面之示意性圖示。
[圖15]為根據本揭示中所描述之技術之另一實例製品的橫截面之示意性圖示。
[圖16]為根據本揭示中所描述之技術之另一實例製品的橫截面之示意性圖示。
[圖17]為根據本揭示中所描述之技術之另一實例製品的橫截面之示意性圖示。
[圖18]為根據本揭示中所描述之技術之另一實例製品的橫截面之示意性圖示。
[圖19]為根據本揭示中所描述之技術之另一實例製品的橫截面之示意性圖示。
[圖20]為根據本揭示中所描述之技術之另一實例製品的橫截面之示意性圖示。
[圖21]為根據本揭示中所描述之技術之另一實例製品的橫截面之示意性圖示。
[圖22]為根據本揭示中所描述之技術之另一實例製品的橫截面之示意性圖示。
[圖23]為根據本揭示中所描述之技術之另一實例製品的橫截面之示意性圖示。
[圖24]為根據本揭示中所描述之技術之另一實例製品的橫截面之示意性圖示。
[圖25]為根據本揭示中所描述之技術之另一實例製品的橫截面之示意性圖示。
[圖26]為根據本揭示中所描述之技術之另一實例製品的橫截面之示意性圖示。
[圖27]為根據本揭示中所描述之技術的用於形成半導體製品之實例方法的流程圖。
[圖28A]至[圖28B]為根據本揭示中所描述之技術的說明圖27之方法步驟之晶圓的橫截面之示意性圖示。
[圖29]為根據本揭示中所描述之技術的用於形成半導體製品之實例方法的流程圖。
[圖30]為根據本揭示中所描述之技術的用於形成半導體製品之實例方法的流程圖。
圖式僅出於說明之目的描繪本揭示之具體實例。熟習此項技術者依據以下描述將容易認識到,可在不脫離本揭示之原理或所主張之權益的情況下使用所說明之結構及方法的替代具體實例。
在附圖中,類似組件及/或特徵可具有相同參考標記。此外,可藉由在參考標記之後加上破折號及在類似組件之間進行區分之第二標記來區分相同類型之各種組件。若在說明書中僅使用第一附圖標記,則描述適用於具有相同第一附圖標記的類似組件中之任一者而與第二附圖標記無關。
1100:製品
1102:IC晶粒
1104:塊材矽
1106:表面
1107:IC層
1108:填充材料
1110:重佈線層
1111:表面
1112:介電材料
1114:導體
1116:凸塊

Claims (21)

  1. 一種製品,其包含:  半導體晶粒,其包含積體電路,其中該半導體晶粒界定第一主表面、與該第一主表面相對之第二主表面及結合該第一主表面與該第二主表面之複數個周邊壁; 至少一個矽穿孔,其在該第一主表面與該第二主表面之間延伸穿過該半導體晶粒; 填充材料,其包圍該半導體晶粒之至少部分,其中該填充材料接觸該複數個周邊壁中之至少一者,且其中該填充材料之一表面與該半導體晶粒之該第一主表面實質上共面;及 至少一個重佈線層,其位於該半導體晶粒之該第一主表面及該填充材料之該表面上。
  2. 如請求項1之製品,其中該半導體晶粒為第一半導體,該製品進一步包含: 第二半導體晶粒,其包含積體電路,其中該第二半導體晶粒界定第一主表面、與該第一主表面相對之一第二主表面及結合該第二半導體晶粒之該第一主表面與該第二主表面之複數個周邊壁, 其中該填充材料包圍該第二半導體晶粒之至少部分,其中該填充材料接觸該第二半導體晶粒之該複數個周邊壁中的至少一者,且其中該填充材料之一表面與該第二半導體晶粒之該第一主表面實質上共面, 其中該至少一個重佈線層位於該第二半導體晶粒之該第一主表面及該填充材料之該表面上。
  3. 如請求項2之製品,其中該第一半導體晶粒為數位半導體晶粒,其中該第二半導體晶粒為類比半導體晶粒。
  4. 如請求項1之製品,其進一步包含至少一個封裝穿孔,其在該填充材料之與該半導體晶粒之該第一主表面實質上共面的該表面與該填充材料之一相對表面之間延伸穿過該填充材料。
  5. 如請求項1之製品,其中該至少一個重佈線層為至少一個第一重佈線層,其中該填充材料之該表面為該填充材料之第一表面,該製品進一步包含: 至少一個第二重佈線層,其位於該半導體晶粒之該第二主表面及該填充材料之第二表面上,其中該填充材料之該第二表面與該半導體晶粒之該第二主表面實質上共面。
  6. 如請求項5之製品,其進一步包含至少一個封裝穿孔,其在該填充材料之與該半導體晶粒之該第一主表面實質上共面的該表面與該填充材料之一相對表面之間延伸穿過該填充材料。
  7. 如請求項1之製品,其中該半導體晶粒為第一半導體,其中該填充材料為第一填充材料,該製品進一步包含: 第二半導體晶粒,其包含積體電路,其中該半導體晶粒界定第一主表面、與該第一主表面相對之第二主表面及結合該第二半導體晶粒之該第一主表面與該第二主表面的複數個周邊壁; 第二填充材料,其包圍該第二半導體晶粒之至少部分,其中該第二填充材料接觸該複數個周邊壁中之至少一者,且其中該第二填充材料之一表面與該第二半導體晶粒之該第一主表面實質上共面, 其中該至少一個重佈線層界定第一主表面及與該第一主表面相對之第二主表面,其中該至少一個重佈線層之該第一主表面位於該第一半導體晶粒的該第一主表面及該第一填充材料之該表面上, 其中該重佈線層之該第二主表面位於該第二半導體晶粒之該第一主表面及該第二填充材料之該表面上。
  8. 如請求項7之製品,其中該至少一個重佈線層為一至少一個第一重佈線層,該製品進一步包含: 至少一個第二重佈線層,其位於該第二半導體晶粒之該第二主表面及該第二填充材料之該表面上。
  9. 如請求項1之製品,其中該半導體晶粒為第一半導體,該製品進一步包含: 第二半導體晶粒,其包含積體電路,其中該第二半導體晶粒界定第一主表面、與該第一主表面相對之第二主表面及結合該第二半導體晶粒之該第一主表面與該第二主表面之複數個周邊壁, 其中該填充材料包圍該第二半導體晶粒之至少部分,其中該填充材料接觸該第二半導體晶粒之該複數個周邊壁中的至少一者,且其中該填充材料之一表面與該第二半導體晶粒之該第二主表面實質上共面, 其中該至少一個重佈線層位於該第二半導體晶粒之該第二主表面及該填充材料之該表面上。
  10. 一種方法,其包含: 將複數個半導體晶粒置放於載體晶圓上; 在該複數個半導體晶粒之間引入填充材料以至少部分地囊封該複數個半導體晶粒; 在該第一主表面與跟該第一主表面相對之第二主表面之間形成延伸穿過該複數個半導體晶粒中之至少一者的至少一個矽穿孔;及 在該複數個半導體晶粒之第一主表面上形成至少一個重佈線層,其中該至少一個重佈線層延伸至該填充材料之一表面上。
  11. 如請求項10之方法,其進一步包含: 在該填充材料之第一主表面與該填充材料之與該填充材料之該第一主表面相對的第二主表面之間形成延伸穿過該填充材料之至少一個封裝穿孔。
  12. 如請求項11之方法,其中該至少一個重佈線層為至少一個第一重佈線層,其中該填充材料之該表面為該填充材料之第一表面,該方法進一步包含: 在該複數個半導體晶粒之該第二主表面上形成至少一個第二重佈線層,其中該至少一個第二重佈線層延伸至該填充材料之該第二表面上。
  13. 如請求項11之方法,其中該至少一個重佈線層為至少一個第一重佈線層,其中該複數個半導體晶粒為第一複數個半導體晶粒,其中該填充材料為第一填充材料,其中該載體晶圓為第一載體晶圓,該方法進一步包含: 將第二複數個半導體晶粒置放於第二載體晶圓上; 在該第二複數個半導體晶粒之間引入第二填充材料以至少部分地囊封該第二複數個半導體晶粒;及 在該第二複數個半導體晶粒之第一主表面上形成至少一個第二重佈線層,其中該至少一個第二重佈線層延伸至該第二填充材料之一表面上; 移除該第一載體晶圓;及 將該第一複數個半導體晶粒之該第二主表面置放於該第二重佈線層上。
  14. 如請求項13之方法,其進一步包含: 在該第一主表面與跟該第一主表面相對之第二主表面之間形成延伸穿過該第二複數個半導體晶粒中之至少一者的至少一個矽穿孔;及 在該第二填充材料之第一主表面與該第二填充材料之與該第二填充材料之該第一主表面相對的第二主表面之間形成延伸穿過該第二填充材料之至少一個封裝穿孔。
  15. 一種方法,其包含: 將半導體晶粒置放於一載體晶圓上; 引入包圍該半導體晶粒之至少部分之填充材料以至少部分地囊封該半導體晶粒; 薄化填充材料及該半導體晶粒,使得該填充材料之一表面與該半導體晶粒之第一主表面實質上共面; 在該第一主表面與該第二主表面之間形成延伸穿過該第一半導體晶粒或第二半導體晶粒中之至少一者的至少一個矽穿孔;及 在該半導體晶粒之該第一主表面及該填充材料之該表面上形成至少一個重佈線層。
  16. 如請求項15之方法,其中該半導體晶粒為第一半導體晶粒,該方法進一步包含: 將第二半導體晶粒之第一主表面置放於該載體晶圓上, 其中在該第一半導體晶粒與該第二半導體晶粒之間引入該填充材料且至少部分地囊封該第一半導體晶粒及該第二半導體晶粒兩者, 其中薄化該填充材料,使得該填充材料之該表面與該第二半導體晶粒之第二主表面實質上共面, 其中該至少一個重佈線層亦形成於該第二半導體晶粒之該第二主表面上。
  17. 如請求項16之方法,其中該至少一個重佈線層為至少一個第一重佈線層,該方法進一步包含: 形成延伸穿過該填充材料之至少一個封裝穿孔。
  18. 如請求項17之方法,其中該至少一個重佈線層為至少一個第一重佈線層,該方法進一步包含: 在該第一半導體晶粒之該第一主表面、該第二半導體晶粒之第二主表面及該填充材料之該表面上形成至少一個第二重佈線層。
  19. 一種人工實境系統,其包含: 顯示器,其包含: 微型LED陣列,其包含複數個微型LED及包括積體電路之半導體晶粒,其中該微型LED陣列界定第一主表面、與該第一主表面相對之第二主表面及結合該第一主表面與該第二主表面之複數個周邊壁; 填充材料,其包圍該微型LED陣列之至少部分,其中該填充材料接觸該複數個周邊壁中之至少一者,且其中該填充材料之一表面與該微型LED陣列之該第一主表面實質上共面;及 至少一個重佈線層,其位於該微型LED陣列之該第二主表面及該填充材料之該表面上;及 半導體晶粒,其位於該至少一個重佈線層之與該微型LED陣列的該第二主表面及該填充材料之該表面相對的主表面上。
  20. 如請求項19之人工實境系統,其中該微型LED陣列包含第一微型LED陣列,該顯示器進一步包含: 第二微型LED陣列,其包含複數個微型LED及包括積體電路之半導體晶粒,其中該第二微型LED陣列界定第一主表面、與該第一主表面相對之第二主表面及結合該第二微型LED陣列之該第一主表面與該第二主表面之複數個周邊壁, 第三微型LED陣列,其包含複數個微型LED及包括積體電路之半導體晶粒,其中該第三微型LED陣列界定第一主表面、與該第一主表面相對之第二主表面及結合該第三微型LED陣列之該第一主表面與該第二主表面之複數個周邊壁, 其中該填充材料包圍該第二微型LED陣列之至少部分及該第三微型LED陣列之至少一部分,其中該填充材料接觸該第二微型LED陣列之該複數個周邊壁中的至少一者及該第三微型LED陣列之該複數個周邊壁中的至少一者,且其中該填充材料之一表面與該第二微型LED陣列之該第一主表面及該第三微型LED陣列之該第一主表面實質上共面, 其中該至少一個重佈線層位於該第二微型LED陣列之該第二主表面、該第三微型LED陣列之該第二主表面及該填充材料之該表面上。
  21. 如請求項20之人工實境系統,其中該第一微型LED陣列、該第二微型LED陣列及該第三微型LED陣列為紅色、綠色或藍色微型LED陣列中之至少一者。
TW111106826A 2021-04-13 2022-02-24 半導體重構 TW202240779A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/229,081 2021-04-13
US17/229,081 US20220328740A1 (en) 2021-04-13 2021-04-13 Semiconductor reconstitution

Publications (1)

Publication Number Publication Date
TW202240779A true TW202240779A (zh) 2022-10-16

Family

ID=81585537

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111106826A TW202240779A (zh) 2021-04-13 2022-02-24 半導體重構

Country Status (3)

Country Link
US (1) US20220328740A1 (zh)
TW (1) TW202240779A (zh)
WO (1) WO2022221081A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11869923B2 (en) * 2021-12-14 2024-01-09 Lumileds Llc Light-emitting array with dielectric light collection structures

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8258010B2 (en) * 2009-03-17 2012-09-04 Stats Chippac, Ltd. Making a semiconductor device having conductive through organic vias
US8786066B2 (en) * 2010-09-24 2014-07-22 Intel Corporation Die-stacking using through-silicon vias on bumpless build-up layer substrates including embedded-dice, and processes of forming same
US9679801B2 (en) * 2015-06-03 2017-06-13 Apple Inc. Dual molded stack TSV package
WO2018182634A1 (en) * 2017-03-30 2018-10-04 Intel Corporation Light emitting display

Also Published As

Publication number Publication date
WO2022221081A1 (en) 2022-10-20
US20220328740A1 (en) 2022-10-13

Similar Documents

Publication Publication Date Title
US11942589B2 (en) Managing thermal resistance and planarity of a display package
JP2023501073A (ja) 発光ダイオードアレイの接合
TW202101063A (zh) 用於基於混合式tft的微型顯示投影器的處理流程
TW202234721A (zh) 用於小間距微型發光二極體之基於自對準氧化銦錫分散式布拉格反射鏡的p型觸點
KR20220108095A (ko) Led 어레이와 시준기 조립체의 정렬
EP4331014A1 (en) Bonding pads in dielectric layer
TW202122870A (zh) 用於顯示裝置的右投影器及左投影器
TW202240779A (zh) 半導體重構
WO2023183503A1 (en) Guided light extraction in trenches
US11842989B2 (en) Integrating control circuits with light emissive circuits with dissimilar wafer sizes
WO2023097057A1 (en) Alignment-free micro-display architecture
TW202324787A (zh) 朝向較高效率以及低彎曲度的三族p型微型led磊晶的應變管理
US20230155074A1 (en) Red light-emitting diode with phosphide epitaxial heterostructure grown on silicon
US20220173159A1 (en) Low resistance current spreading to n-contacts of micro-led array
TW202326819A (zh) P側向上型微型發光二極體
WO2023091693A1 (en) Red light-emitting diode with phosphide epitaxial heterostructure grown on silicon
WO2022232665A1 (en) Bonding pads in dielectric layer
CN117594733A (zh) 针对高的光提取效率的微型led设计