TW202226900A - Plasma treatment apparatus and plasma treatment method - Google Patents

Plasma treatment apparatus and plasma treatment method Download PDF

Info

Publication number
TW202226900A
TW202226900A TW110146899A TW110146899A TW202226900A TW 202226900 A TW202226900 A TW 202226900A TW 110146899 A TW110146899 A TW 110146899A TW 110146899 A TW110146899 A TW 110146899A TW 202226900 A TW202226900 A TW 202226900A
Authority
TW
Taiwan
Prior art keywords
protective film
sample
pattern
plasma
gas
Prior art date
Application number
TW110146899A
Other languages
Chinese (zh)
Other versions
TWI809602B (en
Inventor
松井都
臼井建人
桑原謙一
Original Assignee
日商日立全球先端科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商日立全球先端科技股份有限公司 filed Critical 日商日立全球先端科技股份有限公司
Publication of TW202226900A publication Critical patent/TW202226900A/en
Application granted granted Critical
Publication of TWI809602B publication Critical patent/TWI809602B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Treatment Of Fiber Materials (AREA)

Abstract

A plasma treatment apparatus according to the present invention is equipped with a treatment chamber where a sample is subjected to a plasma treatment, a high-frequency power source for supplying high-frequency power for generating plasma, and a sample platform on which the sample is placed. The plasma treatment apparatus is equipped with a control device for measuring the thickness of a protective film which is selectively formed on a desired material of the sample by using interference light which is reflected from the sample upon irradiating the sample with ultraviolet rays, or determines the selectivity of a protective film by using interference light which is reflected from the sample upon irradiating the sample with ultraviolet rays.

Description

電漿處理裝置及電漿處理方法Plasma processing device and plasma processing method

本發明係電漿處理裝置及電漿處理方法,特別有關可在晶圓上的圖樣的上面形成期望的蝕刻保護膜之電漿處理裝置及電漿處理方法。The present invention relates to a plasma processing apparatus and a plasma processing method, and particularly relates to a plasma processing apparatus and a plasma processing method capable of forming a desired etching protection film on a pattern on a wafer.

由於半導體元件等的機能元件製品的微細化及三維化,半導體製造中的乾蝕刻工程中,以薄膜間隔材(spacer)或金屬等的各種材料作為遮罩之溝或孔洞的三維加工技術變得重要。半導體元件的圖樣中的遮罩或閘極絕緣膜、蝕刻阻擋層等的厚度變薄,被要求以原子層等級來控制形狀之加工技術。又,伴隨元件的三維化,加工複雜的形狀之工程不斷增加。Due to the miniaturization and three-dimensionalization of functional device products such as semiconductor devices, in the dry etching process in semiconductor manufacturing, three-dimensional processing technology using various materials such as thin film spacers and metals as mask grooves or holes has become important. The thickness of the mask, the gate insulating film, the etching barrier layer, etc. in the pattern of the semiconductor element is reduced, and a processing technology that controls the shape at the atomic layer level is required. In addition, with the three-dimensionalization of components, the number of processes for processing complex shapes is increasing.

以乾蝕刻工程加工這樣的元件時,為了控制圖樣的尺寸而加工,會於蝕刻裝置內在圖樣上形成保護膜而將圖樣尺寸調整成均一,來抑制尺寸的不均,作為這樣的技術,專利文獻1中揭示一種為了抑制遮罩圖樣的尺寸不均而於乾蝕刻前在遮罩圖樣之上形成保護膜的手法。專利文獻1的技術中,係對晶圓內賦予溫度分布,藉此抑制晶圓內的尺寸不均,以便抑制初始的遮罩圖樣的寬幅的尺寸不均而可形成保護膜。When processing such an element by a dry etching process, in order to control the size of the pattern, a protective film is formed on the pattern in the etching apparatus to adjust the size of the pattern to be uniform to suppress the unevenness of the size. As such a technique, Patent Literature 1 discloses a method of forming a protective film on the mask pattern before dry etching in order to suppress the uneven size of the mask pattern. In the technique of Patent Document 1, a temperature distribution is imparted in the wafer, thereby suppressing dimensional unevenness within the wafer, so as to suppress the wide dimensional unevenness of the initial mask pattern and form a protective film.

此外,專利文獻2中揭示一種於蝕刻裝置內在圖樣上形成保護膜後,以保護膜作為遮罩而蝕刻之技術,以便盡可能不蝕刻遮罩等的耐蝕刻材料,而以高選擇比加工期望的圖樣。專利文獻2中,為了將保護膜的膜厚與尺寸做成均一,揭示一種於乾蝕刻前在圖樣上形成保護膜,再除去保護膜的一部分使得形成的保護膜的膜厚與尺寸於晶圓面內成為均一,以於晶圓面內被均一化的保護膜作為遮罩而乾蝕刻之技術。 先前技術文獻 專利文獻 In addition, Patent Document 2 discloses a technique of forming a protective film on a pattern in an etching apparatus, and then etching the protective film as a mask, so that etching resistant materials such as the mask are not etched as much as possible, and a desired high selectivity can be processed. pattern. In Patent Document 2, in order to make the thickness and size of the protective film uniform, it is disclosed that a protective film is formed on a pattern before dry etching, and then a part of the protective film is removed so that the thickness and size of the formed protective film are smaller than those of a wafer. The in-plane uniformity is a technology of dry etching using the uniformized protective film in the wafer surface as a mask. prior art literature Patent Literature

專利文獻1:日本特開2017-212331號公報 專利文獻2:國際公開第2020/121540號 Patent Document 1: Japanese Patent Laid-Open No. 2017-212331 Patent Document 2: International Publication No. 2020/121540

發明所欲解決之問題The problem that the invention seeks to solve

如上述般,隨著三維元件中的圖樣的微細化與複雜化,以原子層等級控制微細而複雜的構造的元件的加工形狀,且以高選擇比對多種類的膜加工之技術變得重要。為了進行這樣的加工,揭示一種於藉由乾蝕刻裝置加工圖樣之前,於乾蝕刻裝置內在圖樣上形成保護膜後進行蝕刻之手法。As described above, with the miniaturization and complication of patterns in three-dimensional elements, it becomes important to control the processing shapes of elements with fine and complex structures at the atomic level, and to process various types of films with high selectivity. . In order to perform such processing, a method of performing etching after forming a protective film on the pattern in the dry etching apparatus before processing the pattern by the dry etching apparatus is disclosed.

首先,專利文獻1中,作為抑制圖樣的最小線寬的不一致之方法,揭示一種於蝕刻前在遮罩圖樣表面堆積膜的手法。此時,堆積膜的堆積速率取決於晶圓溫度,因此基於堆積速率與溫度之關聯性,使晶圓溫度在各區域變化以便修正事先測定好的圖樣尺寸的不均,藉此形成用來修正溝寬幅的不均之薄膜,來調整在晶圓面內的溝寬幅。為了抑制圖樣的上面的蝕刻,必須形成讓從電漿照射的離子能量無法供給至保護膜與圖樣表面之界面的程度的厚度的保護膜。專利文獻1的手法中,如圖2所示,在形成於基板103上的圖樣102的上面121,形成和側面122同程度的膜厚的堆積膜120,因此能夠減低圖樣102的尺寸不均。但,無法獨立地調整側面120的堆積膜的厚度與上面122的厚度,因此無法在圖樣102的上面121堆積足以抑制照射至上面121的離子及自由基所造成的蝕刻的厚度的膜。First, Patent Document 1 discloses a method of depositing a film on the surface of a mask pattern before etching, as a method of suppressing the inconsistency of the minimum line width of the pattern. At this time, the deposition rate of the deposition film depends on the wafer temperature. Therefore, based on the correlation between the deposition rate and the temperature, the wafer temperature is changed in each area to correct the unevenness of the pattern size measured in advance. A film with uneven groove width to adjust the groove width within the wafer surface. In order to suppress the etching on the upper surface of the pattern, it is necessary to form a protective film having a thickness such that ion energy from the plasma irradiation cannot be supplied to the interface between the protective film and the pattern surface. In the method of Patent Document 1, as shown in FIG. 2 , a deposition film 120 having the same thickness as that of the side surface 122 is formed on the upper surface 121 of the pattern 102 formed on the substrate 103 , so that the size variation of the pattern 102 can be reduced. However, since the thickness of the deposited film on the side surface 120 and the thickness of the upper surface 122 cannot be independently adjusted, a film of sufficient thickness to suppress etching by ions and radicals irradiated to the upper surface 121 cannot be deposited on the upper surface 121 of the pattern 102 .

專利文獻2中,揭示一種保護膜形成方法,具有:保護膜堆積工程,在圖樣上部形成比圖樣上部的寬幅還大的寬幅的保護膜,而不使膜積於圖樣的溝底;及保護膜部分除去工程,除去堆積工程中形成的堆積膜的晶圓面內分布當中的晶圓中央部分的過剩的堆積膜,而控制晶圓面內均一性、及保護膜的寬幅的晶圓面內不均。半導體裝置製造工程途中的圖樣,有時會混雜著形成有密度高的圖樣之區域與沒有圖樣之區域。當加工這樣的晶圓的情形下,專利文獻2中記載的手法中,例如如圖3所示,在圖樣102密集的區域107中,能夠在圖樣102的上面形成厚的保護膜101。但,同時也會在沒有圖樣102之區域108的表面上109形成厚的保護膜104,導致妨礙沒有圖樣102之區域108的蝕刻,故難以同時蝕刻圖樣102的底106與沒有圖樣102之區域108的表面109。圖3示意在圖樣102的底106的表面上也形成了薄的保護膜105的狀態。Patent Document 2 discloses a method of forming a protective film, comprising: a protective film deposition process in which a wide protective film larger than the width of the upper part of the pattern is formed on the upper part of the pattern without depositing the film on the bottom of the groove of the pattern; and Partial removal process of protective film to remove excess deposition film in the central part of the wafer among the in-wafer in-plane distribution of the deposition film formed in the deposition process, and control the wafer in-plane uniformity and the wide width of the protective film Uneven face. In a pattern in the process of manufacturing a semiconductor device, an area where a pattern with a high density is formed and an area without a pattern may be mixed. When processing such a wafer, in the method described in Patent Document 2, for example, as shown in FIG. 3 , a thick protective film 101 can be formed on the upper surface of the pattern 102 in the region 107 where the patterns 102 are densely packed. However, at the same time, a thick protective film 104 is also formed on the surface 109 of the area 108 without the pattern 102, which hinders the etching of the area 108 without the pattern 102, so it is difficult to etch the bottom 106 of the pattern 102 and the area 108 without the pattern 102 at the same time. surface 109. FIG. 3 illustrates a state in which a thin protective film 105 is also formed on the surface of the bottom 106 of the pattern 102 .

本發明之目的,在於提供一種保護膜堆積方法,能夠於蝕刻前僅在圖樣的期望的材料上堆積用來抑制蝕刻的保護膜,而不在晶圓上的圖樣少之區域或沒有圖樣之區域堆積不需要的保護膜,此外,提供一種運用該保護膜堆積方法而將圖樣做蝕刻處理之電漿處理裝置及電漿處理方法。 解決問題之技術手段 An object of the present invention is to provide a method for depositing a protective film, which can deposit a protective film for inhibiting etching only on a desired material of a pattern before etching, without depositing a protective film on a wafer with few or no patterns. An unnecessary protective film is also provided, and a plasma processing apparatus and a plasma processing method for etching a pattern by using the protective film deposition method are provided. technical means to solve problems

為解決上述的習知技術的待解問題,本發明電漿處理裝置,係具備供試料受到電漿處理之處理室、及供給用來生成電漿的高頻電力之高頻電源、及供前述試料載置之試料台。電漿處理裝置,更具備:控制裝置,運用藉由對前述試料照射紫外線而從前述試料反射的干涉光,計測在前述試料的期望的材料選擇性地形成的保護膜的厚度,或運用藉由對前述試料照射紫外線而從前述試料反射的干涉光而判斷前述保護膜的選擇性。In order to solve the above-mentioned problems of the prior art, the plasma processing apparatus of the present invention is provided with a processing chamber in which a sample is subjected to plasma processing, a high-frequency power supply for supplying high-frequency power for generating plasma, and a high-frequency power supply for the above-mentioned plasma processing. The sample table on which the sample is placed. The plasma processing apparatus further includes: a control device for measuring the thickness of a protective film selectively formed on a desired material of the sample using interference light reflected from the sample by irradiating the sample with ultraviolet rays, or using interference light reflected from the sample by The selectivity of the protective film was judged by irradiating the sample with ultraviolet rays and reflecting the interference light from the sample.

此外,為解決上述的習知技術的待解問題,本發明之電漿處理方法,係在期望的材料選擇性地形成保護膜,藉此將被蝕刻膜做電漿蝕刻之電漿處理方法,其中,運用四氯化矽氣體(SiCl 4)與溴化氫氣體(HBr)與氯氣體(Cl 2)而在期望的材料選擇性地形成保護膜。 發明之效果 In addition, in order to solve the above-mentioned unsolved problems of the prior art, the plasma treatment method of the present invention is a plasma treatment method for selectively forming a protective film on a desired material, thereby subjecting the etched film to plasma etching, Among them, a protective film is selectively formed on a desired material using silicon tetrachloride gas (SiCl 4 ), hydrogen bromide gas (HBr), and chlorine gas (Cl 2 ). effect of invention

按照本發明,於蝕刻處理前可在構成圖樣的耐蝕刻材料(遮罩)上選擇性地重現性良好地形成保護膜,而不會在沒有形成圖樣之區域形成不需要的保護膜,能夠將微細圖樣以高選擇比且高精度地重現性良好地做蝕刻加工。According to the present invention, the protective film can be selectively formed on the etching-resistant material (mask) constituting the pattern with good reproducibility before the etching process, without forming an unnecessary protective film in the region where the pattern is not formed, and it is possible to The fine pattern is etched with high selectivity and high accuracy with good reproducibility.

以下,利用圖面詳細說明本發明之實施形態。另,所有的圖中,具有同一機能之物標記同一符號,省略其反覆說明。 實施例 Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings. In addition, in all the drawings, the same symbols are attached to those having the same functions, and repeated explanations thereof are omitted. Example

首先,運用圖4說明實施例之保護膜形成方法。圖4示意實施例之保護膜形成方法的說明圖。如圖4所示,按照本發明,在圖樣102密集之區域107中,能夠在圖樣102的上面形成厚的保護膜101,但在沒有圖樣102之區域108的表面上109則不會形成保護膜104。因此,可同時蝕刻圖樣102的底106與沒有圖樣102之區域108的表面109而不會蝕刻圖樣102的上面,能夠將微細圖樣以高選擇比且高精度地重現性良好地做蝕刻加工。此處,圖樣102密集之區域107亦能夠稱為圖樣密集之區域或密集圖樣。此外,沒有圖樣102之區域108亦能夠稱為圖樣稀疏之區域。First, the protective film forming method of the embodiment will be described with reference to FIG. 4 . FIG. 4 is an explanatory diagram showing a method for forming a protective film according to an embodiment. As shown in FIG. 4 , according to the present invention, a thick protective film 101 can be formed on the top of the pattern 102 in the area 107 where the patterns 102 are dense, but no protective film 109 can be formed on the surface 109 of the area 108 without the pattern 102 104. Therefore, the bottom 106 of the pattern 102 and the surface 109 of the region 108 without the pattern 102 can be etched at the same time without etching the upper surface of the pattern 102, and the fine pattern can be etched with high selectivity and high accuracy with good reproducibility. Here, the region 107 where the patterns 102 are dense can also be referred to as a region where the patterns are dense or a dense pattern. In addition, the area 108 without the pattern 102 can also be referred to as a pattern sparse area.

實施例之蝕刻裝置(30),構成為可在形成於作為試料的晶圓(100)上之微細的圖樣的表面的期望的材料上選擇性地使保護膜堆積,而將形成了保護膜的圖樣的下層的被蝕刻膜的材料(被蝕刻材料)予以蝕刻除去。The etching apparatus (30) of the embodiment is configured to selectively deposit a protective film on a desired material on the surface of a fine pattern formed on a wafer (100) serving as a sample, and to deposit a protective film on the surface of the wafer (100). The material of the film to be etched (material to be etched) in the lower layer of the pattern is removed by etching.

圖1示意本實施例之電漿處理裝置的一例的一全體構成。電漿處理裝置亦即蝕刻裝置30,具備處理室31、晶圓平台32、氣體供給部33、光學系統38、光學系統控制部39、偏壓電源40、高頻施加部41、裝置控制部42等。裝置控制部(亦稱為控制裝置)42,控制處理室31、晶圓平台32、氣體供給部33、光學系統38、光學系統控制部39、偏壓電源40、高頻施加部41,藉此控制蝕刻裝置30的動作及藉由蝕刻裝置30而被實施的各工程(圖5中說明的各工程)之執行。裝置控制部42,具備氣體控制部43、排氣系統控制部44、高頻控制部45、偏壓控制部46、堆積工程控制部47、記憶部50、時鐘51等的機能區塊。該些構成裝置控制部42的各機能區塊,能夠藉由一台的個人電腦(PC)而實現。堆積工程控制部47包含判定部48、資料庫保存部49,藉由將從光學系統控制部39送來的訊號和資料庫49對照,而能夠以判定部48判定僅在期望的材料上形成了保護膜。晶圓平台32,為用來載置試料亦即晶圓100的載置台或試料台。當運用蝕刻裝置30將晶圓100做電漿蝕刻處理的情形下,晶圓100從處理室31的外部被導入處理室31內,載置於試料台亦即晶圓平台32之上。FIG. 1 shows an overall configuration of an example of the plasma processing apparatus of the present embodiment. The etching apparatus 30 , which is a plasma processing apparatus, includes a processing chamber 31 , a wafer stage 32 , a gas supply unit 33 , an optical system 38 , an optical system control unit 39 , a bias power supply 40 , a high-frequency application unit 41 , and an apparatus control unit 42 . Wait. An apparatus control unit (also referred to as a control unit) 42 controls the processing chamber 31 , the wafer stage 32 , the gas supply unit 33 , the optical system 38 , the optical system control unit 39 , the bias power supply 40 , and the high-frequency application unit 41 , thereby The operation of the etching device 30 and the execution of each process (each process described in FIG. 5 ) performed by the etching device 30 are controlled. The device control unit 42 includes functional blocks such as a gas control unit 43 , an exhaust system control unit 44 , a high frequency control unit 45 , a bias voltage control unit 46 , a deposition process control unit 47 , a memory unit 50 , and a clock 51 . The functional blocks constituting the device control unit 42 can be realized by a single personal computer (PC). The deposition process control unit 47 includes a determination unit 48 and a database storage unit 49. By comparing the signal sent from the optical system control unit 39 with the database 49, the determination unit 48 can determine that only the desired material has been formed. protective film. The wafer stage 32 is a mounting table or a sample table for mounting the wafer 100 , which is a sample. When the wafer 100 is subjected to the plasma etching process using the etching apparatus 30 , the wafer 100 is introduced into the processing chamber 31 from the outside of the processing chamber 31 and placed on the sample stage, that is, the wafer stage 32 .

蝕刻裝置30,設有設於處理室31內之晶圓平台32,與具備氣體鋼瓶或閥之氣體供給部33。氣體供給部33,可切換複數個處理氣體(34、35、36、37)而供給至處理室31內。氣體供給部33,基於來自裝置控制部42的控制訊號54,根據處理步驟對處理室31供給保護膜形成用氣體34、保護膜形成用氣體35、用來除去保護膜的除去用氣體36、蝕刻用氣體37各者。The etching apparatus 30 is provided with a wafer stage 32 provided in the processing chamber 31, and a gas supply part 33 having a gas cylinder or a valve. The gas supply unit 33 can supply a plurality of processing gases ( 34 , 35 , 36 , 37 ) into the processing chamber 31 by switching. The gas supply unit 33 supplies the protective film forming gas 34 , the protective film forming gas 35 , the removing gas 36 for removing the protective film, and etching to the processing chamber 31 according to the processing steps based on the control signal 54 from the device control unit 42 Use gas 37 each.

供給至處理室31的處理氣體,藉由從受到裝置控制部42控制的高頻電源63對高頻施加部41施加之高頻電力52、及從偏壓電源40對晶圓平台32施加之偏壓53,而於處理室31內被分解成電漿。此外,處理室31內的壓力,藉由連接至處理室31之省略圖示的可變傳導(conductance)閥及真空泵浦,能夠在流通期望的流量的處理氣體之狀態下保持一定。高頻電源63、高頻施加部41及高頻電力52能夠視為電漿產生部。The processing gas supplied to the processing chamber 31 is caused by the high-frequency power 52 applied to the high-frequency applying unit 41 from the high-frequency power source 63 controlled by the device control unit 42 and the bias applied to the wafer stage 32 from the bias power source 40 . The pressure 53 is decomposed into plasma in the processing chamber 31 . In addition, the pressure in the processing chamber 31 can be kept constant in a state where a desired flow rate of processing gas flows by a variable conductance valve and a vacuum pump, which are not shown, connected to the processing chamber 31 . The high-frequency power supply 63 , the high-frequency applying unit 41 , and the high-frequency power 52 can be regarded as a plasma generating unit.

光學系統38,為用來評估形成於晶圓100上的保護膜的堆積狀態之物,藉由以光學系統38取得或監控從光學系統38發射而在晶圓100反射的光譜,便能評估保護膜在形成於晶圓上的圖樣的期望的材料上正在選擇性地堆積,及該保護膜的膜厚。The optical system 38 is used to evaluate the deposition state of the protective film formed on the wafer 100 , and the protection can be evaluated by acquiring or monitoring the spectrum emitted from the optical system 38 and reflected on the wafer 100 by the optical system 38 The film is selectively deposited on the desired material of the pattern formed on the wafer, and the film thickness of the protective film.

若要判定保護膜僅在期望的材料上正在選擇性地堆積,首先係取得參照資料(參照用光譜)。為了取得參照資料,係將形成有在圖樣的期望的材料上選擇性地堆積保護膜而成之參照用圖樣的晶圓100導入處理室31而載置於晶圓平台32之上。形成有參照用圖樣的晶圓100的保護膜的形狀或膜厚、選擇性的資訊,事先記憶於資料庫49、或裝置控制部42的記憶部50等作為晶圓資訊。In order to determine that the protective film is selectively depositing only on the desired material, reference data (spectrum for reference) is obtained first. In order to obtain the reference data, the wafer 100 having a reference pattern formed by selectively depositing a protective film on a desired material of the pattern is introduced into the processing chamber 31 and placed on the wafer stage 32 . Information on the shape, film thickness, and selectivity of the protective film of the wafer 100 on which the reference pattern is formed is previously stored in the database 49 or the memory unit 50 of the device control unit 42 as wafer information.

接著,光學系統38中,將從光源56發射的入射光57照射至晶圓100上的參照溝圖樣上。作為光源56,例如運用190nm至900nm之間的波長區域的光。在參照圖樣反射的反射光(干涉光)58受到檢測器59檢測,通過光纖60,在分光器61被分光而被送至光學系統控制部39作為反射光譜。被送至光學系統控制部39的反射光譜資訊,被送至堆積工程控制部47作為參照資料(參照用光譜)而事先保存作為資料庫49。Next, in the optical system 38 , the incident light 57 emitted from the light source 56 is irradiated onto the reference groove pattern on the wafer 100 . As the light source 56, for example, light in a wavelength region between 190 nm and 900 nm is used. The reflected light (interference light) 58 reflected by the reference pattern is detected by the detector 59, passed through the optical fiber 60, split by the spectroscope 61, and sent to the optical system control unit 39 as a reflection spectrum. The reflection spectrum information sent to the optical system control unit 39 is sent to the deposition process control unit 47 as reference data (spectrum for reference) and stored in advance as the database 49 .

接著,作為本實施例之電漿蝕刻方法,如圖4所示,說明對混雜著圖樣102密集之區域107與沒有圖樣102之區域108的圖樣,於處理室31內對圖樣102的材料選擇性地形成保護膜101後,再將被蝕刻材料以高選擇比做蝕刻加工之手法。Next, as the plasma etching method of the present embodiment, as shown in FIG. 4 , the material selectivity of the pattern 102 in the processing chamber 31 for the pattern of the region 107 with the dense pattern 102 and the pattern of the region 108 without the pattern 102 is illustrated. After the protective film 101 is formed, the material to be etched is then etched with a high selectivity ratio.

接著,運用圖面說明實施例之電漿處理方法。圖5為示意本實施例之選擇性的保護膜形成方法的製程流程的一例的圖。此外,圖6為說明本實施例之保護膜形成方法的製程流程的圖樣截面圖的一例。圖6(a)為示意混雜著圖樣102密集之區域107與沒有圖樣102之區域108的圖樣的圖樣截面圖。圖6(b)為示意對圖6(a)的圖樣實施選擇性的保護膜堆積工程,而選擇性地堆積了保護膜118的狀態的圖樣截面圖。圖6(c)為示意對圖6(b)的圖樣實施蝕刻工程,以高選擇比蝕刻了被蝕刻圖樣116的狀態的圖樣截面圖。Next, the plasma processing method of the embodiment will be described using the drawings. FIG. 5 is a diagram illustrating an example of a process flow of the selective protective film forming method of the present embodiment. In addition, FIG. 6 is an example of a pattern cross-sectional view illustrating the process flow of the protective film forming method of the present embodiment. FIG. 6( a ) is a pattern cross-sectional view illustrating a pattern intermingling a region 107 where the pattern 102 is dense and a region 108 without the pattern 102 . FIG. 6( b ) is a pattern cross-sectional view showing a state in which a protective film 118 is selectively deposited by performing a selective protective film deposition process on the pattern in FIG. 6( a ). FIG. 6( c ) is a pattern cross-sectional view illustrating a state in which an etching process is performed on the pattern shown in FIG. 6( b ), and the etched pattern 116 is etched with a high selectivity ratio.

本實施例中,如圖6(a)所示,對混雜著圖樣102密集之區域107與沒有圖樣102之區域108的圖樣,如圖6(b)所示,係在密集之區域107內的圖樣102之上的遮罩117的材料上(一部分)選擇性地堆積保護膜118,而不會在沒有圖樣102之區域108上形成不需要的保護膜。然後,如圖6(c)所示,抑制遮罩117的蝕刻,將形成或成膜於基板115之上的被蝕刻圖樣(被蝕刻膜)116以高選擇比做蝕刻加工。針對此手法,基於圖5的流程說明之。In the present embodiment, as shown in FIG. 6( a ), for the pattern mixed with the dense area 107 of the pattern 102 and the area 108 without the pattern 102 , as shown in FIG. 6( b ), the pattern in the dense area 107 is The protective film 118 is selectively deposited on (a portion of) the material of the mask 117 over the pattern 102 without forming an unwanted protective film on the area 108 without the pattern 102 . Then, as shown in FIG. 6( c ), the etching of the mask 117 is suppressed, and the etched pattern (etched film) 116 formed or formed on the substrate 115 is etched with a high selectivity ratio. This method will be described based on the flow of FIG. 5 .

本實施例中,為了判定保護膜堆積的選擇性,設立了用來取得反射光的光譜,而判定保護膜堆積工程中的選擇性之手段。In this embodiment, in order to determine the selectivity of the deposition of the protective film, a means for obtaining the spectrum of the reflected light and determining the selectivity of the deposition of the protective film is established.

此處,反射光譜的強度,會因光源56的輸出或光學系統38的經時變化而變動。此外,使來自光源56的光導入處理室31時,當使用讓光穿透的石英等的窗62的情形下,由於在處理室31內生成的電漿等,窗62的表面狀態會變化,可能對入射光57或反射光(干涉光)58的光譜造成影響。為了校正該些變動,於電漿處理之前,測定並取得作為參考的初始反射光譜(反射光譜測定:S201)。首先,將作為參考的初始晶圓導入處理室31內,將從光源56產生的入射光57通過光穿透用的窗62導入處理室31,照射至晶圓。然後,反射的反射光(干涉光)58再次通過窗62,受到檢測器59檢測。受到檢測器59檢測的光,通過光纖60而在分光器61被分光。在此分光器61被分光的反射光譜被保存於記憶部50作為初始光譜(初始反射光譜)。Here, the intensity of the reflection spectrum varies depending on the output of the light source 56 or the temporal change of the optical system 38 . In addition, when light from the light source 56 is introduced into the processing chamber 31, when a window 62 such as quartz that transmits light is used, the surface state of the window 62 changes due to plasma or the like generated in the processing chamber 31. The spectrum of incident light 57 or reflected light (interference light) 58 may be affected. In order to correct these fluctuations, before plasma treatment, an initial reflection spectrum as a reference is measured and acquired (reflection spectrum measurement: S201 ). First, a reference initial wafer is introduced into the processing chamber 31, and incident light 57 generated from the light source 56 is introduced into the processing chamber 31 through the light transmission window 62, and irradiated to the wafer. Then, the reflected reflected light (interference light) 58 passes through the window 62 again, and is detected by the detector 59 . The light detected by the detector 59 passes through the optical fiber 60 and is split by the spectroscope 61 . Here, the reflection spectrum of the light split by the spectroscope 61 is stored in the memory unit 50 as an initial spectrum (initial reflection spectrum).

接著,實施將試料亦即晶圓100的表面潔淨化之前處理工程。對形成於蝕刻用的晶圓100上的圖樣實施前處理,將形成於圖樣表面的自然氧化膜等除去,形成潔淨的圖樣表面(前處理:S202)。用來形成潔淨表面的前處理(S202),能夠運用藉由電漿處理蝕刻僅最表面之方法、不形成電漿而是僅將氣體導入處理室31之方法、或是熱處理所致之方法。Next, the processing step before cleaning the surface of the wafer 100 , which is a sample, is performed. The pattern formed on the wafer 100 for etching is subjected to pretreatment to remove the natural oxide film and the like formed on the pattern surface to form a clean pattern surface (pretreatment: S202 ). As the pretreatment (S202) for forming a clean surface, a method of etching only the outermost surface by plasma treatment, a method of introducing gas only into the processing chamber 31 without forming a plasma, or a method of heat treatment can be used.

一旦形成了潔淨的圖樣表面,對取得了初始反射光譜的圖樣上照射從光源56產生的入射光57,測定反射的反射光58的光譜(反射光譜測定:S203)。取得的反射光譜,如同初始光譜般被保存於記憶部50。取得的反射的光譜,和事先保存在資料庫49的潔淨圖樣的反射光譜比較,確認已成為了潔淨表面(S204)。當判定圖樣表面不是潔淨表面的情形下(No),再度實施前處理(S202)及反射光譜測定(S203)。Once a clean pattern surface is formed, incident light 57 from the light source 56 is irradiated on the pattern for which the initial reflection spectrum has been obtained, and the spectrum of the reflected light 58 is measured (reflection spectrum measurement: S203 ). The acquired reflection spectrum is stored in the memory unit 50 like the initial spectrum. The acquired reflection spectrum is compared with the reflection spectrum of the clean pattern previously stored in the database 49, and it is confirmed that the surface is clean (S204). When it is determined that the pattern surface is not a clean surface (No), the pretreatment ( S202 ) and the reflection spectrum measurement ( S203 ) are performed again.

一旦蝕刻用的晶圓100的表面成為潔淨(S204:Yes),則開始對圖樣材料(期望的材料)選擇性地堆積保護膜之工程(選擇性的保護膜堆積工程)(S205)。Once the surface of the wafer 100 for etching is clean ( S204 : Yes), a process of selectively depositing a protective film on the pattern material (desired material) (selective protective film deposition process) is started ( S205 ).

首先,基於來自裝置控制部42的控制訊號54,保護膜形成用氣體34及保護膜形成用氣體35以規定的流量供給至處理室31。被供給的保護膜形成用氣體34及保護膜形成用氣體35,藉由對高頻施加部41施加的高頻電力52而成為電漿,被分解成自由基、離子等。此期間的處理室31內的壓力,能夠藉由可變傳導性閥與真空泵浦,而在流通期望的流量的處理氣體的狀態下保持一定。藉由電漿而生成的自由或離子到達晶圓100的表面,形成圖6(b)所示之保護膜118。保護膜形成用氣體34成為了電漿時,會生成容易堆積於圖樣表面的自由基、離子,而形成保護膜118並堆積。保護膜形成用氣體35成為了電漿時,會生成帶有除去保護膜118的堆積成分的性質之自由基及離子,而抑制在沒有圖樣之廣闊區域堆積不需要的保護膜118。保護膜形成用氣體34為堆積性高的處理氣體,保護膜形成用氣體35為帶有除去堆積成分的效果的處理氣體。First, based on the control signal 54 from the device control unit 42 , the protective film forming gas 34 and the protective film forming gas 35 are supplied to the processing chamber 31 at a predetermined flow rate. The supplied gas 34 for forming a protective film and the gas 35 for forming a protective film become plasma by the high-frequency power 52 applied to the high-frequency applying unit 41, and are decomposed into radicals, ions, and the like. During this period, the pressure in the processing chamber 31 can be kept constant in a state in which a desired flow rate of processing gas flows by the variable conductivity valve and the vacuum pump. The free or ions generated by the plasma reach the surface of the wafer 100 to form the protective film 118 shown in FIG. 6( b ). When the protective film-forming gas 34 becomes plasma, radicals and ions that are easily deposited on the pattern surface are generated, and the protective film 118 is formed and deposited. When the protective film-forming gas 35 becomes a plasma, radicals and ions with the property of removing deposition components of the protective film 118 are generated, thereby suppressing the deposition of the unnecessary protective film 118 in a wide area without a pattern. The protective film-forming gas 34 is a processing gas having high deposition properties, and the protective film-forming gas 35 is a processing gas having an effect of removing deposition components.

作為使其堆積的保護膜118的材料,例如能夠使SiO 2,Si,SiH x,SiN,SiOC,C,氟碳系聚合物,BCl,BN,BO,BC等堆積。 As a material of the protective film 118 to be deposited, for example, SiO 2 , Si, SiH x , SiN, SiOC, C, fluorocarbon polymers, BCl, BN, BO, BC, etc. can be deposited.

此處作為一例,說明在密集圖樣107的遮罩117上形成Si系的保護膜118,在廣闊區域108則不形成保護膜118的情形。也就是說,說明藉由在Si上不形成保護膜118,而僅在作為期望的材料(117)的氧化膜(SiO 2)上形成保護膜118之選擇性的保護膜堆積工程,來對遮罩117的材料為SiO 2而不形成保護膜的區域108的表面的材料為Si之圖樣,僅在遮罩117上形成保護膜118,而在廣闊區域108則不形成不需要的保護膜118之情形。此處作為一例,作為保護膜形成用氣體34運用四氯化矽氣體(SiCl 4)與溴化氫氣體(HBr)的混合氣體,作為保護膜形成用氣體35則將氯氣體(Cl 2)以規定的流量供給至處理室31。 Here, as an example, the case where the Si-based protective film 118 is formed on the mask 117 of the dense pattern 107 and the protective film 118 is not formed on the wide area 108 will be described. That is, the selective protective film deposition process of forming the protective film 118 only on the oxide film (SiO 2 ) which is the desired material ( 117 ) without forming the protective film 118 on Si will be described. The material of the cover 117 is SiO 2 and the material of the surface of the area 108 where the protective film is not formed is the pattern of Si, and the protective film 118 is only formed on the cover 117 , and no unnecessary protective film 118 is formed in the wide area 108 . situation. Here, as an example, a mixed gas of silicon tetrachloride gas (SiCl 4 ) and hydrogen bromide gas (HBr) is used as the protective film forming gas 34 , and chlorine gas (Cl 2 ) is used as the protective film forming gas 35 . A predetermined flow rate is supplied to the processing chamber 31 .

圖7(a)中,示意對SiCl 4與HBr的混合氣體加入Cl 2而形成了保護膜118時,Cl 2流量所造成的形成於Si上及SiO 2上的保護膜118的膜厚(保護膜厚)的變化的一例。線110示意Cl 2流量所造成的SiO 2上的保護膜厚的變化,線111示意Cl 2流量所造成的Si上的保護膜厚的變化。吾人發現,當Cl 2流量少的情形下,形成於Si上與SiO 2上的保護膜118的厚度沒有差異,但若使Cl 2流量增加至一定值以上,則存在著僅在SiO 2上形成保護膜118而在Si上則不會形成之條件。也就是說,發現了保護膜118可在SiO 2上選擇性地沉積。圖7(b)中,示意僅在SiO 2上形成保護膜118而在Si上則不會形成之一條件下,保護膜厚的堆積工程的處理時間相依性。線112示意SiO 2上的保護膜厚的處理時間變化,線113示意Si上的保護膜厚的處理時間變化。得知若處理時間成為某一定時間以上,則在SiO 2上及Si上都會形成保護膜118,但若為一定時間以下,則僅會在SiO 2上形成保護膜118,能夠在材料選擇性地形成保護膜118。 In FIG. 7(a), when Cl 2 is added to the mixed gas of SiCl 4 and HBr to form the protective film 118, the film thickness of the protective film 118 formed on Si and SiO 2 caused by the Cl 2 flow rate (protection An example of changes in film thickness). Line 110 shows the change in the thickness of the protective film on SiO 2 caused by the flow of Cl 2 , and line 111 shows the change of the thickness of the protective film on Si caused by the flow of Cl 2 . We found that when the Cl 2 flow rate is low, the thickness of the protective film 118 formed on Si and SiO 2 is not different, but if the Cl 2 flow rate is increased to a certain value or more, there is a possibility that the protective film 118 is formed only on SiO 2 . The protective film 118 is not formed on Si. That is, it was found that the protective film 118 can be selectively deposited on SiO 2 . In FIG. 7( b ), the processing time dependence of the deposition process of the protective film thickness under the condition that the protective film 118 is formed only on SiO 2 and not formed on Si is shown. Line 112 shows the processing time variation of the protective film thickness on SiO 2 , and line 113 shows the processing time variation of the protective film thickness on Si. It is found that if the processing time is longer than a certain time, the protective film 118 will be formed on both SiO 2 and Si, but if the treatment time is shorter than a certain time, the protective film 118 will be formed only on SiO 2 , so that the material can be selectively A protective film 118 is formed.

保護膜形成用氣體34,除上述說明的以外,例如當使容易堆積於圖樣材料上的氣體例如Si或SiO 2等的含Si的膜堆積作為保護膜118的情形下,係運用SiCl 4、或是SiF 4或SiH 4等的Si系氣體。當使SiO 2堆積作為保護膜118的情形下,例如運用SiF 4、或是SiCl 4等的Si系氣體與O 2,CO 2,N 2等的氣體,及Ar,He等的混合氣體。當使Si堆積作為保護膜118的情形下,例如運用SiH 4,SiF 4、或是SiCl 4等的Si系氣體與H 2,HBr,NH 3,CH 3F等的氣體,及Ar,He等的混合氣體。當使SiN堆積作為保護膜118的情形下,例如作為氣體運用SiF 4、或是SiCl 4等的Si系氣體與N 2,NF 3等的氣體,及H 2,Ar,He等的混合氣體。作為保護膜形成用氣體35,係運用帶有除去含Si的堆積膜之性質的氣體,例如Cl 2、或是CF 4等的氟碳氣體、CHF 3等的氫氟碳氣體、NF 3等的氣體、及Ar,He,O 2、CO 2等的混合氣體。 The protective film-forming gas 34, in addition to the above-mentioned description, is used, for example, in the case of depositing a Si-containing film such as Si or SiO2 , which is easily deposited on the pattern material, as the protective film 118, SiCl4, or It is a Si - based gas such as SiF4 or SiH4 . When SiO 2 is deposited as the protective film 118 , for example, SiF 4 or a mixed gas of Si-based gas such as SiCl 4 , gas such as O 2 , CO 2 , N 2 , or Ar, He or the like is used. In the case of depositing Si as the protective film 118, for example, Si-based gas such as SiH 4 , SiF 4 , or SiCl 4 , gas such as H 2 , HBr, NH 3 , CH 3 F, etc., and Ar, He, etc. are used. gas mixture. When depositing SiN as the protective film 118, for example, SiF4, or a mixed gas of Si - based gas such as SiCl4 , N2 , NF3, or the like, and H2 , Ar, He, or the like are used as the gas. As the protective film forming gas 35, a gas having the property of removing a deposited film containing Si, such as Cl2, a fluorocarbon gas such as CF4 , a hydrofluorocarbon gas such as CHF3 , or a NF3 gas is used. gas, and a mixed gas of Ar, He, O 2 , CO 2 , etc.

此外,當使C系聚合物或CF系聚合物堆積作為保護膜118的情形下,保護膜形成用氣體34例如運用氟碳氣體、氫氟碳氣體、或是CH 4與Ar、He、Ne、Kr、Xe等的稀有氣體的混合氣體。保護膜形成用氣體35,運用O 2、CO 2、SO 2、CF 4、N 2、H 2、無水HF、CH 4、CHF 3、HBr、NF 3、SF 6等的混合氣體。 In addition, when depositing a C-based polymer or a CF-based polymer as the protective film 118, the protective film-forming gas 34 uses, for example, a fluorocarbon gas, a hydrofluorocarbon gas, or CH 4 with Ar, He, Ne, A mixed gas of noble gases such as Kr and Xe. As the gas 35 for forming the protective film, a mixed gas of O 2 , CO 2 , SO 2 , CF 4 , N 2 , H 2 , anhydrous HF, CH 4 , CHF 3 , HBr, NF 3 , and SF 6 is used.

此外,當使BCl,BN,BO,BC等堆積作為保護膜118的情形下,保護膜形成用氣體34例如運用BCl 3等與Ar、He、Ne、Kr、Xe等的稀有氣體的混合氣體。保護膜形成用氣體35,例如運用Cl 2、O 2、CO 2、CF 4、N 2、H 2、無水HF、CH 4、CHF 3、HBr、NF 3、SF 6等的混合氣體。 In addition, when BCl, BN, BO, BC, etc. are deposited as the protective film 118, the protective film forming gas 34 uses, for example, a mixed gas of BCl 3 or the like and a rare gas such as Ar, He, Ne, Kr, Xe, or the like. As the protective film forming gas 35, for example, a mixed gas of Cl 2 , O 2 , CO 2 , CF 4 , N 2 , H 2 , anhydrous HF, CH 4 , CHF 3 , HBr, NF 3 , and SF 6 is used.

保護膜118,能夠對應於遮罩的非蝕刻層117、下層的被蝕刻層116的材料而使其選擇性地堆積。The protective film 118 can be selectively deposited in accordance with the materials of the non-etched layer 117 of the mask and the underlying etched layer 116 .

保護膜堆積工程(S205)之後,再度對圖樣上照射從光源56產生的入射光57,測定反射的反射光58的反射光譜(反射光譜測定:S206)。取得的反射光譜,如同初始光譜般被保存於記憶部50,被送至堆積工程控制部47內的判定部48。取得的反射的光譜,和資料庫49中事先保存的來自選擇性地使保護膜118堆積而成之參照用圖樣的反射光譜比較,基於該比較結果來判定保護膜118是否正在選擇性地堆積(S207)。又,判定部48中,從資料庫49中事先保存的來自參照圖樣的反射光譜與保護膜堆積後取得的反射光譜,能夠算出選擇性地堆積的保護膜118的厚度、及圖樣寬幅(尺寸)。After the protective film deposition process ( S205 ), the pattern is irradiated with the incident light 57 from the light source 56 again, and the reflection spectrum of the reflected light 58 is measured (reflection spectrum measurement: S206 ). The acquired reflection spectrum is stored in the memory unit 50 like the initial spectrum, and sent to the determination unit 48 in the deposition process control unit 47 . The acquired reflection spectrum is compared with the reflection spectrum from the reference pattern for selectively depositing the protective film 118 stored in advance in the database 49, and based on the comparison result, it is determined whether the protective film 118 is being selectively deposited ( S207). In addition, the determination unit 48 can calculate the thickness of the selectively deposited protective film 118 and the pattern width (size ).

圖8中,示意SiO 2系的保護膜118選擇性地堆積的情形,與齊一地堆積的情形下的反射光譜的差異的一例。縱軸示意訊號強度,橫軸示意波長。在保護膜118選擇性地堆積的情形與齊一地堆積的情形下反射光譜會變化,因此藉由比較事先取得而保存於資料庫49的反射光譜與於選擇性的保護膜堆積工程(S205)後藉由反射光譜測定(S206)而取得的反射光譜,便能判定保護膜118已選擇性地堆積。或是,藉由和運用事先測定好的保護膜118的反射率而計算出的反射光譜比較,便能判定保護膜118已選擇性地堆積。 In FIG. 8, an example of the difference of the reflection spectrum in the case where the protective film 118 of SiO2 type|system|group is selectively deposited and the case where it is uniformly deposited is shown. The vertical axis represents the signal intensity, and the horizontal axis represents the wavelength. Since the reflection spectrum changes when the protective film 118 is selectively deposited and uniformly deposited, the reflection spectrum acquired in advance and stored in the database 49 is compared with the selective protective film deposition process ( S205 ) Then, it can be determined that the protective film 118 has been selectively deposited by the reflection spectrum obtained by the reflection spectrum measurement (S206). Alternatively, it can be determined that the protective film 118 is selectively deposited by comparing it with a reflection spectrum calculated using the reflectance of the protective film 118 measured in advance.

作為判定保護膜118選擇性地堆積的另一手法,亦能運用將藉由於選擇性的保護膜堆積工程(S205)後取得的反射光譜測定(S206)而取得的反射光譜,以藉由事先保存於記憶部50的選擇性的保護膜堆積工程(S205)的實施前的初始反射光譜測定(S201)取得的初始的反射光譜、或是以藉由進行了前處理(S202)後的反射光譜測定(S203)取得的潔淨的圖樣的反射光譜而予以標準化而成之光譜。藉此,便可減小由於在處理室31內生成的電漿等而窗62的表面狀態變化所造成之對於入射光57或反射光(干涉光)58的光譜變動的影響,而正確地判定。圖9中,示意針對將保護膜118選擇性地堆積的情形與將保護膜118齊一地堆積的情形,藉由選擇性的保護膜堆積工程(S205)實施前的初始反射光譜測定(S201)取得的初始光譜予以標準化而成之光譜。縱軸示意訊號強度比,橫軸示意波長。當使SiO 2系的保護膜118堆積的情形下,選擇性地堆積的情形與齊一地堆積的情形之訊號強度的差異,在波長200~500nm的範圍有較大的傾向。是故,藉由運用200~500nm的短波長的入射光57來取得反射光58,便能靈敏度良好地判定SiO 2系的保護膜118已經選擇性地堆積。例如,作為200~500nm的短波長的入射光57的光源56,能夠運用Xe燈等的發出紫外光(亦稱為紫外線)的紫外光源。 As another method for judging that the protective film 118 is selectively deposited, it is also possible to use the reflection spectrum acquired by the reflection spectrum measurement ( S206 ) acquired after the selective protective film deposition process ( S205 ), so as to store it in advance by storing it in advance. The initial reflection spectrum acquired by the initial reflection spectrum measurement ( S201 ) before the implementation of the selective protective film deposition process ( S205 ) of the memory section 50 or the reflection spectrum measurement after the preprocessing ( S202 ) is performed (S203) A spectrum obtained by normalizing the reflection spectrum of the clean pattern obtained. Thereby, the influence on the spectral variation of the incident light 57 or the reflected light (interference light) 58 due to the change in the surface state of the window 62 due to the plasma or the like generated in the processing chamber 31 can be reduced, and an accurate determination can be made. . In FIG. 9 , the initial reflection spectrum measurement ( S201 ) before the selective protective film deposition process ( S205 ) is illustrated for the case of selectively depositing the protective film 118 and the case of uniformly depositing the protective film 118 The spectrum obtained by normalizing the initial spectrum. The vertical axis represents the signal intensity ratio, and the horizontal axis represents the wavelength. When the SiO 2 -based protective film 118 is deposited, the difference in signal intensity between the selective deposition and the uniform deposition tends to be large in the wavelength range of 200 to 500 nm. Therefore, by obtaining the reflected light 58 using the incident light 57 having a short wavelength of 200 to 500 nm, it can be determined with high sensitivity that the SiO 2 -based protective film 118 has been selectively deposited. For example, as the light source 56 of the incident light 57 having a short wavelength of 200 to 500 nm, an ultraviolet light source that emits ultraviolet light (also referred to as ultraviolet light) such as an Xe lamp can be used.

圖10中,作為一例,示意將SiO 2系的保護膜118選擇性地堆積的情形與齊一地堆積的情形下,堆積處理時間所造成之特定的波長亦即波長270nm的訊號強度的變化。縱軸示意訊號強度比,橫軸示意堆積處理時間。訊號強度比,為將初始光譜的訊號強度予以標準化而成之值。例如,當以處理時間20秒形成了保護膜118的情形下,如圖10所示,設定用來判定已選擇性地形成了保護膜118之規定值1,藉此當實際測定出的訊號強度比比規定值1還大的情形下(規定值以上),便能判定保護膜118已選擇性地堆積。此處,規定值1,如圖10所示,被設定在處理時間20秒中,將保護膜118齊一地堆積的情形下的訊號強度比與將保護膜118選擇性地堆積的情形下的訊號強度比之間。例如,當將規定值1設定成訊號強度比3的情形下,當實際測定出的訊號強度比比規定值1還大的情形下,便能判定保護膜118已選擇性地堆積。 In FIG. 10 , as an example, the change in the signal intensity of a specific wavelength, that is, a wavelength of 270 nm, due to the deposition processing time is shown when the SiO 2 -based protective film 118 is selectively deposited and uniformly deposited. The vertical axis represents the signal intensity ratio, and the horizontal axis represents the stacking processing time. The signal intensity ratio is a value obtained by normalizing the signal intensity of the initial spectrum. For example, when the protective film 118 is formed with a processing time of 20 seconds, as shown in FIG. 10 , a predetermined value of 1 is set for determining that the protective film 118 has been selectively formed, whereby the signal strength actually measured When it is larger than the predetermined value 1 (more than the predetermined value), it can be determined that the protective film 118 is selectively deposited. Here, the predetermined value 1, as shown in FIG. 10 , is set in the processing time of 20 seconds, and the signal intensity ratio in the case where the protective films 118 are uniformly deposited and the signal intensity in the case where the protective films 118 are selectively deposited is set. between signal strength ratios. For example, when the predetermined value 1 is set to the signal strength ratio of 3, when the actually measured signal strength ratio is greater than the predetermined value 1, it can be determined that the protective film 118 is selectively deposited.

圖11中,作為另一例,示意將SiO 2系的保護膜118選擇性地堆積的情形與齊一地堆積的情形下,堆積處理時間所造成之特定的波長亦即波長390nm的訊號強度的變化。縱軸示意訊號強度比,橫軸示意堆積處理時間。訊號強度比,為將初始光譜的訊號強度予以標準化而成之值。例如,當以處理時間5秒形成了保護膜118的情形下,若將規定值2設定成訊號強度比1,則當實際測定出的訊號強度比比規定值2還大的情形下(規定值以上),便能判定保護膜118已選擇性地堆積。 In FIG. 11 , as another example, the change of the signal intensity of a specific wavelength, that is, the wavelength of 390 nm, which is caused by the deposition processing time, is shown in the case where the SiO 2 -based protective film 118 is selectively deposited and in the case where the protective film 118 is deposited uniformly. . The vertical axis represents the signal intensity ratio, and the horizontal axis represents the stacking processing time. The signal intensity ratio is a value obtained by normalizing the signal intensity of the initial spectrum. For example, when the protective film 118 is formed with a processing time of 5 seconds, if the predetermined value 2 is set as the signal strength ratio of 1, when the actually measured signal strength is greater than the predetermined value 2 (more than the predetermined value) ), it can be determined that the protective film 118 has been selectively deposited.

圖12中,作為另一例,示意當將SiO 2系的保護膜118選擇性地堆積的情形與齊一地堆積的情形下,堆積處理時間所造成之以初始光譜標準化而成之訊號強度比成為1的波長的變化。縱軸示意訊號強度比成為1的波長,橫軸示意堆積處理時間。例如,當以處理時間20秒形成了保護膜118的情形下,若將規定波長3設定成波長380nm,則當訊號強度比成為1的波長比規定波長3還大的情形下,便能判定保護膜118已選擇性地堆積。 In FIG. 12 , as another example, when the SiO 2 -based protective film 118 is selectively deposited and uniformly deposited, the signal intensity ratio normalized to the initial spectrum due to the deposition processing time is 1 change in wavelength. The vertical axis represents the wavelength at which the signal intensity ratio becomes 1, and the horizontal axis represents the stacking processing time. For example, when the protective film 118 is formed with a processing time of 20 seconds, if the predetermined wavelength 3 is set to a wavelength of 380 nm, the protection can be determined when the signal intensity is larger than the predetermined wavelength 3 at the wavelength that becomes 1. Membrane 118 has been selectively deposited.

此處,上述的規定值1、規定值2、規定波長3,可從來自事先保存於資料庫49的選擇性地使保護膜118堆積而成之參照用圖樣的初始光譜與反射光譜,而藉由判定部48設定。或是,亦可運用事先測定的圖樣的光學常數、及堆積膜的光學常數,以判定部48藉由計算求出初始光譜與反射光譜,而事先設定。Here, the above-mentioned predetermined value 1, predetermined value 2, and predetermined wavelength 3 can be obtained from the initial spectrum and reflection spectrum of the reference pattern obtained by selectively depositing the protective film 118 stored in the database 49 in advance. It is set by the determination unit 48 . Alternatively, the optical constant of the pattern and the optical constant of the deposited film measured in advance may be used, and the determination unit 48 may obtain the initial spectrum and the reflection spectrum by calculation, and set them in advance.

藉由上述的手法,S207中,當判定尚未選擇性地形成保護膜118的情形下(No),實施保護膜除去工程(S208)。一旦保護膜除去工程(S208)開始,則保護膜除去用氣體36以規定的流量供給至處理室31。被供給的保護膜除去用氣體36藉由施加於高頻施加部41之高頻電力52而成為電漿,被分解成離子或自由基,而被照射至晶圓100表面。By the above-described method, in S207, when it is determined that the protective film 118 has not been selectively formed (No), the protective film removal process is performed (S208). When the protective film removal process ( S208 ) starts, the protective film removal gas 36 is supplied to the processing chamber 31 at a predetermined flow rate. The supplied gas 36 for removing the protective film becomes plasma by the high-frequency power 52 applied to the high-frequency applying unit 41 , is decomposed into ions or radicals, and is irradiated to the surface of the wafer 100 .

一旦保護膜除去工程(S208)結束,再次取得作為參考的初始光譜(S201),實施前處理(S202)後,再次實施選擇性的保護膜堆積工程(S205)。此時,再次進行時的選擇性的保護膜堆積工程的條件,是基於保存於記憶部50的前次實施的情形下的保護膜堆積工程(S205)後的反射光譜的測定結果,而調整藉由判定部48修正的條件(保護膜堆積條件的調整:S209)。例如,當從前次實施時的保護膜堆積工程後的反射光譜,判定尚未選擇性地形成保護膜118的情形下,例如將保護膜堆積條件決定成使保護膜形成用氣體35亦即Cl 2流量增加恰好規定的量而成之條件,依該條件實施保護膜堆積工程(S205)。 Once the protective film removal process ( S208 ) is completed, the initial spectrum as a reference is acquired again ( S201 ), and after pretreatment ( S202 ) is performed, the selective protective film deposition process ( S205 ) is performed again. In this case, the condition of the selective protective film deposition process when re-executing is adjusted based on the measurement result of the reflection spectrum after the protective film deposition process ( S205 ) in the case of the previous execution stored in the memory unit 50 . Conditions corrected by the determination unit 48 (adjustment of protective film deposition conditions: S209 ). For example, when it is determined that the protective film 118 has not been selectively formed from the reflection spectrum after the protective film deposition process performed last time, for example, the protective film deposition conditions are determined such that the gas 35 for protective film formation, that is, the Cl 2 flow rate The protective film deposition process is carried out according to the condition of increasing the amount by a predetermined amount ( S205 ).

實施以上所述的處理,當判定保護膜118已選擇性地堆積的情形下(S207的Yes),實施保護膜118的膜質控制工程(S210)。膜質控制工程(S210),為將選擇性地堆積而成之保護膜118的膜質予以改質的工程。例如,當藉由保護膜堆積工程(S205)形成Si系保護膜作為保護膜118,而藉由下一工程亦即蝕刻工程(S111)將Si蝕刻的情形下,使保護膜118氧化而改質成SiO 2,有時較可能蝕刻成期望的圖樣形狀。這樣的情形下,膜質控制工程(S210)中會將O 2、及CO 2等的含O的混合氣體供給至處理室31。或是,當使保護膜118氮化而改質成Si 3N 4較可能蝕刻成期望的圖樣形狀的情形下,會將N 2、及NH 3等的含氮的混合氣體供給至處理室31。被供給的氣體藉由施加於高頻施加部41之高頻電力52而成為電漿,被分解成自由基、離子等,而被照射至晶圓100表面。 When it is determined that the protective film 118 has been selectively deposited by the above-mentioned processing (Yes in S207 ), the film quality control process of the protective film 118 is carried out ( S210 ). The film quality control process ( S210 ) is a process of modifying the film quality of the selectively deposited protective film 118 . For example, when a Si-based protective film is formed as the protective film 118 in the protective film deposition process ( S205 ), and Si is etched in the next process, that is, the etching process ( S111 ), the protective film 118 is oxidized and modified SiO 2 is sometimes more likely to be etched into the desired pattern shape. In such a case, in the membrane quality control process ( S210 ), an O-containing mixed gas such as O 2 and CO 2 is supplied to the processing chamber 31 . Alternatively, when the protective film 118 is nitrided and modified into Si 3 N 4 to be more likely to be etched into a desired pattern shape, a nitrogen-containing mixed gas such as N 2 and NH 3 is supplied to the processing chamber 31 . The supplied gas becomes plasma by the high-frequency power 52 applied to the high-frequency applying unit 41 , is decomposed into radicals, ions, and the like, and is irradiated to the surface of the wafer 100 .

一旦保護膜118的膜質控制工程(S210)結束,則以形成的保護膜118、及原本就形成於圖樣102的遮罩117作為蝕刻遮罩,將被蝕刻材料116蝕刻(S211)。Once the film quality control process ( S210 ) of the protective film 118 is completed, the formed protective film 118 and the mask 117 originally formed on the pattern 102 are used as etching masks to etch the etched material 116 ( S211 ).

蝕刻工程(S211)中,首先,藉由裝置控制部42控制氣體供給部33,將蝕刻用氣體36以規定的流量供給至處理室31。在蝕刻用氣體36被供給而處理室31的內部成為了規定的壓力的狀態下,藉由裝置控制部42控制高頻電源37,對高頻施加部41施加高頻電力52,使處理室31的內部產生蝕刻用氣體36所造成之電漿。In the etching process ( S211 ), first, the gas supply unit 33 is controlled by the apparatus control unit 42 to supply the etching gas 36 to the processing chamber 31 at a predetermined flow rate. In a state where the etching gas 36 is supplied and the inside of the processing chamber 31 is at a predetermined pressure, the high-frequency power supply 37 is controlled by the apparatus control unit 42 to apply the high-frequency power 52 to the high-frequency applying unit 41 to cause the processing chamber 31 The plasma generated by the etching gas 36 is generated inside.

藉由此在處理室31的內部產生的蝕刻用氣體36的電漿,進行形成有保護膜118之晶圓100的蝕刻處理。一面進行此蝕刻處理,一面藉由光學系統38測定保護膜118的膜厚,測定保護膜118的膜厚直到晶圓100上的圖樣(被蝕刻材料116)被蝕刻至期望的深度(S212),在到達了規定的蝕刻的處理時間或期望的深度的時間點結束蝕刻(S213)。The etching process of the wafer 100 having the protective film 118 formed thereon is performed by the plasma of the etching gas 36 generated in the processing chamber 31 . While performing this etching process, the film thickness of the protective film 118 is measured by the optical system 38, and the film thickness of the protective film 118 is measured until the pattern (the material to be etched 116) on the wafer 100 is etched to a desired depth (S212), The etching is ended when the predetermined etching processing time or the desired depth is reached ( S213 ).

此處,有時在到達蝕刻期望的蝕刻深度之前,保護膜118的厚度就成為規定值以下。這樣的情形下(S212中No的情形下),回到選擇性的保護膜堆積工程(S205),從保護膜118的堆積工程再度開始,再次實施選擇性的保護膜118的堆積直到達規定的膜厚。如前述般,反覆S205至S212,反覆直到晶圓100上的圖樣(被蝕刻材料116)被蝕刻至規定的深度。S212中,在蝕刻深度到達了規定的深度為止的時間點(Yes),結束蝕刻(S213)。又,將圖樣蝕刻後,能夠除去堆積於圖樣表面的保護膜118。能夠僅除去保護膜118,當在遮罩117材料上形成有保護膜118的情形下亦可和遮罩117材料同時地除去殘留於遮罩表面上的保護膜118。Here, the thickness of the protective film 118 may be equal to or less than a predetermined value before reaching a desired etching depth for etching. In such a case (in the case of No in S212 ), the process returns to the selective protective film deposition process ( S205 ), starts the deposition process of the protective film 118 again, and executes the selective deposition of the protective film 118 again until a predetermined amount is reached. film thickness. As described above, S205 to S212 are repeated until the pattern on the wafer 100 (the material to be etched 116 ) is etched to a predetermined depth. In S212, when the etching depth reaches a predetermined depth (Yes), the etching is terminated (S213). In addition, after the pattern is etched, the protective film 118 deposited on the surface of the pattern can be removed. Only the protective film 118 can be removed, and when the protective film 118 is formed on the mask 117 material, the protective film 118 remaining on the mask surface can also be removed simultaneously with the mask 117 material.

藉由對晶圓100施以這樣的電漿處理,便可僅在圖樣的遮罩上面117形成保護膜118,而不會在沒有圖樣之區域108形成不需要的保護膜118。遮罩上面117被蝕刻導致圖樣的深度變淺這樣的習知技術的待解問題、或在蝕刻下層的被蝕刻層116的期間導致遮罩上面117被蝕刻這樣的習知的待解問題得以解決,而能夠在晶圓100上得到期望的圖樣形狀。By subjecting the wafer 100 to such plasma treatment, the protective film 118 can be formed only on the mask top 117 of the pattern, and unnecessary protective film 118 is not formed on the area 108 without the pattern. The unsolved problem of the prior art that the mask top surface 117 is etched to cause the depth of the pattern to become shallow, or the conventional unsolved problem that the mask top surface 117 is etched during the etching of the underlying etched layer 116 is solved , and a desired pattern shape can be obtained on the wafer 100 .

另,上述實施例中講述了當作為被蝕刻圖樣形成有遮罩117、下層的被蝕刻層116,而遮罩圖樣混雜著圖樣密集之區域107與沒有圖樣之區域108的情形下,在密集圖樣107上的遮罩117的材料上選擇性地形保護膜118而不沒有圖樣之區域108的被蝕刻材料上形成不需要的保護膜,來抑制遮罩117的蝕刻,將被蝕刻圖樣116以高選擇比加工的手法。In addition, in the above-mentioned embodiment, when the mask 117 and the underlying etched layer 116 are formed as the pattern to be etched, and the mask pattern is mixed with the area 107 with dense pattern and the area 108 without pattern, the dense pattern The protective film 118 is selectively formed on the material of the mask 117 on the 107, but an unnecessary protective film is formed on the etched material of the area 108 without the pattern to suppress the etching of the mask 117, and the pattern 116 to be etched is highly selective. than the processing method.

圖13中,示意運用本實施例之保護膜形成手法而可蝕刻的圖樣的另一例。被蝕刻圖樣中,形成有遮罩150A、及150B、下層的被蝕刻層151,在被蝕刻層151的一部分形成有不蝕刻的圖樣152,遮罩圖樣中混雜著圖樣密集之區域107與沒有圖樣之區域108。當蝕刻被蝕刻材料153而不蝕刻圖樣152的情形下,在圖樣152材料上選擇性地形成保護膜101是有效的方式。當不做選擇性地堆積的情形下,在遮罩150B上的沒有圖樣之區域108及遮罩150A之區域都會形成厚的保護膜,但藉由在圖樣152材料上選擇性地形成保護膜101,便不會在遮罩150A、及遮罩150B上、及被蝕刻材料上153上堆積不需要的保護膜,而僅在圖樣152上形成保護膜101,而能夠將被蝕刻圖樣加工。圖13中,154為阻擋層,155為層間絕緣膜。In FIG. 13, another example of the pattern which can be etched using the protective film formation method of this embodiment is shown. In the etched pattern, masks 150A and 150B, the lower etched layer 151 are formed, and a part of the etched layer 151 is formed with a pattern 152 that is not etched, and the mask pattern is mixed with densely patterned regions 107 and no patterns. area 108. In the case where the material to be etched 153 is etched without etching the pattern 152, it is an effective way to selectively form the protective film 101 on the material of the pattern 152. Without selective deposition, a thick protective film is formed on the mask 150B in the unpatterned region 108 and in the mask 150A region, but by selectively forming the protective film 101 on the pattern 152 material Therefore, unnecessary protective films are not deposited on the mask 150A, the mask 150B, and the etched material 153, but the protective film 101 is formed only on the pattern 152, and the etched pattern can be processed. In FIG. 13, 154 is a barrier layer, and 155 is an interlayer insulating film.

圖14中,示意在材料選擇性地形成保護膜之方法的另一製程流程的一例的圖。本製程流程,係在反覆做選擇性的保護膜堆積工程(S205)與前處理(S202),藉此選擇性地形成較厚的保護膜的情形下實施。這是由於如圖7(b)所示,若將保護膜堆積工程(S205)實施某一定時間以上,則材料選擇性會喪失,因此會以選擇性不會喪失之方式預先設定處理時間,於保護膜堆積工程(S205)後再度進行前處理(S202),來確保藉由初始的表面的材料而產生之選擇性。實施選擇性的保護膜堆積工程後(S205),如前述般,測定反射光譜(S206)、比較反射光譜與事先保存的來自參照用圖樣的反射光譜,而判定是否正在選擇性地形成保護膜(S207)。又,判定部48中,從資料庫49中事先保存的來自參照圖樣的反射光譜與保護膜形成後取得的反射光譜,算出選擇性地形成的保護膜的厚度、及圖樣寬幅(尺寸)(S214)。此處,當保護膜的厚度尚未達規定的膜厚的情形下(No),再次實施前處理(S202)。藉此,不形成保護膜的材料之上會成為潔淨。另一方面,在形成有保護膜的材料上,必須設定處理時間等的處理條件,以免表面即使進行前處理仍無法恢復初始狀態。反覆S202至S214直到保護膜成為規定的膜厚,便能選擇性地形成厚的保護膜。圖15中,示意反覆次數(循環數)所造成之在Si上、及SiO 2上堆積的保護膜厚的變化。藉由本手法,能夠確認到在Si上不會形成保護膜,而能夠僅在SiO 2上形成厚的保護膜。 FIG. 14 is a diagram showing an example of another process flow of a method of selectively forming a protective film on a material. The process flow is performed under the condition that the selective protective film deposition process ( S205 ) and the pretreatment ( S202 ) are repeatedly performed, thereby selectively forming a thicker protective film. This is because, as shown in FIG. 7(b), if the protective film deposition process (S205) is carried out for a certain period of time or longer, the material selectivity will be lost, so the processing time is set in advance so that the selectivity will not be lost. After the protective film deposition process ( S205 ), the pretreatment ( S202 ) is performed again to ensure the selectivity due to the material of the original surface. After the selective protective film deposition process (S205) is performed, as described above, the reflection spectrum is measured (S206), the reflection spectrum is compared with the reflection spectrum from the reference pattern saved in advance, and it is determined whether or not the protective film is being selectively formed (S206). S207). In addition, the determination unit 48 calculates the thickness of the selectively formed protective film and the pattern width (size) ( S214). Here, when the thickness of the protective film has not reached the predetermined film thickness (No), the pretreatment is performed again ( S202 ). Thereby, the material on which the protective film is not formed becomes clean. On the other hand, on the material on which the protective film is formed, treatment conditions such as treatment time must be set so that the surface cannot be restored to its original state even if the surface is pretreated. By repeating S202 to S214 until the protective film has a predetermined thickness, a thick protective film can be selectively formed. In FIG. 15, the change of the thickness of the protective film deposited on Si and SiO2 by the number of repetitions (cycle number) is shown. By this method, it was confirmed that a protective film was not formed on Si, but a thick protective film could be formed only on SiO 2 .

針對實施例之電漿處理裝置總結如以下。The plasma processing apparatus for the embodiment is summarized as follows.

本發明之電漿處理裝置(30),構成為具備:處理室(31),具備載置形成有圖樣的試料(100)之試料台(32);及氣體供給部(33),對處理室(31)的內部切換供給複數個處理氣體(34、35、36、37);及電漿產生部(40、41、45、52),使得藉由氣體供給部(33)而被供給至處理室(31)的內部的處理氣體的電漿產生;及光學系統(38),對被載置於試料台(32)的試料(100)照射光而檢測來自試料(100)的干涉光所造成之光譜;及控制部(42),控制氣體供給部(33)與電漿產生部(40、41、45、52)與光學系統(38)。The plasma processing apparatus (30) of the present invention is configured to include: a processing chamber (31) having a sample stage (32) on which a sample (100) having a pattern formed thereon is placed; The interior of (31) is switched to supply a plurality of process gases (34, 35, 36, 37); and the plasma generating section (40, 41, 45, 52) so as to be supplied to the process by the gas supply section (33) Plasma generation of the processing gas inside the chamber (31); and an optical system (38) for irradiating light to the sample (100) placed on the sample stage (32) and detecting interference light from the sample (100) and a control unit (42), which controls the gas supply unit (33), the plasma generation unit (40, 41, 45, 52) and the optical system (38).

控制部(42),控制氣體供給部(33)而在對處理室(31)的內部供給了保護膜形成用的氣體(34、35)的狀態下,控制電漿產生部(40、41、45、52)而在被載置於試料台(32)的試料(100)的表面形成保護膜(101、118),又,比較取得的干涉光的光譜與事先取得的參照光譜,判定保護膜(101、118)已依附於形成圖樣(102、117)的材料而選擇性地形成。The control unit (42) controls the gas supply unit (33) to control the plasma generation unit (40, 41, 45, 52) to form a protective film (101, 118) on the surface of the sample (100) placed on the sample stage (32), and compare the obtained spectrum of the interference light with the reference spectrum obtained in advance to determine the protective film (101, 118) have been selectively formed depending on the material forming the pattern (102, 117).

控制部(42),又設計成,控制氣體供給部(33)而在供給至處理室(31)的內部的氣體切換至蝕刻用的氣體(37)的狀態下,控制電漿產生部(40、41、45、52)而將在被載置於試料台(32)的表面形成有保護膜(101、118)之試料(100)做蝕刻處理。The control unit (42) is further designed to control the gas supply unit (33) to control the plasma generation unit (40) in a state where the gas supplied to the interior of the processing chamber (31) is switched to the etching gas (37) , 41, 45, 52), and etching the sample (100) having protective films (101, 118) formed on the surface of the sample table (32).

此外,針對實施例之電漿處理裝置總結則亦能做以下敍述。In addition, the summary of the plasma processing apparatus of the embodiment can also be described as follows.

電漿處理裝置(30),具備供試料(100)受到電漿處理之處理室(31)、及供給用來生成電漿的高頻電力之高頻電源(63)、及供試料(100)載置之試料台(32)。電漿處理裝置(30),更具備:控制裝置(42),運用藉由對試料(100)照射紫外線而從試料(100)反射的干涉光(58),計測在試料(100)的期望的材料選擇性地形成的保護膜(118)的厚度,或運用藉由對試料(100)照射紫外線而從試料(100)反射的干涉光(58)而判斷保護膜(118)的選擇性。A plasma processing apparatus (30) comprising a processing chamber (31) for subjecting a sample (100) to plasma processing, a high-frequency power supply (63) for supplying high-frequency power for generating plasma, and a sample (100) The sample table (32) placed thereon. The plasma processing apparatus (30) further includes: a control device (42) for measuring a desired level of the sample (100) by using interference light (58) reflected from the sample (100) by irradiating the sample (100) with ultraviolet rays The selectivity of the protective film (118) is determined by the thickness of the protective film (118) formed selectively by the material, or using the interference light (58) reflected from the sample (100) by irradiating the sample (100) with ultraviolet rays.

控制裝置(42),基於受監控的干涉光(58)的光譜與當形成有保護膜(118)的情形下的事先取得的干涉光(58)的光譜之比較結果,來計測保護膜(118)的厚度或判斷保護膜(118)的選擇性。The control device (42) measures the protective film (118) based on the result of comparing the spectrum of the monitored interference light (58) with the spectrum of the interference light (58) obtained in advance when the protective film (118) is formed ) thickness or to judge the selectivity of the protective film (118).

此處,受監控的干涉光(58)的光譜及事先取得的干涉光(58)的光譜,可藉由未受到電漿處理的試料(100)的干涉光(58)的光譜(初始光譜)而予以標準化。控制裝置(42),當受監控的干涉光(58)的光譜的被標準化而成之光譜比規定值還大的情形下,判定保護膜(118)已在試料(100)的期望的材料(117)選擇性地形成。Here, the spectrum of the interference light (58) to be monitored and the spectrum of the interference light (58) acquired in advance can be obtained from the spectrum (initial spectrum) of the interference light (58) of the sample (100) not subjected to plasma treatment. be standardized. The control device (42) determines that the protective film (118) is in the desired material ( 117) selectively formed.

針對實施例之電漿處理方法總結如以下。 本發明之電漿處理方法中,首先,設立一進行前處理工程(S202)之手段,其用來除去設置於試料台(32)的試料(100)上形成的自然氧化膜等,而進行圖樣(102、117)的表面的潔淨化。又,在運用電漿將試料(100)做蝕刻處理之電漿處理方法中,設立一手段,其用來將用以對圖樣(102、117)材料選擇性地形成保護膜(101、118)之保護膜形成用氣體(34,35)供給至處理室(31)。作為用以對圖樣(102、117)材料選擇性地形成保護膜(101、118)之手段,係設計成包含下述工程來將試料(100)做蝕刻處理,即,藉由電漿產生手段(40、41、45、52)使處理室(31)的內部產生保護膜形成用氣體(34、35)的電漿,而在形成於載置於試料台(32)的試料(100)上的圖樣(102、117)的表面選擇性地使保護膜(101、118)堆積之工程(S205);及對處理室(31)供給蝕刻處理用氣體(37)而藉由電漿產生手段(40、41、45、52)使蝕刻處理用氣體(37)的電漿產生而將在圖樣(102、117)的表面形成了保護膜(101、118)的試料(100)做蝕刻處理,將溝的圖樣之間、及未形成有溝的圖樣之區域(108)的被蝕刻圖樣予以蝕刻除去之工程(S211)。 The plasma processing methods for the embodiments are summarized as follows. In the plasma treatment method of the present invention, first, a means for performing a pretreatment process (S202) is set up for removing the natural oxide film and the like formed on the sample (100) set on the sample stage (32), and performing patterning (102, 117) surface cleaning. Furthermore, in the plasma treatment method in which the sample (100) is etched with plasma, a means is established for selectively forming protective films (101, 118) for the pattern (102, 117) materials The protective film forming gas (34, 35) is supplied to the processing chamber (31). As means for selectively forming protective films (101, 118) on the pattern (102, 117) material, it is designed to include the following process for etching the sample (100), that is, by plasma generating means (40, 41, 45, 52) The plasma of the protective film forming gas (34, 35) is generated inside the processing chamber (31), and the plasma is formed on the sample (100) placed on the sample stage (32) The process (S205) of selectively depositing protective films (101, 118) on the surface of the pattern (102, 117) of the 40, 41, 45, 52) The sample (100) with the protective film (101, 118) formed on the surface of the pattern (102, 117) is subjected to the etching process by generating the plasma of the etching process gas (37), and the The process of etching and removing the etched pattern between the groove patterns and the region (108) where the groove pattern is not formed (S211).

又,作為控制在圖樣(102、117)表面選擇性地堆積保護膜(101、118)之工程(S205)的手段,係於保護膜堆積工程(S205)的前後對試料(100)照射光(57),檢測來自試料(100)的干涉光(58)所造成之光譜,而和當已選擇性地形成保護膜(101、118)的情形下事先取得的干涉光光譜比較,藉此判別是否已選擇性地形成有保護膜(101、118) (S207),當尚未選擇性地形成有保護膜(101、118)的情形下,設立一用來除去保護膜(101、118)的手段(S208)。又,設立一手段,其用來實施於調整後的保護膜堆積條件(S209)下,再度對處理室(31)供給用來選擇性地堆積保護膜(101、118)的保護膜形成用氣體(34、35),藉由電漿產生手段(40、41、45、52)使處理室(31)的內部產生保護膜形成用氣體(34、35)的電漿,而在形成於載置於試料台(32)的試料(100)上的圖樣(102、117)的表面選擇性地使保護膜(101、118)堆積之工程(S205)。In addition, as means for controlling the process (S205) of selectively depositing the protective films (101, 118) on the surfaces of the patterns (102, 117), the sample (100) is irradiated with light (S205) before and after the protective film deposition process (S205). 57), detect the spectrum caused by the interference light (58) from the sample (100), and compare it with the interference light spectrum obtained in advance when the protective film (101, 118) has been selectively formed, thereby judging whether it is not The protective film (101, 118) has been selectively formed (S207), and when the protective film (101, 118) has not been selectively formed, a means for removing the protective film (101, 118) is established ( S208). Furthermore, a means is provided for supplying a protective film forming gas for selectively depositing protective films (101, 118) to the processing chamber (31) again under the adjusted protective film deposition conditions (S209). (34, 35), by the plasma generating means (40, 41, 45, 52), the plasma of the protective film-forming gas (34, 35) is generated inside the processing chamber (31), and the plasma is formed on the placement A process of selectively depositing protective films (101, 118) on the surfaces of the patterns (102, 117) on the sample (100) of the sample stage (32) (S205).

又,為了蝕刻厚的膜,或加工具有高深寬比的圖樣的底,係設計成將使保護膜(101、118)選擇性地堆積之工程(S205)與蝕刻被蝕刻膜之工程(S211)訂為循環而反覆實施(S212)。In addition, in order to etch a thick film or process the bottom of a pattern with a high aspect ratio, the process of selectively depositing the protective films (101, 118) (S205) and the process of etching the etched film (S211) are designed. It is set as a cycle and iteratively executes (S212).

此外,針對實施例之電漿處理方法總結則亦能做以下敍述。In addition, a summary of the plasma processing method of the embodiment can also be described below.

係在期望的材料(117)選擇性地形成保護膜(101、108),藉此將被蝕刻膜(116)做電漿蝕刻之電漿處理方法,其中,運用四氯化矽氣體(SiCl 4)與溴化氫氣體(HBr)與氯氣體(Cl 2)而在期望的材料選擇性地形成保護膜(116)(S205:選擇性的保護膜堆積工程)。此處,期望的材料,為氧化膜(SiO 2)。 A plasma treatment method in which protective films (101, 108) are selectively formed on a desired material (117), whereby the etched film (116) is subjected to plasma etching, wherein silicon tetrachloride gas (SiCl4 ) is used. ), hydrogen bromide gas (HBr), and chlorine gas (Cl 2 ) to selectively form a protective film ( 116 ) on a desired material ( S205 : selective protective film deposition process). Here, the desired material is an oxide film (SiO 2 ).

此外,係在期望的材料(117)選擇性地形成保護膜(101、108),藉此將被蝕刻膜(116)做電漿蝕刻之電漿處理方法,其中,運用藉由對成膜有被蝕刻膜(116)的試料(100)照射紫外線而從試料(100)反射的干涉光(58)來計測保護膜(101、108)的厚度,或運用藉由對試料(100)照射紫外線而從試料(100)反射的干涉光(58)來判斷保護膜(101、108)的選擇性。In addition, a plasma treatment method in which protective films (101, 108) are selectively formed on a desired material (117), whereby the film to be etched (116) is subjected to plasma etching, in which a method by The thickness of the protective films (101, 108) is measured by irradiating the sample (100) of the etched film (116) with ultraviolet rays and the interference light (58) reflected from the sample (100), or by irradiating the sample (100) with ultraviolet rays. The selectivity of the protective films (101, 108) is judged from the interference light (58) reflected by the sample (100).

以上,雖已基於實施例具體地說明了藉由本發明者而創作之發明,但本發明並非限定於前述實施例,在不脫離其要旨的範圍內當然可做各種變更。例如,上述的實施例是為了簡單明瞭地敍述本發明而詳細說明,未必限定於具備上開說明之所有構成者。此外,針對各實施例的構成的一部分,可追加其他構成、刪除、置換。As mentioned above, although the invention made by the present inventors has been specifically described based on the embodiments, the present invention is not limited to the above-mentioned embodiments, and various modifications can be made without departing from the gist of the invention. For example, the above-mentioned embodiments are described in detail in order to describe the present invention simply and clearly, and are not necessarily limited to those having all the components described above. In addition, other structures may be added, deleted, or replaced with respect to a part of the structures of the respective embodiments.

30:蝕刻裝置 31:處理室 32:晶圓平台 33:氣體供給部 34:保護膜形成用氣體 35:保護膜形成用氣體 36:保護膜除去用氣體 37:蝕刻用氣體 38:光學系統 39:光學系統控制部 40:偏壓電源 41:高頻施加部 42:裝置控制部 43:氣體控制部 44:排氣系統控制部 45:高頻控制部 46:偏壓控制部 47:堆積工程控制部 48:判定部 49:資料庫 50:記憶部 51:時鐘 52:高頻電力 54:控制訊號 56:光源 57:入射光 58:反射光 59:檢測器 60:光纖 61:分光器 62:窗 63:高頻電源 100:晶圓 101:保護膜 102:圖樣 103:基板 104:不需要的保護膜 106:不需要的保護膜 107:圖樣密集之區域 108:沒有圖樣之區域 109:沒有圖樣之區域的表面 115:基板 116:被蝕刻圖樣 117:遮罩 118:保護膜 110:Cl 2流量所造成之SiO 2上的保護膜厚的變化 111:Cl 2流量所造成之Si上的保護膜厚的變化 112:SiO 2上的保護膜厚的處理時間變化 113:Si上的保護膜厚的處理時間變化 120:堆積膜 121:圖樣上面 122:側面 30: Etching apparatus 31: Processing chamber 32: Wafer stage 33: Gas supply unit 34: Gas for forming protective film 35: Gas for forming protective film 36: Gas for removing protective film 37: Gas for etching 38: Optical system 39: Optical system control unit 40: Bias power supply 41: High frequency application unit 42: Device control unit 43: Gas control unit 44: Exhaust system control unit 45: High frequency control unit 46: Bias voltage control unit 47: Deposition process control unit 48: Determination unit 49: Database 50: Memory unit 51: Clock 52: High frequency power 54: Control signal 56: Light source 57: Incident light 58: Reflected light 59: Detector 60: Optical fiber 61: Spectrometer 62: Window 63 : High frequency power supply 100 : Wafer 101 : Protective film 102 : Pattern 103 : Substrate 104 : Unnecessary protective film 106 : Unnecessary protective film 107 : Area with dense pattern 108 : Area without pattern 109 : Area without pattern Surface 115: Substrate 116: Etched pattern 117: Mask 118: Protective film 110: Change in the thickness of the protective film on SiO2 caused by Cl2 flow 111: Thickness of the protective film on Si caused by Cl2 flow Variation 112: Processing time variation of protective film thickness on SiO 2 113: Processing time variation of protective film thickness on Si 120: Deposited film 121: Pattern top 122: Side

[圖1]示意本發明之電漿處理裝置的一例的全體圖。 [圖2]用來說明習知方法之待解問題的說明圖。 [圖3]用來說明另一習知方法之待解問題的說明圖。 [圖4]實施例之保護膜形成方法的說明圖。 [圖5]示意實施例之保護膜形成方法的製程流程的一例的圖。 [圖6]說明實施例之保護膜形成方法的製程流程的一例的圖樣截面圖。 [圖7]在SiO 2上選擇性地形成保護膜的情形的一例的說明圖。 [圖8]實施例之選擇性的保護膜形成判定方法的一例的說明圖。 [圖9]實施例之選擇性的保護膜形成判定方法的一例的說明圖。 [圖10]實施例之選擇性的保護膜形成判定方法的一例的說明圖。 [圖11]實施例之選擇性的保護膜形成判定方法的另一例的說明圖。 [圖12]實施例之選擇性的保護膜形成判定方法的另一例的說明圖。 [圖13]適用本發明之另一圖樣的例的說明圖。 [圖14]示意按照實施例的循環處理之方法的製程流程的一例的圖。 [圖15]實施例之循環處理方法的說明圖。 1 is a general view showing an example of the plasma processing apparatus of the present invention. [FIG. 2] An explanatory diagram for explaining the problem to be solved by the conventional method. [FIG. 3] An explanatory diagram for explaining a problem to be solved by another conventional method. [ Fig. 4] Fig. 4 is an explanatory diagram of a method for forming a protective film according to an example. [ Fig. 5] Fig. 5 is a diagram showing an example of a process flow of the protective film forming method of the embodiment. [ Fig. 6] Fig. 6 is a pattern cross-sectional view illustrating an example of a process flow of the protective film forming method of the embodiment. [ Fig. 7] Fig. 7 is an explanatory diagram of an example of a case where a protective film is selectively formed on SiO 2 . [ Fig. 8] Fig. 8 is an explanatory diagram of an example of a method for determining the selective protective film formation in the embodiment. [ Fig. 9] Fig. 9 is an explanatory diagram of an example of a method for determining the selective protective film formation in the embodiment. [ Fig. 10] Fig. 10 is an explanatory diagram of an example of a method for determining the formation of a selective protective film according to the embodiment. [ Fig. 11] Fig. 11 is an explanatory diagram of another example of the method for determining the selective protective film formation according to the embodiment. [ Fig. 12] Fig. 12 is an explanatory diagram of another example of the method for determining the selective protective film formation in the embodiment. [ Fig. 13 ] An explanatory diagram of an example of another pattern to which the present invention is applied. [ Fig. 14] Fig. 14 is a diagram showing an example of a process flow of the method of the cycle processing according to the embodiment. [ Fig. 15] Fig. 15 is an explanatory diagram of the cycle processing method of the embodiment.

30:蝕刻裝置 30: Etching device

31:處理室 31: Processing Room

32:晶圓平台 32: Wafer Platform

33:氣體供給部 33: Gas supply part

34:保護膜形成用氣體 34: Gas for forming protective film

35:保護膜形成用氣體 35: Gas for forming protective film

36:保護膜除去用氣體 36: Gas for removing protective film

37:蝕刻用氣體 37: Etching gas

38:光學系統 38: Optical system

39:光學系統控制部 39: Optical system control section

40:偏壓電源 40: Bias power supply

41:高頻施加部 41: High frequency application part

42:裝置控制部 42: Device Control Department

43:氣體控制部 43: Gas Control Department

44:排氣系統控制部 44: Exhaust System Control Department

45:高頻控制部 45: High frequency control section

46:偏壓控制部 46: Bias Control Section

47:堆積工程控制部 47: Stacking Engineering Control Department

48:判定部 48: Judgment Department

49:資料庫 49:Database

50:記憶部 50: Memory Department

51:時鐘 51: Clock

52:高頻電力 52: High Frequency Power

53:偏壓 53: Bias

54:控制訊號 54: Control signal

56:光源 56: Light source

57:入射光 57: Incident Light

58:反射光 58: Reflected Light

59:檢測器 59: Detector

60:光纖 60: Fiber

61:分光器 61: Optical splitter

62:窗 62: Windows

63:高頻電源 63: High frequency power supply

100:晶圓 100: Wafer

Claims (7)

一種電漿處理裝置,係具備供試料受到電漿處理之處理室、及供給用來生成電漿的高頻電力之高頻電源、及供前述試料載置之試料台的電漿處理裝置,其特徵為, 更具備:控制裝置,運用藉由對前述試料照射紫外線而從前述試料反射的干涉光,來計測在前述試料的期望的材料選擇性地形成的保護膜的厚度,或 運用藉由對前述試料照射紫外線而從前述試料反射的干涉光,來判斷前述保護膜的選擇性。 A plasma processing apparatus comprising a processing chamber in which a sample is subjected to plasma processing, a high-frequency power supply for supplying high-frequency power for generating plasma, and a sample table on which the sample is placed, wherein is characterized by, further comprising: a control device for measuring the thickness of a protective film selectively formed on a desired material of the sample using interference light reflected from the sample by irradiating the sample with ultraviolet rays, or The selectivity of the protective film was determined using interference light reflected from the sample by irradiating the sample with ultraviolet rays. 如請求項1記載之電漿處理裝置,其中, 前述控制裝置,基於受監控的前述干涉光的光譜與當形成有前述保護膜的情形下的事先取得的前述干涉光的光譜之比較結果,來計測前述保護膜的厚度或判斷前述保護膜的選擇性。 The plasma processing apparatus according to claim 1, wherein, The control device measures the thickness of the protective film or determines the selection of the protective film based on the result of comparing the spectrum of the interference light to be monitored and the spectrum of the interference light obtained in advance when the protective film is formed sex. 如請求項2記載之電漿處理裝置,其中, 前述受監控的干涉光的光譜及前述事先取得的干涉光的光譜,藉由未做電漿處理之前述試料的前述干涉光的光譜而被標準化。 The plasma processing apparatus according to claim 2, wherein, The spectrum of the monitored interference light and the spectrum of the previously acquired interference light are normalized by the spectrum of the interference light of the sample without plasma treatment. 如請求項3記載之電漿處理裝置,其中, 前述控制裝置,當前述被標準化而受監控的干涉光的光譜比規定值還大的情形下,判定前述保護膜已在前述試料的期望的材料選擇性地形成。 The plasma processing apparatus according to claim 3, wherein, The control device determines that the protective film is selectively formed on a desired material of the sample when the spectrum of the normalized and monitored interference light is larger than a predetermined value. 一種電漿處理方法,係藉由在期望的材料選擇性地形成保護膜而將被蝕刻膜做電漿蝕刻之電漿處理方法,其特徵為, 運用四氯化矽氣體(SiCl 4)與溴化氫氣體(HBr)與氯氣體(Cl 2)而在期望的材料選擇性地形成保護膜。 A plasma processing method for plasma etching an etched film by selectively forming a protective film on a desired material, characterized by using silicon tetrachloride gas (SiCl 4 ) and bromine Hydrogen hydride gas (HBr) and chlorine gas (Cl 2 ) selectively form a protective film on a desired material. 如請求項5記載之電漿處理方法,其中, 前述期望的材料,為氧化膜(SiO 2)。 The plasma processing method according to claim 5, wherein the desired material is an oxide film (SiO 2 ). 一種電漿處理方法,係藉由在期望的材料選擇性地形成保護膜而將被蝕刻膜做電漿蝕刻之電漿處理方法,其特徵為, 運用藉由對成膜有前述被蝕刻膜的試料照射紫外線而從前述試料反射的干涉光,來計測前述保護膜的厚度,或 運用藉由對前述試料照射紫外線而從前述試料反射的干涉光,來判斷前述保護膜的選擇性。 A plasma treatment method for plasma etching an etched film by selectively forming a protective film on a desired material, characterized in that: The thickness of the protective film is measured by using the interference light reflected from the sample by irradiating ultraviolet rays to the sample on which the etched film is formed, or The selectivity of the protective film was determined using interference light reflected from the sample by irradiating the sample with ultraviolet rays.
TW110146899A 2020-12-16 2021-12-15 Plasma treatment device and plasma treatment method TWI809602B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
WOPCT/JP2020/046976 2020-12-16
PCT/JP2020/046976 WO2022130536A1 (en) 2020-12-16 2020-12-16 Plasma treatment apparatus and plasma treatment method

Publications (2)

Publication Number Publication Date
TW202226900A true TW202226900A (en) 2022-07-01
TWI809602B TWI809602B (en) 2023-07-21

Family

ID=82059268

Family Applications (2)

Application Number Title Priority Date Filing Date
TW112123319A TW202341819A (en) 2020-12-16 2021-12-15 Plasma treatment apparatus and plasma treatment method
TW110146899A TWI809602B (en) 2020-12-16 2021-12-15 Plasma treatment device and plasma treatment method

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW112123319A TW202341819A (en) 2020-12-16 2021-12-15 Plasma treatment apparatus and plasma treatment method

Country Status (6)

Country Link
US (1) US20240047239A1 (en)
JP (1) JP7254971B2 (en)
KR (1) KR20220088674A (en)
CN (1) CN114981932A (en)
TW (2) TW202341819A (en)
WO (1) WO2022130536A1 (en)

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6355581B1 (en) * 2000-02-23 2002-03-12 Chartered Semiconductor Manufacturing Ltd. Gas-phase additives for an enhancement of lateral etch component during high density plasma film deposition to improve film gap-fill capability
JP3901533B2 (en) 2002-02-04 2007-04-04 株式会社東芝 MONITORING METHOD, ETCHING METHOD, AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD
JP5404984B2 (en) * 2003-04-24 2014-02-05 東京エレクトロン株式会社 Plasma monitoring method, plasma monitoring apparatus, and plasma processing apparatus
JP6541618B2 (en) 2016-05-25 2019-07-10 東京エレクトロン株式会社 Method of processing an object
US11094535B2 (en) * 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
JP6820775B2 (en) * 2017-03-17 2021-01-27 株式会社日立ハイテク Etching method and plasma processing equipment
WO2020121540A1 (en) * 2019-02-04 2020-06-18 株式会社日立ハイテク Plasma processing method and plasma processing device

Also Published As

Publication number Publication date
CN114981932A (en) 2022-08-30
JP7254971B2 (en) 2023-04-10
JPWO2022130536A1 (en) 2022-06-23
TW202341819A (en) 2023-10-16
TWI809602B (en) 2023-07-21
KR20220088674A (en) 2022-06-28
WO2022130536A1 (en) 2022-06-23
US20240047239A1 (en) 2024-02-08

Similar Documents

Publication Publication Date Title
US9922839B2 (en) Low roughness EUV lithography
KR101046918B1 (en) Method and system for monitoring the etching process
US9165783B2 (en) Method of patterning a low-k dielectric film
US10665516B2 (en) Etching method and plasma processing apparatus
KR100702290B1 (en) Method of in-situ ashing and processing photoresist and etch residues
JP7144324B2 (en) Plasma treatment method
TWI732440B (en) Plasma processing method and plasma processing device
TWI809602B (en) Plasma treatment device and plasma treatment method
US7439068B2 (en) Plasma monitoring method, plasma processing method, method of manufacturing semiconductor device, and plasma processing system
US11978631B2 (en) Forming contact holes with controlled local critical dimension uniformity
WO2023166613A1 (en) Plasma processing method
US20180068908A1 (en) Smart in situ chamber clean
JPH0567590A (en) End point detecting method in etching of semiconductor device