TW202213787A - 具有氮化鉬金屬閘極及具有雙極層的閘極介電質之全繞式閘極積體電路結構的製造 - Google Patents

具有氮化鉬金屬閘極及具有雙極層的閘極介電質之全繞式閘極積體電路結構的製造 Download PDF

Info

Publication number
TW202213787A
TW202213787A TW110130121A TW110130121A TW202213787A TW 202213787 A TW202213787 A TW 202213787A TW 110130121 A TW110130121 A TW 110130121A TW 110130121 A TW110130121 A TW 110130121A TW 202213787 A TW202213787 A TW 202213787A
Authority
TW
Taiwan
Prior art keywords
gate
layer
integrated circuit
conductive layer
type conductive
Prior art date
Application number
TW110130121A
Other languages
English (en)
Inventor
丹尼爾 奧萊特
丹尼爾 奧布萊恩
傑佛瑞 萊布
歐布 阿克頓
盧卡斯 鮑加特
丹 拉夫里奇
達克斯 克倫
歐勒 果倫茲卡
塔何 甘尼
Original Assignee
美商英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾股份有限公司 filed Critical 美商英特爾股份有限公司
Publication of TW202213787A publication Critical patent/TW202213787A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/408Electrodes ; Multistep manufacturing processes therefor with an insulating layer with a particular dielectric or electrostatic property, e.g. with static charges or for controlling trapped charges or moving ions, or with a plate acting on the insulator potential or the insulator charges, e.g. for controlling charges effect or potential distribution in the insulating layer, or with a semi-insulating layer contacting directly the semiconductor surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Nanotechnology (AREA)
  • Composite Materials (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

敘述了具有氮化鉬金屬閘極及具有雙極層的閘極介電質之全繞式閘極積體電路結構。例如,積體電路結構包括水平奈米線之第一垂直配置、及水平奈米線之第二垂直配置。在該等水平奈米線之第一垂直配置之上的第一閘極堆疊,該第一閘極堆疊具有在第一閘極介電質上的P型導電層。該P型導電層包括鉬及氮。在該等水平奈米線之第二垂直配置之上的第二閘極堆疊,該第二閘極堆疊具有在第二閘極介電質上的N型導電層。

Description

具有氮化鉬金屬閘極及具有雙極層的閘極介電質之全繞式閘極積體電路結構的製造
本揭露之實施例係在積體電路結構及處理,並且更具體地係有關於具有氮化鉬金屬閘極及具有雙極層的閘極介電質之全繞式閘極積體電路結構。
在過去幾十年中,積體電路中的特徵的縮放一直是不斷增長半導體工業背後的驅動力。縮放到更小和更小的特徵使得能夠在半導體晶片的有限的面積上增加功能單元的密度。例如,縮小電晶體尺寸允許在晶片上結合更多數量的記憶體或邏輯裝置,藉此具有增加容量之產品的製造。然而,驅動越來越大的容量並不是沒有問題。優化每個裝置的性能的必要性變得越來越重要。
在積體電路裝置的製造中,隨著裝置尺寸的不斷縮小,多閘極電晶體(諸如,三閘極電晶體)變得越來越普遍。在習知製程中,三閘極電晶體通常製造在塊狀矽基材或絕緣體上矽基材。在一些實例中,塊狀矽基材係較佳地,這是因為它們的成本較低並且它們使三閘極製造製程較不複雜。在另一態樣中,隨著微電子裝置尺寸在10奈米(nm)節點以下,保持移動率增進和短通道控制為裝置製造提供了挑戰。用來製造裝置的奈米線提供改進的短通道控制。
然而,縮放多閘極及奈米線電晶體並非沒有後果。隨著微電子電路的這些基本構造塊的尺寸減小以及在給定區域中製造的基本構造塊的片體數量增加,用於圖案化這些構造塊的微影製程的限制條件變得不堪重負。具體地說,在半導體堆疊中圖案化之特徵的最小尺寸(臨界尺寸)與這些特徵之間的間距之間可能需要權衡。
敘述了具有氮化鉬金屬閘極及具有雙極層的閘極介電質之全繞式閘極積體電路結構。在下面的敘述中,闡述了許多具體細節,諸如具體集成和材料方案,以便提供對本發明之實施例的透徹理解。對本發明所屬領域之具有通常知識者,本發明的實施例可不用這些特定的細節可被實踐是顯而易見的。在其它實例中,眾所周知的特徵(諸如,積體電路設計佈局)沒有詳細的敘述,是為了避免模糊本發明之實施例。再者,應理解其圖式中所示之各個實施例為說明性表示並且沒必要按比例繪圖。
出於參考的目的某些用語亦可用於下面敘述,因此不旨在進行限制。例如,諸如「上」、「下」、「上方」、及「下方」的用語是指圖式中所參考的方向。諸如「前方」、「後方」、「後側」、「側方」等用語敘述在一致但任意的參考架構之組件之部分的取向及/或位置,這通過參考敘述所討論的組件的內文和相關附圖而顯而易見。這樣的用語可以包括以上具體提到的詞、其衍生詞以及類似含義的詞。
文中所述之實施例可以針對前段(front-end-of-line; FEOL)半導體處理和結構。FEOL係其中單一裝置(例如,電晶體、電容器、電阻器等等)係經圖案化在半導體基材或層中之積體電路(IC)製造的第一部分。FEOL通常覆蓋至多(但不包括)金屬互連層之沉積的所有物。在最後FEOL操作之後,其結果一般而言係具有隔離電晶體(例如,沒有任何線)的晶圓。
文中所述之實施例可以針對後段(back end of line; BEOL)半導體處理和結構。BEOL係其中單一裝置(例如,電晶體、電容器、電阻器等等)係以佈線在晶圓(例如,金屬化層、或多層)上互連之IC製造的第二部分。BEOL包括接觸、絕緣層(介電質)、金屬層級、用於晶片至封裝連接的接合部位。在製造階段接觸(墊)的BEOL部分中,形成互連線、通孔、及介電質結構。對於現代IC製程,可在BEOL中增加10個以上的金屬層。
下文敘述的實施例可施加至FEOL處理和結構、BEOL處理或結構、或FEOL和BEOL處理和結構兩者。具體而言,儘管例示性處理方案可使用FEOL處理情境繪示,此種方案亦可施加至BEOL處理。類似地,儘管例示性處理方案可使用BEOL處理情境繪示,此種方案亦可施加至FEOL處理。
本文敘述的一或多個實施例係涉及用於PMOS功函數金屬和完整CMOS金屬閘極填充物之兩次操作金屬化。一或多個實施例包括使用減去或添加金屬閘極流程製造的全繞式閘極裝置。應當理解到,除非另有說明,否則對奈米線的引用可以表示奈米線或奈米帶。
為了提供上下文,就成本和缺陷減少而言,邏輯電晶體的激進閘極長度(LG)縮放對於閘極金屬化來說是困難的。方案通常很複雜,需要多次通過複雜的工具以實現低缺陷密度,從而提高成本。已經使用原子層沉積(ALD)W及化學氣相沉積(CVD)W填充實現了PMOS功函數金屬及完整閘極填充的兩步驟金屬化。然而,這種方案有其自身相關的挑戰,因為ALD W使用基於鹵化物的前驅物,這會毒化裝置(例如,污染高k閘極介電質材料或閘極介電質的雙極層)。
在第一態樣中,自Intel開創性45奈米HiK金屬閘極製程以來,減去金屬閘極(SMG)流程一直是半導體工業的方案。在標準SMG流程中,功函數金屬(WFM)薄膜首先沉積在整個晶圓上,接著使用硬遮罩(HM)圖案化,然後使用等向性濕式蝕刻在OPEN圖案化區域中去除/減去。
作為參考,圖1A繪示具有使用減去金屬閘極(SMG)流程之金屬閘極之全繞式閘極積體電路結構的橫截面視圖。
參照圖1A,起始結構100包括在基材102之上的P型區域104及N型區域106。P型區域104包括由相應的閘極介電質112圍繞之複數個水平奈米線或奈米帶108。N型區域106包括由相應的閘極介電質114圍繞之複數個水平奈米線或奈米帶110。N型導電層118圍繞奈米線110。P型導電層116係形成在奈米線108之上,並可能在N型導電層118上。積體電路結構120可藉由在起始結構100上形成金屬閘極填充材料122來製造。
再次參考圖1A,使用原子層沉積(ALD)W襯墊來設定PMOS功函數並同時為所有閘極(NMOS和PMOS兩者)的後續化學氣相沉積(CVD)W填充提供襯墊層。事實證明,這種2步驟填充在很大程度上是目前最佳技術的成功。然而,已經觀察到來自ALD W襯墊層的氯(Cl)侵蝕會由於劇烈的基於鹵化物副反應而使裝置中毒。此外,由於ALD與CVD處理之間的中斷,可以在金屬閘極填充材料122與P型導電層116之間形成界面124,諸如氧化物界面。
與圖1A相比,圖1B繪示表示在製造根據本揭露之一實施例之具有氮化鉬金屬閘極及可能具有雙極層之閘極介電質之全繞式閘極積體電路結構之方法中各種操作的橫截面視圖。可以使用減去金屬閘極(SMG)流程。
參照圖1B,起始結構150包括在基材152之上的P型區域154及N型區域156。P型區域154包括由相應的閘極介電質162圍繞之複數個水平奈米線或奈米帶158。N型區域156包括由相應的閘極介電質164圍繞之複數個水平奈米線或奈米帶160。N型導電層168圍繞奈米線160。P型導電層166係形成在奈米線158之上,並可能在N型導電層168上。積體電路結構170可藉由在起始結構150上形成金屬閘極填充材料172來製造。
根據本揭露之一或多個實施例,處理方案涉及將襯墊層轉換為以金屬-有機前驅物沉積的ALD氮化鉬(MoN)襯墊。由於Mo與W位於同一行,因此MoN襯墊層可以充當成功的兩操作填充閘極的晶種層。因此,可以實施ALD MoN襯墊+CVD W填充來滿足以下所有要求:(1)只需兩次通過即可降低成本,(2)使用超薄層降低缺陷敏感性以獲得最佳填充,以及(3)無鹵化物前驅物以避免化學攻擊。
再次參照圖1B,積體電路結構170包括水平奈米線158之第一垂直配置、及水平奈米線160之第二垂直配置。第一閘極堆疊係在水平奈米線158之第一垂直配置之上,第一閘極堆疊具有在第一閘極介電質162上的P型導電層166。該P型導電層166包括鉬及氮。第二閘極堆疊係在水平奈米線160之第二垂直配置之上,該第二閘極堆疊具有在第二閘極介電質164上的N型導電層168。
在一實施例中,如圖所繪示,P型導電層166進一步在N型導電層168上。在其他實施例中,P型導電層166不在N型導電層168上,諸如圖2E之實例。
在一實施例中,積體電路結構170進一步包括在P型導電層166上的導電填充物172。在一實施例中,導電填充物172包括鎢。在一實施例中,導電填充物172具有與P型導電層166的直接界面174,如圖所繪示,例如,在處理期間沒有真空或腔室中斷的情況下形成。在另一實施例中,導電填充物172具有與P型導電層的氧化物界面,例如,在處理期間有真空或腔室中斷的情況下形成。
在一實施例中,P型導電層166具有大於80%之鉬及氮的原子濃度,鉬與氮的比例在6:1至1:1的範圍內。在一實施例中,P型導電層166包括具有在0-15%之範圍內之原子濃度的氧。在一實施例中,P型導電層166包括在0.1-10%之碳的原子濃度,例如,如使用金屬-有機物前驅物的結果。
在第二態樣中,敘述了用於實施添加金屬閘極(AMG)而不是減去金屬閘極(SMG)流程的方案。在AMG流程中,高溫硬遮罩(HTHM)首先沉積在整個晶圓上,然後進行HTHM固化退火和HTHM圖案化以在晶圓上OPEN及BLOCK特定區域。隨後,在露出的HiK之上的OPEN區域之頂部和HTHM之上的BLOCK區域之頂部沉積WFM層,這與金屬沉積製程的溫度相容。然後,常規HM係經沉積並在NR之上凹陷,從而露出凹陷的線之上的WFM層。然後實施濕式蝕刻以去除凹陷的線之上的WFM,而WFM包裹在OPEN區域中的NR係由常規HM保護。可以實施標準灰化以去除BLOCK之上的HTHM及OPEN區域中的常規HM兩者。因此,對於GAA,AMG流程省略了NR之間合併WFM之困難的等向性濕式蝕刻去除及其相關聯之大型WEB問題,這些問題係標準SMG流程的一部分。在一實施例中,AMG為GAA架構實現緊密N-P邊界及高電晶體密度。在一實施例中,在完成多VT圖案化製程之後,使用添加金屬閘極(AMG)製程流程作為金屬閘極之最終操作。AMG製程可用於N型功函數金屬(N-WFM)或P型功函數金屬(P-WFM)。在AMG完成後,N和P閘極都可隨後用相反極性之WFM毯式沉積,並用閘極填充金屬來填充。在N-P邊界處存在典型的N-WFM或P-WFM壁可能指示製程流程。
作為例示性製程流程,圖2A-2E繪示根據本揭露之另一實施例之製造具有氮化鉬金屬閘極之全繞式積體電路結構之方法中各種操作的橫截面視圖。
參照圖2A,製造積體電路結構之方法包括起始結構200,其包括在基材202之上的NMOS區域204及PMOS區域206。NMOS區域204包括第一複數個水平奈米線208(其可為奈米帶)。PMOS區域206包括第二複數個水平奈米線210(其可為奈米帶)。閘極介電質209圍繞第一複數個水平奈米線208之奈米線。第二閘極介電質層211圍繞第二複數個水平奈米線210之奈米線。NMOS區域204及PMOS區域206係包括在介電質層212中的溝槽中。在PMOS區域206中的第二複數個水平奈米線210係以阻隔層216覆蓋,留下在NMOS區域204中曝露的第一複數個水平奈米線208。N型導電層214係在NMOS區域204中並在覆蓋PMOS區域206之阻隔層216之上。N型導電層214包括圍繞第一複數個水平奈米線208之奈米線208的第一部分214A、沿著溝槽側壁的第二部分214B、及沿著覆蓋PMOS區域206之阻隔層216之側壁的第三部分214C。
參照圖2B,在NMOS區域204中的N型導電層214之上形成第二阻隔層218(藉由沉積和凹陷)。
參照圖2C,圖2B之結構經受濕式蝕刻(例如,沿著箭頭220之方向)以形成經蝕刻的N型導電層214’。濕式蝕刻製程留下圍繞第一複數個水平奈米線208之奈米線208之N型導電層214的第一部分214A作為剩餘,同時沿著溝槽側壁凹陷第二部分214B以形成凹陷的第二部分214B’,並沿著覆蓋PMOS區域206之阻隔層216之側壁凹陷第三部分214C以形成凹陷的第二部分214C’。
參照圖2D,在添加金屬流程中的濕式蝕刻之後,去除第一阻隔層216及第二阻隔層218(例如,在灰化製程中),在NMOS區域204和PMOS區域206之間具有清晰的區別222。
參照圖2E,接著可在圖2D之所得結構之上形成P型導電層224。亦可形成導電填充物226,如圖2E所示。應理解到PMOS(或P型)及NMOS(或N型)指定特徵可以分別顛倒為NMOS(或N型)和PMOS(或P型)指定特徵。
再次參照圖2E,根據本揭露之實施例,積體電路結構包括水平奈米線208之第一垂直配置、及水平奈米線210之第二垂直配置。第一閘極堆疊係在水平奈米線208之第一垂直配置(例如,在區域204)之上,第一閘極堆疊具有N型導電層214’,其中第一部分214A圍繞水平奈米線208之第一垂直配置之奈米線,以及第二部分214C’在第一部分214A旁邊橫向延伸並與其間隔開。N型導電層214’之第二部分214C’係在水平奈米線208之第一垂直配置與水平奈米線210之第二垂直配置之間。第二閘極堆疊係在水平奈米線210之第二垂直配置(例如,在區域206)之上,第二閘極堆疊具有P型導電層224,其中第一部分圍繞水平奈米線210之第二垂直配置之奈米線,以及第二部分與N型導電層214’之第二部分214C’相鄰並接觸。在一實施例中,P型和N型指定特徵分別被顛倒為N型和P型指定特徵。
在一實施例中,N型導電層214’具有大於80%之鉬及氮的原子濃度,鉬與氮的比例在6:1至1:1的範圍內。在一實施例中,N型導電層214’包括在0-15%之氧的原子濃度。在一實施例中,N型導電層214’包括在0.1-10%之碳的原子濃度。
在一實施例中,導電填充物226包括鎢。在一實施例中,導電填充物226具有與N型導電層214’的直接界面。在一實施例中,導電填充物226具有與N型導電層214’的氧化物界面。
在一實施例中,積體電路結構更包括在水平奈米線208之第一垂直配置的第一和第二端處的第一對磊晶源極或汲極結構、以及在水平奈米線210之第二垂直配置的第一和第二端處的第二對磊晶源極或汲極結構,其實例在下文更詳細的敘述。在一實施例中,第一對導電接觸係在第一對磊晶源極或汲極結構上,以及第二對導電接觸係在第二對磊晶源極或汲極結構上,其實例在下文更詳細的敘述。在一實施例中,第一和第二對磊晶源極或汲極結構係第一和第二對非分立磊晶源極或汲極結構,其實例在下文更詳細的敘述。在一實施例中,第一和第二對磊晶源極或汲極結構係第一和第二對分立磊晶源極或汲極結構,其實例在下文更詳細的敘述。
為了提供進一步的背景,雙極可用於設定臨限電壓並使功函數金屬層相對變薄。可以實施實施例以藉由使用雙極之薄層來設定臨限電壓(VT),從而取代在目前最佳技術的縮放裝置中使用的更厚的功函數金屬。實施例可提供多VT解決方案並且亦提供具有相對薄的功函數金屬的超低VT。
在另一例示性製造方案中,圖3根據本發明一實施例繪示閘極堆疊中的橫斷面視圖,其表示在製造具有用於調節閘極堆疊之臨限電壓之雙極層之積體電路結構的方法中的各種操作。
參照圖3之部分(i),製造積體電路結構之方法包括形成起始結構300,起始結構300包括在半導體通道結構302上之非晶氧化物層304(諸如,SiO 2層)。溝槽306(諸如,在置換閘極方案期間形成的溝槽)露出非晶氧化物層304。
參照圖3之部分(ii),在溝槽306中及非晶氧化物層304上形成高k介電質層308。
參照圖3之部分(iii),在溝槽306中及高k介電質層308上形成材料層310。
參照圖3之部分(iv),將材料層310及高k介電質層308退火,以在半導體通道結構302之上形成閘極介電質。閘極介電質包括在雙極材料層310A上的高k介電質層308。雙極材料層310A不同於高k介電質層308。
參照圖3之部分(v),在溝槽306中及高k介電質層308上形成功函數層312。功函數層312包括金屬。
參照圖3之部分(vi),藉由在功函數層312上形成閘極壓力源層314來形成閘極堆疊。
再次參照圖3,根據本揭露之一實施例,在前端流程中在間隔物形成及磊晶沉積之後開始高k金屬閘極製程。在金屬閘極迴路中,在濕式清潔期間形成化學氧化物304之層。該層亦可以或替代為熱成長,以改善界面品質。接著將具有較高介電常數之高k氧化物308之層沉積在下方化學氧化物層304上。接著藉由原子層沉積技術沉積雙極層310。然後閘極堆疊經受高退火溫度,在此期間雙極310擴散通過下方高介電率氧化物層308,以在高k 308/化學氧化物304界面處形成淨雙極310A。製程可理解為由於高k和化學氧化物層的電負性差異而受到影響。隨後,沉積功函數金屬312,接著是閘極壓力源314,以增加通道壓力。
再次參照圖3之部分(vi),根據本揭露之一實施例,積體電路結構包括含有單晶材料的半導體通道結構302。閘極介電質係在半導體通道結構302之上。閘極介電質包括在雙極材料層310A上的高k介電質層308。雙極材料層310A不同於高k介電質層308。閘極電極具有在高k介電質層308上的功函數層312。功函數層312包括金屬。如在下文之例示性實施例中所述,第一源極或汲極結構係在閘極電極的第一側,並且第二源極或汲極結構係在與第一側相對的閘極電極的第二側。
在一實施例中,高k介電質層308係HfO 2層。在一個此種實施例中,閘極電極係N型閘極電極,並且雙極層310A包括選自由La 2O 3、Y 2O 3、MgO、SrO、及Lu 2O 3所組成之群組的材料。在另一此種實施例中,閘極電極係P型閘極電極,並且雙極層310A包括選自由Al 2O 3,、TiO 2、ZrO 2、及HfO 2所組成之群組的材料。在一實施例中,雙極層310A具有在1-3埃之範圍的厚度。在一替代性實施例中,閘極電極係P型閘極電極,並且雙極層310A包括選自由La 2O 3、Y 2O 3、MgO、SrO、及Lu 2O 3所組成之群組的材料,或閘極電極係N型閘極電極,並且雙極層310A包括選自由Al 2O 3,、TiO 2、ZrO 2、及HfO 2所組成之群組的材料。
在一實施例中,閘極電極更包括在功函數層312上的閘極壓力源層314。在一個此種實施例中,閘極電極係N型閘極電極,並且閘極壓力源層314包括選自由W、Ti、Mn、Cr、及Al所組成之群組的金屬。在另一此種實施例中,閘極電極係P型閘極電極,並且閘極壓力源層314包括選自由Ti、Ta、Sn、及Zr所組成之群組的材料。
在一實施例中,閘極介電質更包括雙極材料層310A與半導體通道結構302之間的非晶氧化物層304。在一個此種實施例中,非晶氧化物層304係SiO 2層。
再次參照圖1B、2E、及3,積體電路結構包括水平奈米線之第一垂直配置、及水平奈米線之第二垂直配置。第一閘極堆疊係在水平奈米線之第一垂直配置之上,第一閘極堆疊具有在第一閘極介電質上的P型導電層,該第一閘極介電質包括在第一雙極材料層上的高k介電質層。第二閘極堆疊係在該等水平奈米線之第二垂直配置之上,該第二閘極堆疊具有在第二閘極介電質上的N型導電層,該第二閘極介電質包括在第二雙極材料層上的該高k介電質層。
在一實施例中,高k介電質層係HfO 2層。在一實施例中,第一雙極層包括選自Al 2O 3、TiO 2、ZrO 2、及HfO 2所組成之群組的材料,及該第二雙極層包括選自La 2O 3、Y 2O 3、MgO、SrO及Lu 2O 3所組成之群組的材料。在一實施例中,第一或第二雙極層中的一者具有在1-3埃範圍內的厚度。在一實施例中,第一或第二雙極層中的一者具有在4-6埃範圍內的厚度。
在一實施例中,P型導電層具有圍繞水平奈米線之第一垂直配置之奈米線的第一部分以及在第一部分旁橫向延伸並與第一部分間隔開的第二部分。P型導電層之第二部分係在水平奈米線之第一垂直配置與水平奈米線之第二垂直配置之間。N型導電層具有圍繞水平奈米線之第二垂直配置之奈米線的第一部分及相鄰於並且與P型導電層之第二部分接觸的第二部分。
在一實施例中,高k介電質層係HfO 2層。在一實施例中,導電層係P型導電層,並且第一和第二雙極層包括選自由Al 2O 3,、TiO 2、ZrO 2、及HfO 2所組成之群組的材料。在一實施例中,導電層係N型導電層,並且第一和第二雙極層包括選自由La 2O 3、Y 2O 3、MgO、SrO、及Lu 2O 3所組成之群組的材料。在一實施例中,第一雙極層具有在1-3埃範圍內的厚度,以及第二雙極層具有在4-6埃範圍內的厚度。
在一實施例中,P型導電層包括鉬及氮。在一實施例中,P型導電層具有大於80%之鉬及氮的原子濃度,鉬與氮的比例在6:1至1:1的範圍內。在一個此種實施例中,P型導電層包括具有在0-15%之範圍內之原子濃度的氧。在另一此種實施例中,P型導電層包括具有在0.1-10%之範圍內之原子濃度的碳。
在一實施例中,積體電路結構更包括在P型導電層上的導電填充物。在一實施例中,導電填充物包括鎢。在一個此種實施例中,導電填充物具有與P型導電層的直接界面。在另一此種實施例中,導電填充物具有與P型導電層的氧化物界面。
根據本揭露之一實施例,不同厚度之雙極層係用於調節臨限電壓並因此為縮放的邏輯電晶體提供多臨限電壓解決方案。應理解到本文敘述的實施例亦可包括其他實施方式,諸如具有各種寬度、厚度及/或包括但不限於Si和SiGe之材料的奈米線及/或奈米帶。例如,可使用III-V族材料。
應理解到,在一特定實施例中,奈米線或奈米帶、或犧牲中介層可由矽組成。如通篇所用,矽層可用於敘述由非常大量(如果不是全部)矽組成的矽材料。然而,應理解到,實際上100%純的Si可能難以形成,因此可能包含少量的碳、鍺或錫。此種雜質可能在Si沉積期間中作為不可避免的雜質或成分被包括在內,或者在沉積後處理期間中擴散時可能「污染」Si。如此一來,本文敘述之針對矽層之實施例可包括含有相對少量(例如,「雜質」層級)的非Si原子或物種(諸如,Ge、C或Sn)的矽層。應理解到如本文所敘述的矽層可以是無摻雜的或者可以摻雜有諸如硼、磷、或砷的摻雜劑。
應理解到,在一特定實施例中,奈米線或奈米帶、或犧牲中介層可由矽鍺組成。如通篇所用,矽鍺層可用於敘述由矽和鍺之實質部分組成的矽鍺材料,諸如兩者的至少5%。在一些實施例中,鍺的量大於矽的量。在特定實施例中,矽鍺層包括大約60%的鍺及大約40%的矽(Si 40Ge 60)。在一些實施例中,矽的量大於鍺的量。在特定實施例中,矽鍺層包括大約30%的鍺及大約70%的矽(Si 70Ge 30)。應理解到,實際上100%純的矽鍺(通常稱為SiGe)可能難以形成,因此可能包含少量的碳或錫。此種雜質可能在SiGe沉積期間中作為不可避免的雜質或成分被包括在內,或者在沉積後處理期間中擴散時可能「污染」SiGe。如此一來,本文敘述之針對矽鍺層之實施例可包括含有相對少量(例如,「雜質」層級)的非Ge和非Si原子或物種(諸如,碳或錫)的矽鍺層。應理解到如本文所敘述的矽鍺層可以是為摻雜的或者可以摻雜有諸如硼、磷、或砷的摻雜劑。
下文敘述係可用於製造可與氮化鉬金屬閘極和具有雙極層之閘極介電質集成之裝置的各種裝置及處理方案。應理解到例示性實施例不一定需要所敘述的所有特徵,或者可包括比所敘述的更多特徵。例如,可以透過置換閘極溝槽來執行奈米線釋放處理。此種釋放製程之實例係敘述於下文。此外,在又一態樣中,由於圖案化複雜性,後端(BE)互連縮放會導致較低的性能及較高的製造成本。可實施本文敘述之實施例來實現奈米線電晶體之前端和後端互連的集成。本文敘述之實施例可提供實現相對較寬互連節距的方案。結果可能是提高了產品性能並降低了圖案化成本。可以實施實施例以實現具有低功率和高性能之縮放的奈米線或奈米帶電晶體的強健功能。
本文所敘述之一或多個實施例係用於使用部分源極或汲極(SD)及不對稱溝槽接觸(TCN)深度之奈米線或奈米帶電晶體的定向的雙磊晶(EPI)連接。在一實施例中,積體電路結構藉由形成部分填充有SD磊晶之奈米線/奈米帶電晶體之源極-汲極開口來製造積體電路結構。開口的剩餘部分係以導電材料填充。在源極或汲極中的一者上形成深度溝槽能夠直接接觸背側互連層級。
如用於製造全繞式閘極積體電路結構之全繞式閘極裝置之例示性製程流程,圖4A-4J繪示根據本揭露之一實施例之製造全繞式積體電路結構之方法中各種操作的橫截面視圖。
參照圖4A,製造積體電路結構的方法包括形成起始堆疊,起始堆疊包括在鰭片402(諸如,矽鰭片)之上之交替的犧牲層404及奈米線406。奈米線406可被稱為奈米線之垂直配置。如圖所示,可在交替的犧牲層404及奈米線406之上形成保護帽408。如圖所示,可以在交替的犧牲層404及奈米線406之下形成鬆弛緩衝層452及缺陷修飾層450。
參照圖4B,在水平奈米線406之垂直配置之上形成閘極堆疊410。如圖4C所示,然後藉由去除犧牲層404的部分以提供凹陷的犧牲層404’及腔412來釋放水平奈米線406之垂直配置的部分。
應理解到圖4C之結構可以在不首先執行下文所敘述之深蝕刻及不對稱接觸處理來完成製造。在任一情況下(例如,有或沒有不對稱接觸處理),在一實施例中,製造製程涉及使用提供具有磊晶結塊部的全繞式閘極積體電路結構之製程方案,其可為垂直分立的源極或汲極結構。
參照圖4D,在閘極結構410之側壁處形成上閘極間隔物414。腔間隔物416形成在上閘極間隔物414下方的腔412中。接著選擇性地執行深溝槽接觸蝕刻以形成溝槽418及形成凹陷的奈米線406’。如圖所示,亦可出現圖案化的鬆弛緩衝層452’及圖案化的缺陷修飾層450’。
如圖4E所示,接著在溝槽418中形成犧牲材料420。在其他製程方案,可使用隔離的溝槽底部或矽溝槽底部。
參照圖4F,在水平奈米線406’之垂直配置的第一端處形成第一磊晶源極或汲極結構(例如,左手特徵422)。在水平奈米線406’之垂直配置的第二端處形成第二磊晶源極或汲極結構(例如,右手特徵422)。在一實施例中,如圖所示,磊晶源極或汲極結構422係垂直分立的源極或汲極結構並可稱為磊晶結塊部。
如圖4G所示,接著在閘極電極410之側並鄰近源極或汲極結構422處形成層間介電質(ILD)材料424。參照圖4H,使用置換閘極製程來形成永久閘極介電質428及永久閘極電極426。如圖4I所示,然後去除ILD材料424。然後犧牲材料420從源極汲極位置之一(例如,右手側)去除以形成溝槽432,而不從源極汲極位置之另一者去除來形成溝槽430。
參照圖4J,形成耦接至第一磊晶源極或汲極結構(例如,左手側422)的第一導電接觸結構434。形成耦接至第二磊晶源極或汲極結構(例如,右手側422)的第二導電接觸結構436。沿著鰭片402形成比第一導電接觸結構434更深的第二導電接觸結構436。在一實施例中,儘管圖4J中未示出,所述方法更包括在鰭片402之底部處形成第二導電接觸結構436之露出的表面。導電接觸可包括接觸電阻降低層及主接觸電極層,其中實例可包括Ti、Ni、Co(對於前者,W、Ru、Co對於後者。)
在一實施例中,如所繪示,第二導電接觸結構436在沿著鰭片402比第一導電接觸結構434更深。如圖所示,在一個此種實施例中,第一導電接觸結構434不沿著鰭片402。在另一此種實施例中,未繪示,第一導電接觸結構434部分地沿著鰭片402。
在一實施例中,第二導電接觸結構436係沿著整個鰭片402。在一實施例中,儘管未繪示,在藉由背側基材去除製程露出鰭片402之底部的情況下,第二導電接觸結構436在鰭片402之底部處具有露出的表面。
在一實施例中,圖4J之結構(或圖4A-4J之相關結構)係使用氮化鉬金屬閘極並且可能的閘極介電質雙極層方案形成的,例如結合圖1B、2A-2E、及3所敘述的。
在另一態樣中,為了能夠使用一對不對稱源極和汲極接觸結構之導電接觸結構兩者,本文所述之積體電路結構可使用前側結構製造方案之背側揭露來製造。在一些例示性實施例中,電晶體或其他裝置結構之背側揭露需要晶圓級背側處理。與習知TSV類型技術相比,如本文所述之電晶體之背側揭露可以在裝置單元之密度下執行,甚至在裝置之子區域內進行。此外,可執行此種電晶體之背側揭露以去除實質上所有的施體基材,在前側裝置處理期間施體基材上設置有裝置層。如此一來,隨著電晶體之背側揭露後裝置單元中半導體的厚度可能僅幾十或幾百奈米,因此微米深TSV就變得不必要了。
本文敘述之揭露技術可以實現從「自底向上」裝置製造到「中心向外」製造的典範轉移,其中「中心」係在前側製造中採用的任何層、從背側揭露、並再次用於背側製造。當主要憑藉前側處理時,對裝置結構之前側和揭露的背側兩者的處理可以解決與製造3D IC相關的許多挑戰。
例如,可以採用電晶體之背側揭露方案來去除施體-主基材總成之載體層和中介層中的至少一部分。製程流程從輸入施體-主基材總成開始。在施體-主基材中載體層的厚度被拋光(例如,CMP)及/或以濕式或乾式(例如,電漿)蝕刻製程蝕刻。可以採用已知適合於載體層之組成的任何的研磨、拋光、及/或濕式/乾式蝕刻製程。例如,其中載體層係IV族半導體(例如,矽)時,可使用已知適用於薄化半導體的CMP漿料。同樣,也可採用已知使用於薄化IV族半導體的任何濕式蝕刻劑或電漿蝕刻製程。
在一些實施例中,在上述之前,沿著實質上平行於中介層的斷裂平面劈開載體層。劈開或斷裂製程可被利用來去除大部分的載體層作為塊體,減少去除載體層所需拋光或蝕刻時間。例如,在載體層厚度為400-900 μm的情況下,可以藉由實施任何已知促進晶圓級斷裂的毯式佈植來切割100-700 μm。在一些例示性實施例中,輕元素(例如,H、He、或Li)被佈植至載體層內期望的斷裂平面之均勻目標深度。在此種劈開製程之後,可接著研磨或蝕刻留在施體-主基材總成中之載體層的厚度,以完全去除。替代地,在載體層沒有斷裂的情況下,可採用研磨、拋光及/或蝕刻操作來去除更大厚度的載體層。
接著,檢測中介層的曝露程度。當施體基材之背側表面已經前進至接近裝置層時,使用檢測來識別點。可以實施已知適用於檢測採用在載體層和中介層的材料之間之轉變的任何端點檢測技術。在一些實施例中,一或多個端點標準係基於在拋光或蝕刻性能期間檢測施體基材之背側表面的光學吸收或發射的變化。在一些其他實施例中,端點標準係與施體背側表面在拋光或蝕刻期間副產物的光學吸收或發射的變化相關聯。例如,與載體層蝕刻副產物相關聯的吸收或發射波長可隨載體層和中介層的不同組成而變動。在其他實施例中,端點標準與拋光或蝕刻施體基材之背側表面之副產物中物質之質量變化相關聯。例如,處理之副產物可透過四極質量分析器採樣,並且物質質量的變化可以與載體層和中介層之不同組成相關。在另一例示性實施例中,端點標準係與施體基材之背側表面和與施體基材之背側表面接觸的拋光表面之間的摩擦變化相關聯。
可以增強中介層之檢測,其中去除製程相對於中介層係選擇性對於載體層,因為載體層和中介層之間的蝕刻速率增量可以減輕載體去除製程中的不均勻性。如果研磨、拋光及/或蝕刻操作以遠低於去除載體層之速率的速率去除中介層,則甚至可以省略檢測。如果不採用端點標準,如果中介層的厚度足以滿足蝕刻的選擇性,則預定的固定持續時間的研磨、拋光及或蝕刻操作可以停止在中介層材料上。在一些實例中,載體蝕刻速率:中介層蝕刻速率係3:1-10:1或更多。
在露出中介層時,可以去除至少一部分的中介層。例如,可以去除中介層的一或多個組成層。例如,中介層之厚度可藉由拋光均勻地去除。替代地,中介層之厚度可以以遮罩的或毯式蝕刻製程去除。所述製程可採用與用於薄化載體之拋光或蝕刻製程相同的,或者可以是具有不同製程參數的不同製程。例如,在中介層為載體去除製程提供蝕刻停止的情況下,下一個操作可採用不同的拋光或蝕刻製程,其有利於去除中介層而不是去除裝置層。在要去除小於幾百奈米的中介層厚度的情況下,去除製程可能相對較慢,針對整個晶圓的均勻性進行了最佳化,並且比用於去除載體層的製程更精確地控制。所採用的CMP製程可例如採用漿料,該漿料對於在半導體(例如,矽)和圍繞裝置層並嵌入在中介層內作為相鄰裝置區域之間的電性隔離的介電質材料(例如,SiO)之間提供非常高選擇性(例如,100:1-300:1或更多)。
對於透過中介層的完全去除來顯露裝置層的實施例,背側處理可以在裝置層之露出的背側或其中特定裝置區域上開始。在一些實施例中,背側裝置層處理包括穿過設置在中介層及先前在裝置層中製造的裝置區域(諸如,源極或汲極區域)之間的裝置層之厚度的進一步拋光或濕式/乾式蝕刻。
在載體層、中介層或裝置層背側用濕式及/或電漿蝕刻凹陷的一些實施例中,此種蝕刻可為圖案化蝕刻或材料選擇性蝕刻,其賦予顯著的非平面性或形貌到裝置層背側表面。如下文進一步敘述,圖案化可以在裝置單元內(即,「單元內」圖案化)或可以跨越裝置單元(即,「單元間」圖案化)。在一些圖案化蝕刻實施例中,至少部分的中介層之厚度可用作背側裝置層圖案化的硬遮罩。因此,遮罩的蝕刻製程可以作為相應地遮罩的裝置層蝕刻的開端。
上述的處理方案可產生包括具有中介層之背側、裝置層之背側、及/或裝置層內之一或多個半導體區域之背側、及/或前側金屬化顯露之IC裝置的施體-主基材總成。然後可在下游處理期間對於這些顯露的區域中的任一者進行額外的背側處理。
應當理解,由以上例示性製程方案得到的結構可以以相同或相似的形式用於後續的處理操作以完成裝置製造(諸如,PMOS及/或NMOS裝置製造)。作為完整的裝置之實例,圖5繪示根據本揭露之一實施例之沿閘極線截取之非平面積體電路結構的橫截面視圖。
參照圖5,半導體結構或裝置500包括在溝槽隔離區域506內的非平面主動區(例如,包括突出鰭片部分504及子鰭片區域505的鰭片結構)。在一實施例中,取代實心鰭片,在子鰭片區域505之上的非平面主動區係被分成奈米線(諸如奈米線504A和504B),如虛線表示。在任一情況中,為了便於敘述非平面積體電路結構500,非平面主動區504在下文中被稱為突出鰭片部分。如圖所示,在一實施例中,子鰭片區域505亦包括鬆弛緩衝層542及缺陷修飾層540。
閘極線508係設置在非平面主動區(包括(如果適用)圍繞奈米線504A和504B)之突出部分504之上,以及在溝槽隔離區域506之部分之上。如所示,閘極線508包含閘極電極550及閘極介電質層552。在一實施例中,閘極線508可亦包括介電質帽層554。從這個透視還可以看到閘極接觸514及上覆閘極接觸通孔516,沿著上覆金屬互連560,所有這些都設置在層間介電質堆疊或層570中。亦可從圖5之透視看出,在一實施例中,閘極接觸514係設置在溝槽隔離區域506之上,而不是在非平面主動區之上。在另一實施例中,閘極接觸514係在非平面主動區之上。
在一實施例中,半導體結構或裝置500係非平面裝置,諸如但不限於鰭片式FET裝置、三閘極裝置、奈米帶裝置、或奈米線裝置。在此一實施例中,相應的半導體通道區域係由三維體構成或形成在三維體中。在一個此種實施例中,閘極線508之閘極電極堆疊至少圍繞三維體之頂面及側壁對。
亦如圖5所示,在一實施例中,突出的鰭片部分504與子鰭片區域505之間存在界面580。界面580可以是摻雜的子鰭片區域505和輕度或未摻雜上鰭片部分504之間的過渡區。在一個此種實施例中,每一鰭片大約為10奈米寬或更小,並且係選擇性地從子鰭片位置處的相鄰固態摻雜層提供子鰭片摻雜劑。在此一具體實施例中,每一鰭片小於10奈米寬。
儘管未在圖5中描繪,應理解到,突出鰭片部分504的源極或汲極區域或相鄰於突出鰭片部分504的源極或汲極區域係在閘極線508的任一側,即進入或離開頁面。在一實施例中,去除在源極或汲極位置中之突出鰭片部分504的材料,並以另一半導體材料替換,例如藉由磊晶沉積以形成磊晶的源極或汲極結構。源極或汲極區域可以在溝槽隔離區域506之介電質層的高度之下延伸,即進入子鰭片區域505。根據本揭露之一實施例,更重摻雜的子鰭片區域,即界面580下方之鰭片的摻雜部分,抑制通過主體半導體鰭片之該部分的源極至汲極的洩漏。在一實施例中,如上文結合圖4J所述,源極和汲極區域具有相關聯的不對稱源極和汲極接觸結構。
再次參照圖5,在一實施例中,鰭片504/505 (及可能的奈米線504A和504B)由可以摻雜有電荷載子的晶體矽鍺層組成,諸如但不限於磷、砷、硼、鎵、或其組合。
在一實施例中,溝槽隔離區域506、及通篇敘述之溝槽隔離區域(溝槽隔離結構或溝槽隔離層)可以由適合於最終使永久閘極結構的部分與下面的塊狀基材電性隔離或有助於將永久閘極結構的部分與下面的塊狀基材隔離或使形成在下面的塊狀基材內的主動區(諸如,隔離鰭主動區)隔離的材料組成。例如,在一實施例中,溝槽隔離區域506係由介電質材料組成,諸如但不限制於二氧化矽、氮氧化矽、氮化矽、或碳摻雜氮化矽。
閘極線508可以由閘極電極堆疊組成,閘極電極堆疊包括閘極介電質層552和閘極電極層550。在一實施例中,閘極電極堆疊之閘極電極係由金屬閘極組成,以及閘極介電質層係由高k材料組成。例如,在一實施例中,閘極介電質層552係由諸如但不限制於氧化鉿、氧氮化鉿、矽酸鉿、氧化鑭、氧化鋯、矽酸鋯、氧化鉭、鈦酸鍶鋇、鈦酸鋇、鈦酸鍶、氧化釔、氧化鋁、鉛鈧鉭氧化物、鈮酸鉛鋅或它們的組合之材料組成。此外,閘極介電質層552之一部分可包括形成自基材鰭片504之頂部幾層的本質氧化物層。在一實施例中,閘極介電質層552係由頂部高k部分及最下部分組成,該最下部分由半導體材料之氧化物組成。在一實施例中,閘極介電質層552係由氧化鉿之頂部部分和二氧化矽或氮氧化矽之底部部分組成。在一些實施方式中,閘極電極的一部分可以由「U」形結構組成,其包括實質上平行於基材的表面的底部,兩個側壁部分實質上垂直於基材的頂部表面。
在一個實施例中,閘極電極層550係由金屬層組成,例如但不限制於金屬氮化物、金屬碳化物、金屬矽化物、金屬鋁化物、鉿、鋯、鈦、鉭、鋁、釕、鈀、鉑、鈷、鎳或導電金屬氧化物。在指明實施例中,閘極電極層550係由形成在金屬功函數設定層上方的非功函數設定填充材料組成。取決於電晶體是PMOS或NMOS電晶體,閘極電極層550可由P型功函數金屬或N型功函數金屬組成。在一些實施方式中,閘極電極層550可以由兩或多個金屬層的堆疊組成,其中一或多個金屬層是功函數金屬層,並且至少一個金屬層是導電填充層。對於PMOS電晶體,可使用氮化鉬層,其實例敘述於上文。對於NMOS電晶體,可用於閘極電極的金屬包括但不限於鉿、鋯、鈦、鉭、鋁、這些金屬的合金以及這些金屬的碳化物,例如碳化鉿、碳化鋯、碳化鈦、碳化鉭和碳化鋁。N型金屬層將能夠形成具有約3.9eV至約4.2eV之間的功函數的NMOS閘極電極。在一些實施方式中,閘極電極可以由「U」形結構組成,其包括實質上平行於基材的表面的底部,兩個側壁部分實質上垂直於基材的頂部表面。在另一實施方式中,形成閘極電極的金屬層中的至少一者可以簡單地是實質上平行於基材頂部表面的平面層,並且不包括實質上垂直於基材頂部表面的側壁部分。在本揭露的進一步實施方式中,閘極電極可以由U形結構和平面的非U形結構組成。例如,閘極電極可以由在一或多個平面的非U形層上形成的一或多個U形金屬層組成。
與閘極電極堆疊相關聯的間隔物可以由適於最終使永久閘極結構與相鄰的導電接觸(諸如,自對準接觸)電性隔離或有助於將永久閘極結構與相鄰的導電接觸隔離的材料組成。例如,在一實施例中,間隔物係由介電質材料組成,諸如但不限制於二氧化矽、氮氧化矽、氮化矽、或碳摻雜氮化矽。
閘極接觸514及上覆閘極接觸通孔516可以由導電材料組成。在一實施例中,一或多個接觸或通孔由金屬種類組成。金屬種類可為純金屬(諸如,鎢、鎳、或鈷),或可為合金(諸如,金屬-金屬合金或金屬-半導體合金(例如,諸如矽化物材料))。
在一實施例中(儘管未圖示),形成了與現有閘極圖案508基本上完美對齊的接觸圖案,同時消除使用具有極其嚴格的對位預算的微影步驟。在一實施例中,接觸圖案係垂直對稱接觸圖案、或不對稱的接觸圖案(諸如,結合圖4J所敘述的)。在其他實施例中,所有接觸都是前側連接並且不是不對稱的。在一此類實施例中,自對準方案使得能夠使用本質上高度選擇性濕法蝕刻(例如,相對於習知實施的乾式蝕刻或電漿蝕刻)來產生接觸開口。在一實施例中,藉由利用現有閘極圖案結合接觸插塞微影操作來形成接觸圖案。在一此類實施例中,方案使得能夠消除如在習知方案中所使用之用以產生接觸圖案的其它關鍵微影操作的需要。在一實施例中,溝槽接觸柵格沒有單獨地圖案化,而是形成在多條(閘極)線之間。例如,在一此類實施例中,溝槽接觸柵格係在閘極光柵圖案之後但在閘極光柵切割之前形成。
在一實施例中,提供結構500涉及藉由置換閘極製程之閘極堆疊結構508的製造。在此一方案中,可以去除虛設閘極材料(諸如,多晶矽或氮化矽柱材料),並用永久閘極電極材料代替。在一此類實施例中,與從較早的處理中進行的相反,亦在此製程中形成永久閘極介電質層。在一實施例中,虛設閘極係藉由乾式蝕刻或濕式蝕刻製程去除。在一實施例中,虛設閘極係由多晶矽或非晶矽組成,然後以包括使用SF 6的乾式蝕刻製程去除。在另一實施例中,虛設閘極係由多晶矽或非晶矽組成,然後以包括NH 4OH水溶液或氫氧化四甲銨的濕式蝕刻製程去除。在一實施例中,虛設閘極係由氮化矽組成,然後以包括磷酸水溶液的濕式蝕刻去除。
再次參照圖5,半導體結構或裝置500之配置將閘極接觸置放在隔離區域之上。此種配置可被認為是佈局間距的低效使用。在另一實施例中,然而半導體裝置具有接觸形成在主動區域之上(例如,鰭片505之上)並與溝槽接觸通孔在同一層中之閘極電極之接觸部分的接觸結構。
在一實施例中,圖5之結構係使用氮化鉬金屬閘極並且可能的閘極介電質雙極層方案形成的,例如結合圖1B、2A-2E、及3所敘述的。
應理解到,並非必須實踐上述製程之所有態樣以落入本揭露之實施例的精神和範圍內。而且,文中所述的製程可以用於製造一個或複數個半導體裝置。半導體裝置可為電晶體或類似裝置。例如,在一實施例中,半導體裝置係用於邏輯或記憶體的金屬氧化物半導體(MOS)電晶體或可以為雙極性電晶體。而且,在一實施例中,半導體裝置具有三維架構,諸如奈米線裝置、奈米帶裝置、三閘極裝置、單獨存取的雙閘極裝置、或FIN-FET。一或多個實施例對於以次10奈米(10 nm)技術節點製造半導體裝置可能特別有用。
在一實施例中,如貫穿本說明書所使用,層間介電質(ILD)材料係由介電質或絕緣材料的層組成或包括介電質層或絕緣材料的層。合適的介電質材料的實例包括但不限於矽氧化物(例如,二氧化矽(SiO 2))、矽的摻雜氧化物、矽的氟化氧化物、摻雜碳的矽氧化物、本領域已知的各種低k介電質材料、及其組合。層間介電質材料可由習知技術形成,諸如(例如)化學氣相沉積(CVD)、物理氣相沉積(PVD)、或藉由其它沉積方法形成。
在一實施例中,如亦貫穿本說明書所使用,金屬線或互連線材料(和通孔材料)係由一或多種金屬或其它導電結構組成。常見實例係使用銅線以及在銅和周圍ILD材料之間可包括或不包括阻障層的結構。如本文中所使用,用語金屬包括合金、堆疊、及其它多個金屬的組合。例如,金屬互連線可包括阻障層(例如,包括Ta、TaN、Ti、或TiN中的一或多種的層)、不同金屬或合金的堆疊等等。因此,互連線可為單一材料層、或可由幾個層形成,包括導電襯裡層和填充層。可以使用任何合適的沉積製程(諸如,電鍍、化學氣相沉積或物理氣相沉積)來形成互連線。在一實施例中,互連線係由導電材料組成,諸如但不限制於Cu、Al、Ti、Zr、Hf、V、Ru、Co、Ni、Pd、Pt、W、Ag、Au、及其合金。互連線在本領域中有時也稱為跡線、導線、線、金屬、或簡單地稱為互連。
在一實施例中,如亦貫穿本說明書所使用,硬遮罩材料、覆蓋層、或插塞係由不同於層間介電質材料的介電質材料所組成。在一實施例中,可在不同區域使用不同的硬遮罩、覆蓋或插塞材料,從而為彼此以及對下方介電質和金屬層提供不同的成長或蝕刻選擇性。在一些實施例中,硬遮罩層、覆蓋或插塞層包括矽的氮化物層(例如,氮化矽)或矽的氧化物層、或兩者、或它們的組合。其它合適的材料可包括碳基材料。取決於特定的實施方式,可使用本領域已知之其它硬遮罩、覆蓋或插塞層。硬遮罩、覆蓋或插塞層可藉由CVD、PVD形成、或藉由其它沉積方法形成。
在一實施例中,如亦貫穿本說明書所使用,使用193nm浸沒式微影(i193)、EUV及/或EBDW微影等來執行微影操作。可使用正抗蝕劑或負抗蝕劑。在一實施例中,微影遮罩係由形貌遮罩部分、抗反射塗佈(ARC)層、及光抗蝕劑層組成的三層遮罩。於特定此類實施例中,形貌遮罩部分係碳遮罩(CHM)層,以及抗反射塗佈層係矽ARC層。
在另一態樣中,一或多個實施例涉及藉由自對準閘極端帽(SAGE)結構隔開的相鄰半導體結構或裝置。特定實施例可涉及在SAGE架構中多寬度(multi-Wsi)奈米線及奈米帶並由SAGE壁隔開的集成。在一實施例中,奈米線/奈米帶與前端製程流程之SAGE架構部分中的多Wsi集成。此種製程流程可涉及不同Wsi之奈米線和奈米帶的集成,以提供具有低功率和高性能的下一代電晶體的強大功能。可以嵌入相關聯的磊晶源極或汲極區域(例如,去除部分的奈米線,然後執行源極或汲極(S/D)成長)。
為了提供進一步背景,自對準閘極端帽(SAGE)架構之優點可以包括實現更高的佈局密度,尤其是擴散至擴散間距之縮放。為了提供說明性比較,圖6繪示根據本揭露之實施例之透過非端帽架構之奈米線和鰭片截取的橫截面視圖(左手側(a)相對於自對準閘極端帽(SAGE)架構(右手側(b)))。
參照圖6的左手側(a),積體電路結構600包括具有在橫向圍繞鰭片604之下部的隔離結構608之上突出量606之鰭片604的基材602。如圖所示,鰭片之上部可包括鬆弛緩衝層622及缺陷修飾層620。相應的奈米線605係在鰭片604之上。可在積體電路結構600之上形成閘極結構以製造裝置。然而,可以藉由增加鰭片604/奈米線605對之間的間距來適應這種閘極結構中的中斷。
相比之下,參照圖6的右手側(b),積體電路結構650包括具有在橫向圍繞鰭片654之下部的隔離結構658之上突出量656之鰭片654的基材652。如所繪示,鰭片之上部可包括鬆弛緩衝層672和缺陷修飾層670。相應的奈米線655係在鰭片654之上。隔離SAGE壁660(如圖所示,其可包括硬遮罩)係包括在隔離結構652內並介於相鄰鰭片654/奈米線655對之間。隔離SAGE壁660和最近鰭片654/奈米線655對之間的距離界定閘極端帽間距662。可在積體電路結構600之上、隔離SAGE壁之間形成閘極結構以製造裝置。此閘極結構中的中斷係由隔離SAGE壁強加的。由於隔離SAGE壁660係自對準的,來自習知方案的限制可被最小化以實現更積極的擴散至擴散間距。此外,由於閘極結構包括在所有位置處的斷裂,各個閘極結構部分可藉由形成在隔離SAGE壁660上的局部互連連接的層。在一實施例中,如所示,SAGE壁660各包括下介電質部分及在下介電質部分上的介電質帽。根據本揭露之一實施例,與圖6相關聯之結構製造製程涉及使用提供具有磊晶源極或汲極結構之全繞式閘極積體電路結構的製程方案。
在一實施例中,圖6之部分(b)的結構係使用氮化鉬金屬閘極並且可能的閘極介電質雙極層方案形成的,例如結合圖1B、2A-2E、及3所敘述的。
自對準閘極端蓋(SAGE)處理方案涉及與鰭片自對準之閘極/溝槽接觸端蓋,而無需額外的長度來解決遮罩未對位。因此,實施例可被實施以使能夠縮小電晶體佈局面積。文中所述之實施例可涉及閘極端蓋隔離結構的製造,其亦可稱為閘極壁、隔離閘極壁或自對準閘極端帽(SAGE)壁。
在具有分隔相鄰裝置之SAGE壁之結構的例示性處理方案中,圖7繪示根據本揭露之一實施例之製造具有全繞式閘極裝置之自對準閘極端帽(SAGE)結構之方法中各種操作的橫截面視圖。
參照圖7之部分(a),起始結構包括在基材702之上的奈米線圖案化堆疊704。微影圖案化堆疊706係在奈米線圖案化堆疊704之上形成。如圖所示,奈米線圖案化堆疊704包括交替的犧牲層710及奈米線層712,其可在鬆弛緩衝層782和缺陷修飾層780之上。保護遮罩714係介於奈米線圖案化堆疊704與微影圖案化堆疊706之間。在一實施例中,微影圖案化堆疊706係由形貌遮罩部分720、抗反射塗佈(ARC)層722及光阻層724組成的三層遮罩。於特定此類實施例中,形貌遮罩部分720係碳遮罩(CHM)層,以及抗反射塗佈層722係矽ARC層。
參照圖7之部分(b),部分(a)之堆疊被微影圖案化,接著被蝕刻以提供包括圖案化的基材702和溝槽730之蝕刻的結構。
參照圖7之部分(c),部分(b)之結構具有隔離層740及形成在溝槽730中的SAGE材料742。接著平坦化結構以留下圖案化的形貌遮罩層720’作為露出的上層。
參照圖7之部分(d),隔離層740被凹陷至圖案化結構702之上表面之下,例如,用以界定突出的鰭片部分並在SAGE壁742下方提供溝槽隔離結構741。
參照圖7之部分(e),至少在通道區域中去除犧牲層710以釋放奈米線712A和712B。在形成圖7之部分(e)之結構之後,可以在奈米線712B或712A周圍、基材702之突出鰭片之上、及SAGE壁742之間形成閘極堆疊。在一實施例中,在形成閘極堆疊之前,去除保護遮罩714的剩餘部分。在另一實施例中,保護遮罩714的剩餘部分作為處理方案的人工製品保留為絕緣鰭片。
再次參照圖7之部分(e),應理解到其繪示通道視圖,其中源極或汲極區域係位於頁面之內或之外。在一實施例中,包括奈米線712B的通道區域具有小於包括奈米線712A之通道區域的寬度。因此,在一實施例中,積體電路結構包括多個寬度(multi-Wsi)奈米線。儘管712B和712A之結構可以分別區分為奈米線和奈米帶,但是這兩種結構在本文中通常稱為奈米線。還應理解到,通篇對鰭片/奈米線對的引用或敘述可指包括鰭片和一或多個上覆奈米線(例如,圖7中所示之兩個上覆奈米線)的結構。根據本揭露之一實施例,與圖7相關聯之結構製造製程涉及使用提供具有磊晶源極或汲極結構之全繞式閘極積體電路結構的製程方案。
在一實施例中,部分(e)圖7之結構係使用氮化鉬金屬閘極並且可能的閘極介電質雙極層方案形成的,例如結合圖1B、2A-2E、及3所敘述的。
在一實施例中,如全文所述,自對準閘極端蓋(SAGE)隔離結構可以由一或多種適於最終永久性地使永久閘極結構的一部分彼此電性隔離或有助於彼此隔離的材料構成。例示性材料或材料組合包括單一材料結構,諸如二氧化矽、氮氧化矽、氮化矽、或碳摻雜氮化矽。其它例示性材料或材料組合包括具有最下部分二氧化矽、氮氧化矽、氮化矽或碳摻雜氮化矽以及最上部分介電質常數材料(諸如,氧化鉿)的多層堆疊。
為了突出具有三個垂直排列的奈米線的例示性積體電路結構,圖8A繪示根據本發明一實施例之基於奈米線積體電路結構的三維橫截面視圖。圖8B繪示沿a-a’軸截取圖8A之基於奈米線積體電路結構的橫截面源極或汲極視圖。圖8C繪示沿b-b’軸截取圖8A之基於奈米線積體電路結構的橫截面通道視圖。
參照圖8A,積體電路結構800包括在基材802之上的一或多個垂直堆疊的奈米線(804組)。在一實施例中,如所示,鬆弛緩衝層802C、缺陷修飾層802B、及下基材部分802A係包括在基材802中,如所示。為了說明的目的,為了強調奈米線部分,未描繪最底部奈米線下方並由基材802形成的選擇性鰭片。本文的實施例係針對單線裝置和多線裝置。作為實例,出於說明性目的,顯示具有奈米線804A、804B和804C之基於三奈米線的裝置。為了方便敘述,奈米線804A係用作為實例,其中敘述的重點是奈米線之一。應理解到,在敘述一個奈米線的屬性的情況下,基於複數個奈米線的實施例對於每一奈米線可具有相同或基本相同的屬性。
每一奈米線804包括在奈米線中的通道區域806。通道區域806具有長度(L)。參照圖8C,通道區域具有與長度(L)正交的周長(Pc)。參照圖8A和8C,閘極電極堆疊808圍繞每一通道區域806的整個周長(Pc)。閘極電極堆疊808包括閘極電極以及通道區域806和閘極電極之間的閘極介電質層(未圖示)。在一實施例中,通道區域係分立的,因為其完全被閘極電極堆疊808圍繞而沒有任何中間材料,諸如下方基材材料或上方通道製造材料。因此,在具有複數個奈米線804的實施例,奈米線之通道區域806相對於彼此係分立的。
參照圖8A和8B,積體電路結構800包括非分立的源極或汲極區域810/812對。非分立的源極或汲極區域810/812對係在複數個垂直堆的奈米線804之通道區域806的任一側。此外,非分立的源極或汲極區域810/812對與複數個垂直堆的奈米線804之通道區域806相鄰。在一個此種實施例中,未繪示,該對非分立的源極或汲極區域810/812直接垂直地鄰接通道區域806,因為磊晶成長在延伸超出通道區域806的奈米線部分之上和之間,其中奈米線端係顯示在源極或汲極結構。在另一實施例中,如圖8A所示,該對非分立的源極或汲極區域810/812間接垂直地鄰接通道區域806,因為它們形成在奈米線端而不是在奈米線之間。
在一實施例中,如所示,源極或汲極區域810/812係非分立的,因為對於奈米線804之每一通道區域806不為單獨且分立的源極或汲極區域。因此,在具有複數個奈米線804之實施例中,奈米線的源極或汲極區域810/812係全局的或統一的源極或汲極區域,而不是每一奈米線分立的。也就是說,非分立的源極或汲極區域810/812在單個統一特徵被用作複數個(在這種情況下,3)奈米線804的源極或汲極區的意義上係全局的,並且更具體地,對於一個以上的離散通道區域806。在一實施例中,從與分立通道區域806之長度正交的橫截面透視,每一對非分立的源極或汲極區域810/812在形狀上近似矩形,具有底部錐形部分和頂部頂點部分,如圖8B所示。在其他實施例中,然而,奈米線之源極或汲極區域810/812係相對較大但分立的非垂直合併的磊晶結構,諸如結合圖4A-4J敘述的結塊部。
根據本揭露之一實施例,並且如圖8A和8B所示,積體電路結構800更包括一對接觸814,每一接觸814在該對非分立的源極或汲極區域810/812中的一者上。在一個此種實施例中,在垂直意義上,每一接觸814完全圍繞相應的非分立的源極或汲極區域810/812。在另一態樣中,非分立的源極或汲極區域810/812之整個周邊可能無法與接觸814接觸,因此接觸814僅部分地圍繞非分立的源極或汲極區域810/812,如圖8B中所示。在未繪示出的對比實施例中,非分立的源極或汲極區域810/812的整個周邊(如沿a-a’軸截取)係由接觸814圍繞。
再次參照圖8A,在一實施例中,積體電路結構800更包括一對間隔物816。如所繪示,該對間隔物816之外部可以與部分的非分立的源極或汲極區域810/812重疊,在該對間隔物816下方提供非分立的源極或汲極區域810/812之「嵌入的」部分。還如所繪示,非分立的源極或汲極區域810/812之嵌入的部分可以不延伸至整個該對間隔物816。
基材802可由適合用於積體電路結構製造的材料組成。在一實施例中,基材802包括由單晶材料組曾的下塊狀基材,單晶材料可以包括但不限於矽、鍺、矽-鍺、鍺-錫、矽-鍺-錫、或III-V族化合物半導體材料。由可包括但不限於二氧化矽、氮化矽或氮氧化矽之材料組成的上絕緣體層係在下塊狀基材上。因此,基材800可從起始絕緣體上半導體基材製造。替代地,結構800係直接地由塊狀基材行並且局部氧化物係用來形成電性絕緣部分以替代上述的上絕緣體層。在另一替代實施例中,結構800係直接地從塊狀基材形成並且摻雜係用於在其上形成電性隔離的主動區,諸如奈米線。在一個此種實施例中,第一奈米線(即,靠近基材)係以Ω-FET型結構的形式。。
在一實施例中,如下所述,奈米線804的尺寸係線或帶,並且可具有方形或圓角。在一實施例中,奈米線804係由諸如但不限於矽、鍺或其組合的材料組成。在一個此種實施例中,奈米線係單晶。例如,對於矽奈米線804,單晶奈米線可以基於(100)全局取向,例如在z方向具有<100>平面。如下所述,可以考慮其他取向。在一實施例中,從橫截面透視,奈米線804的尺寸係奈米級的。例如,在特定實施例中,奈米線804的最小尺寸小於大約20奈米。在一實施例中,奈米線804係由應變材料組成,特別是通道區域806中。
參照圖8C,在一實施例中,每一個通道區域806具有寬度(Wc)和高度(Hc),寬度(Wc)與高度(Hc)大致相同。即,在兩種情況下,通道區域806的橫截面輪廓是方形的,或者如果是圓角,則是圓形的。在另一態樣中,通道區域之寬度和高度不必相同,諸如通篇敘述的奈米帶的情況。
在一實施例中,如全文所述,積體電路結構包括非平面裝置,諸如但不限制於具有相應的一或多個上覆奈米線結構之鰭片式FET或三閘極裝置。在此一實施例中,相應的半導體通道區域由三維本體組成或形成在三維本體中,其中一或多個分離奈米線通道部分覆蓋的三維本體。在一此類實施例中,閘極結構至少圍繞三維本體之頂面和一對側壁,並且還進一步圍繞一或多個分離奈米線通道部分中的每一者。
在一實施例中,圖8A-8C之結構係使用氮化鉬金屬閘極並且可能的閘極介電質雙極層方案形成的,例如結合圖1B、2A-2E、及3所敘述的。在一個此種實施例中,氮化鉬金屬閘極上使用鎢填充物。
在一實施例中,如通篇所述,下方基材可以由半導體材料組成,該半導體材料可以經受製造處理並且電荷可以在其中遷移。在一實施例中,基材係由摻雜有電荷載子(諸如但不限制於磷、砷、硼、鎵、或其組合)以形成主動區之由晶體矽、矽/鍺或鍺層組成的塊狀基材。在一實施例中,塊狀基材中矽原子的濃度大於97%。在另一實施例中,塊狀基材係由成長在不同晶體基材上的磊晶層組成,例如成長在摻雜硼塊狀矽單晶基材上的矽磊晶層。塊狀基材可替代由III-V族材料組成。在一實施例中,塊狀基材係由III-V族材料組成,諸如但不限制於氮化鎵、磷化鎵、砷化鎵、磷化銦、銻化銦、砷化銦鎵、砷化鋁鎵、磷化銦鎵、或其組合。在一實施例中,塊狀基材係由III-V族材料組成,並且電荷載子摻雜物雜質原子係諸如但不限制於碳、矽、鍺、氧、硫、硒、或碲的原子。
文中所揭露之實施例可用於製造各種不同類型積體電路及/或微電子裝置。此積體電路的實例包括但不限於處理器、晶片組組件、圖形處理器、數位訊號處理器、微控制器等等。於其他實施例中,可製造半導體記憶體。而且,積體電路或其他微電子裝置可用在本領域中已知的各種電子裝置中。例如,在電腦系統(例如,桌上型、膝上型、伺服器)、行動電話、個人電子裝置等等中。積體電路可與系統中的匯流排和其它組件耦接。例如,處理器可藉由一或多個匯流排耦接至記憶體、晶片組等等。處理器、記憶體、和晶片組中的每一者可潛在地使用文中揭露的方案來製造。
圖9繪示根據本揭露之實施例之一實施方式的計算裝置900。計算裝置900容置主機板902。主機板902可包括數個組件,包括但不限制於處理器904和至少一通訊晶片906。處理器904可物理性地及電性地耦接至主機板902。在一些實施方式中,至少一通訊晶片906亦物理性地和電性地耦接到主機板902。在進一步的實施方式中,通訊晶片906係處理器904的一部分。
根據其應用,計算裝置900可以包括可或不可物理性地和電性地耦接到主機板902的其他組件。這些其它組件可包括但不限制於揮發性記憶體(例如,DRAM)、非揮發性記憶體(例如,ROM)、快閃記憶體、圖形處理器、數位訊號處理器、密碼處理器、晶片組、天線、顯示器、觸控螢幕顯示器、觸控螢幕控制器、電池、音頻編解碼器、視頻編解碼器、功率放大器、全球定位系統(GPS)裝置、羅盤、加速計、陀螺儀、揚聲器、相機和大容量儲存裝置(諸如,硬碟驅動器、光碟(CD)、數位多功能光碟(DVD)等)。
通訊晶片906致能無線通訊,用於將資料轉移至計算裝置900及從計算裝置900轉移資料。用語「無線」及其衍生字可用以敘述可藉由使用調諧電磁輻射經由非固態介質而通訊資料之電路、裝置、系統、方法、技術、通訊通道等等。用語並非暗示相關裝置不包含任何線路,儘管在一些實施例中它們可能不包含任何線路。通訊晶片906可實施任何數目之無線標準或協定實現無線通訊,包括但不限制於Wi-Fi(IEEE 802.11系列)、WiMAX (IEEE 802.16系列)、IEEE 802.20、長程演進(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍芽、其衍生、以及任何其它被指定為3G、4G、5G、及之外的無線協定。計算裝置900可包括複數個通訊晶片906。例如,第一通訊晶片906可專用於短距離無線通訊諸如Wi-Fi及藍芽,及第二通訊晶片906可專用於長距離無線通訊諸如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO、及其他。
計算裝置900之處理器904包括封裝在處理器904內的積體電路晶粒。處理器904之積體電路晶粒可包括一或多個結構,諸如根據本揭露之實施例之實施方式建構之具有氮化鉬金屬閘極及具有雙極層之閘極介電質的全繞式閘極積體電路結構。術語「處理器」可指處理來自暫存器和/或記憶體之電子資料而將電子資料轉變為可儲存於暫存器及/或記憶體中之任何裝置或部分裝置的其它電子資料。
通訊晶片906也包括封裝在通訊晶片906內的積體電路晶粒。通訊晶片906之積體電路晶粒可包括一或多個結構,諸如根據本揭露之實施例之實施方式建構之具有氮化鉬金屬閘極及具有雙極層之閘極介電質的全繞式閘極積體電路結構。
在進一步實施方式中,安裝在計算裝置900內之另一組件可包括一個積體電路晶粒,其包括一或多個結構,諸如根據本揭露之實施例之實施方式建構之具有氮化鉬金屬閘極及具有雙極層之閘極介電質的全繞式閘極積體電路結構。
在各個實施方式中,計算裝置900可為膝上型電腦、輕省筆電、筆記型電腦、輕薄型筆電、智慧型手機、平板電腦、個人數位助理(PDA)、超薄行動PC、行動電話、桌上型電腦、伺服器、印表機、掃描器、監視器、機上盒、娛樂控制單元、數位相機、可攜式音樂播放器、或數位錄影機。在進一步實施方式中,計算裝置900可為處理資料之任何其他電子裝置。
圖10繪示包括本揭露之一或多個實施例的中介層1000。中介層1000為中間基材,其用以將第一基材1002橋接至第二基材1004。第一基材1002可為(例如)積體電路晶粒。第二基材1004可為(例如)記憶體模組、電腦主機板、或另一積體電路晶粒。通常,中介層1000之用途是延展連接至更寬節距,或改程連接至不同連接。例如,中介層1000可耦接積體電路晶粒至球柵陣列(BGA)1006,其後續可耦接至第二基材1004。在一些實施例中,第一及第二基材1002/1004附接至中介層1000之相對側。在其他實施例中,第一及第二基材1002/1004係附接至中介層1000之相同側。並且在進一步實施例中,三或更多個基材藉由中介層1000互連。
中介層1000可以以環氧樹脂、玻璃纖維增強環氧樹脂、陶瓷材料、或諸如聚醯亞胺之聚合物材料形成。在進一步實施方式中,中介層1000可以由替代的剛性或可撓材料形成,其可包括上敘之用於半導體基材的相同材料,諸如矽、鍺及其他III-V族及IV族材料。
中介層1000可包括金屬互連1008及通孔1010,其包括但不限制於穿越矽通孔(TSV)1012。中介層1000可進一步包括嵌入裝置1014,包括被動及主動裝置兩者。此種裝置包括但不限制於電容器、解耦電容器、電阻器、電感器、熔絲、二極體、變壓器、感測器、及靜電放電(ESD)裝置。諸如射頻(RF)裝置、功率放大器、電力管理裝置、天線、陣列、感測器、及MEMS裝置之更複雜的裝置亦可形成於中介層1000上。根據本揭露實施例,本文中所揭露的設備或製程可用於中介層1000之製造或可用於包括在中介層1000中的組件之製造。
因此,本揭露之實施例包括具有氮化鉬金屬閘極及具有雙極層之閘極介電質的全繞式閘極積體電路結構,以及製造具有氮化鉬金屬閘極及具有雙極層之閘極介電質的全繞式閘極積體電路結構的方法。
所示本揭露之實施例之實施方式的上述敘述,包括摘要中敘述的內容,不旨在是窮盡的或將本發明限制於所揭露的精確形式。雖然為了說明的目的在此敘述了本揭露的具體實施方式和範例,但是如本領域具通常知識者將認識到的,在本揭露的範圍內的各種等效修改是可能的。
這些對於本揭露之修改可以根據上述詳細敘述進行。在申請專利範圍中使用的術語不應被解釋為將本揭露限制於說明書和申請專利範圍中公開的具體實施方式。相反,本揭露的範圍完全由申請專利範圍判定,所述申請專利範圍將根據所確立的申請專利範圍解釋的原則來解釋。
實例實施例1:一種積體電路結構,包括水平奈米線之第一垂直配置、及水平奈米線之第二垂直配置。第一閘極堆疊係在該等水平奈米線之第一垂直配置之上,該第一閘極堆疊具有在第一閘極介電質上的P型導電層。該P型導電層包括鉬及氮。第二閘極堆疊係在該等水平奈米線之第二垂直配置之上,該第二閘極堆疊具有在第二閘極介電質上的N型導電層。
實例實施例2:如實例實施例1之積體電路結構,其中該P型導電層更在該N型導電層上。
實例實施例3:如實例實施例1或2之積體電路結構,其更包括在該P型導電層上的導電填充物。該導電填充物包括鎢。
實例實施例4:如實例實施例3之積體電路結構,其中該導電填充物具有與該P型導電層的直接界面。
實例實施例5:如實例實施例3之積體電路結構,其中該導電填充物具有與該P型導電層的氧化物界面。
實例實施例6:如實例實施例1、2、3、4、或5之積體電路結構,其中該P型導電層具有大於80%之鉬及氮的原子濃度,鉬與氮的比例在6:1至1:1的範圍內。
實例實施例7:如實例實施例6之積體電路結構,其中該P型導電層包括在0-15%之氧的原子濃度。
實例實施例8:如實例實施例6或7之積體電路結構,其中該P型導電層包括在0.1-10%之碳的原子濃度。
實例實施例9:一種積體電路結構,包括水平奈米線之第一垂直配置、及水平奈米線之第二垂直配置。第一閘極堆疊係在該等水平奈米線之第一垂直配置之上,該第一閘極堆疊具有在第一閘極介電質上的P型導電層,該第一閘極介電質包括在第一雙極材料層上的高k介電質層,及該P型導電層包括鉬及氮。第二閘極堆疊係在該等水平奈米線之第二垂直配置之上,該第二閘極堆疊具有在第二閘極介電質上的N型導電層,該第二閘極介電質包括在第二雙極材料層上的該高k介電質層。
實例實施例10:如實例實施例9之積體電路結構,其中該高k介電質層係HfO 2層。
實例實施例11:如實例實施例9或10之積體電路結構,其中該第一雙極層包括選自Al 2O 3、TiO 2、ZrO 2及HfO 2所組成之群組的材料,及該第二雙極層包括選自La 2O 3、Y 2O 3、MgO、SrO及Lu 2O 3所組成之群組的材料。
實例實施例12:如實例實施例9、10、或11之積體電路結構,其中該第一或第二雙極層中的一者具有在1-3埃範圍內的厚度。
實例實施例13:如實例實施例9、10、11、或12之積體電路結構,其中該第一或第二雙極層中的一者具有在4-6埃範圍內的厚度。
實例實施例14:如實例實施例9、10、11、12、或13之積體電路結構,其中該P型導電層具有圍繞該等水平奈米線之第一垂直配置之該等奈米線的第一部分及從該第一部分旁邊橫向延伸並與該第一部分間隔開的第二部分,其中該P型導電層之該第二部分係介於該水平奈米線之第一垂直配置與該等水平奈米線之第二垂直配置之間,以及該N型導電層具有圍繞該等水平奈米線之第二垂直配置之該等奈米線的第一部分及相鄰於該P型導電層之該第二部分並與之接觸的第二部分。
實例實施例15:如實例實施例9、10、11、12、13、或14之積體電路結構,更包括在該等水平奈米線之第一垂直配置的第一和第二端處的第一對磊晶源極或汲極結構、以及在該等水平奈米線之第二垂直配置的第一和第二端處的第二對磊晶源極或汲極結構。
實例實施例16:一種計算裝置包括板、及耦接至該板的組件。該組件包括積體電路結構,該積體電路結構包括水平奈米線之第一垂直配置、及水平奈米線之第二垂直配置。在該等水平奈米線之第二垂直配置之上的第一閘極堆疊,該第一閘極堆疊具有在第一閘極介電質上的P型導電層。該P型導電層包括鉬及氮。在該等水平奈米線之第二垂直配置之上的第二閘極堆疊,該第二閘極堆疊具有在第二閘極介電質上的N型導電層。
實例實施例17:如實例實施例16之計算裝置,更包括耦接至該板的記憶體。
實例實施例18:如實例實施例16或17之計算裝置,更包括耦接至該板的通訊晶片。
實例實施例19:如實例實施例16、17、或18之計算裝置,其中該組件係封裝積體電路晶粒。
實例實施例20:如實例實施例16、17、18、或19之計算裝置,其中該組件係選自由處理器、通訊晶片、及數位訊號處理器所組成之群組。
100,150,200,300:起始結構 102,152,202,602,652,702,802:基材 104,154:P型區域 106,156:N型區域 108,110,158,160,208,210,406,504A,504B,605,655,712A,712B, 804A,804B,804C:奈米線 112,114,162,164,209,211:閘極介電質 116,166,224:P型導電層 118,168,214,214’:N型導電層 120,170:積體電路結構 122,172:閘極填充材料 124,174,580:界面 204:NMOS區域 206:PMOS區域 212:介電質層 214A:第一部分 214B,214B’,214C’:第二部分 214C:第三部分 216:阻隔層 218:第二阻隔層 220:箭頭 222:清晰的區別 226:導電填充物 302:半導體通道結構 304:非晶氧化物層 306,418,430,432,730:溝槽 308:高k介電質層 310:材料層 310A:雙極材料層 312:功函數層 314:閘極壓力源層 402,604,654:鰭片 404,710:犧牲層 408:保護帽 410:閘極堆疊 412:腔 414:上閘極間隔物 416:腔間隔物 420:吸聲材料 422:左手特徵 424:層間介電質(ILD)材料 426:永久閘極電極 428:永久閘極介電質 434:第一導電接觸結構 436:第二導電接觸結構 450,450’,540,620,670,780,802B:缺陷修飾層 452,452’,542,622,672,782,802C:鬆弛緩衝層 500:半導體結構或裝置 504:突出鰭片部分 505:子鰭片區域 506:溝槽隔離區域 508:閘極線 514:閘極接觸 516:上覆閘極接觸通孔 550:閘極電極 552:閘極介電質層 554:介電質帽層 560:上覆金屬互連 570:層間介電質堆疊或層 600,650,800:積體電路結構 606,656:量 608,658:隔離結構 660:隔離SAGE壁 662:閘極端帽間距 704:奈米線圖案化堆疊 706:微影圖案化堆疊 712:奈米線層 714:保護遮罩 720:形貌遮罩部分 720’:形貌遮罩層 722:抗反射塗佈(ARC)層 724:光阻層 740:隔離層 741:溝槽隔離結構 742:SAGE材料 802A:下基材部分 806:通道區域 808:閘極電極堆疊 810/812:非分立的源極或汲極區域 814:接觸 816:間隔物 900:計算裝置 902:主機板 904:處理器 906:通訊晶片 1000:中介層 1002:第一基材 1004:第二基材 1006:球柵陣列(BGA) 1008:金屬互連 1010:通孔 1012:穿越矽通孔(TSV) 1014:嵌入裝置
[圖1A]繪示具有金屬閘極之全繞式閘極積體電路結構的橫截面視圖。
[圖1B]繪示表示在製造根據本揭露之一實施例之具有氮化鉬金屬閘極及可能具有雙極層之閘極介電質之全繞式閘極積體電路結構之方法中各種操作的橫截面視圖。
[圖2A-2E]繪示根據本揭露之一實施例之製造具有氮化鉬金屬閘極之全繞式積體電路結構之方法中各種操作的橫截面視圖。
[圖3]根據本發明一實施例繪示閘極堆疊中的橫斷面視圖,其表示在製造具有用於調節閘極堆疊之臨限電壓之雙極層之積體電路結構的方法中的各種操作。
[圖4A-4J]繪示根據本揭露之一實施例之製造全繞式積體電路結構之方法中各種操作的橫截面視圖。
[圖5]繪示根據本揭露之一實施例之沿閘極線截取之非平面積體電路結構的橫截面視圖。
[圖6]繪示根據本揭露之實施例之透過非端帽架構之奈米線和鰭片截取的橫截面視圖(左手側(a)相對於自對準閘極端帽(SAGE)架構(右手側(b)))。
[圖7]繪示根據本揭露之一實施例之製造具有全繞式閘極裝置之自對準閘極端帽(SAGE)結構之方法中各種操作的橫截面視圖。
[圖8A]繪示根據本發明一實施例之基於奈米線積體電路結構的三維橫截面視圖。
[圖8B]繪示根據本發明一實施例之沿a-a’軸截取圖8A之基於奈米線積體電路結構的橫截面源極或汲極視圖。
[圖8C]繪示根據本揭露之一實施例之沿b-b’軸截取圖8A之基於奈米線積體電路結構的橫截面通道視圖。
[圖9]繪示根據本揭露之實施例之一實施方式的計算裝置。
[圖10]繪示包括本發明之一或多個實施例的中介層。
150:起始結構
152:基材
154:P型區域
156:N型區域
158,160:奈米線
162,164:閘極介電質
166:P型導電層
168:N型導電層
170:積體電路結構
172:閘極填充材料
174:界面

Claims (20)

  1. 一種積體電路結構,其包含: 水平奈米線之第一垂直配置; 水平奈米線之第二垂直配置; 第一閘極堆疊,其在該等水平奈米線之第一垂直配置之上,該第一閘極堆疊具有在第一閘極介電質上的P型導電層,該P型導電層包含鉬及氮;以及 第二閘極堆疊,其在該等水平奈米線之第二垂直配置之上,該第二閘極堆疊具有在第二閘極介電質上的N型導電層。
  2. 如請求項1之積體電路結構,其中該P型導電層更在該N型導電層上。
  3. 如請求項1或2之積體電路結構,更包含: 導電填充物,其在該P型導電層上,該導電填充物包含鎢。
  4. 如請求項3之積體電路結構,其中該導電填充物具有與該P型導電層的直接界面。
  5. 如請求項3之積體電路結構,其中該導電填充物具有與該P型導電層的氧化物界面。
  6. 如請求項1或2之積體電路結構,其中該P型導電層包含大於80%之鉬及氮的原子濃度,鉬與氮的比例在6:1至1:1的範圍內。
  7. 如請求項6之積體電路結構,其中該P型導電層包含在0-15%之氧的原子濃度。
  8. 如請求項6之積體電路結構,其中該P型導電層包含在0.1-10%之碳的原子濃度。
  9. 一種積體電路結構,其包含: 水平奈米線之第一垂直配置; 水平奈米線之第二垂直配置; 第一閘極堆疊,其在該等水平奈米線之第一垂直配置之上,該第一閘極堆疊具有在第一閘極介電質上的P型導電層,該第一閘極介電質包含在第一雙極材料層上的高k介電質層,該P型導電層包含鉬及氮;以及 第二閘極堆疊,其在該等水平奈米線之第二垂直配置之上,該第二閘極堆疊具有在第二閘極介電質上的N型導電層,該第二閘極介電質包含在第二雙極材料層上的該高k介電質層。
  10. 如請求項9之積體電路結構,其中該高k介電質層係HfO 2層。
  11. 如請求項9或10之積體電路結構,其中該第一雙極層包含選自Al 2O 3、TiO 2、ZrO 2及HfO 2所組成之群組的材料,及該第二雙極層包含選自La 2O 3、Y 2O 3、MgO、SrO及Lu 2O 3所組成之群組的材料。
  12. 如請求項9或10之積體電路結構,其中該第一或第二雙極層中的一者具有在1-3埃範圍內的厚度。
  13. 如請求項9或10之積體電路結構,其中該第一或第二雙極層中的一者具有在4-6埃範圍內的厚度。
  14. 如請求項9或10之積體電路結構,其中該P型導電層具有圍繞該等水平奈米線之第一垂直配置之該等奈米線的第一部分及從該第一部分旁邊橫向延伸並與該第一部分間隔開的第二部分,其中該P型導電層之該第二部分係介於該水平奈米線之第一垂直配置與該等水平奈米線之第二垂直配置之間,以及該N型導電層具有圍繞該等水平奈米線之第二垂直配置之該等奈米線的第一部分及相鄰於該P型導電層之該第二部分並與之接觸的第二部分。
  15. 如請求項9或10之積體電路結構,更包含: 第一對磊晶源極或汲極結構,其在該等水平奈米線之第一垂直配置的第一和第二端處;以及 第二對磊晶源極或汲極結構,其在該等水平奈米線之第二垂直配置的第一和第二端處。
  16. 一種計算裝置,包含: 板;以及 耦接至該板的組件,該組件包括積體電路結構,包含: 水平奈米線之第一垂直配置; 水平奈米線之第二垂直配置; 第一閘極堆疊,其在該等水平奈米線之第一垂直配置之上,該第一閘極堆疊具有在第一閘極介電質上的P型導電層,該P型導電層包含鉬及氮;以及 第二閘極堆疊,其在該等水平奈米線之第二垂直配置之上,該第二閘極堆疊具有在第二閘極介電質上的N型導電層。
  17. 如請求項16之計算裝置,更包含: 耦接至該板的記憶體。
  18. 如請求項16或17之計算裝置,更包含: 耦接至該板的通訊晶片。
  19. 如請求項16或17之計算裝置,其中該組件係封裝積體電路晶粒。
  20. 如請求項16或17之計算裝置,其中該組件係選自由處理器、通訊晶片、及數位訊號處理器所組成之群組。
TW110130121A 2020-09-23 2021-08-16 具有氮化鉬金屬閘極及具有雙極層的閘極介電質之全繞式閘極積體電路結構的製造 TW202213787A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/030,350 2020-09-23
US17/030,350 US20220093597A1 (en) 2020-09-23 2020-09-23 Fabrication of gate-all-around integrated circuit structures having molybdenum nitride metal gates and gate dielectrics with a dipole layer

Publications (1)

Publication Number Publication Date
TW202213787A true TW202213787A (zh) 2022-04-01

Family

ID=80740884

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110130121A TW202213787A (zh) 2020-09-23 2021-08-16 具有氮化鉬金屬閘極及具有雙極層的閘極介電質之全繞式閘極積體電路結構的製造

Country Status (2)

Country Link
US (1) US20220093597A1 (zh)
TW (1) TW202213787A (zh)

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102283024B1 (ko) * 2017-09-01 2021-07-27 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10510617B2 (en) * 2018-03-12 2019-12-17 International Business Machines Corporation CMOS VFET contacts with trench solid and liquid phase epitaxy
US11552180B2 (en) * 2018-06-29 2023-01-10 Intel Corporation Antiferroelectric perovskite gate oxide for transistor applications
US11417766B2 (en) * 2020-04-21 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors having nanostructures

Also Published As

Publication number Publication date
US20220093597A1 (en) 2022-03-24

Similar Documents

Publication Publication Date Title
US20200312981A1 (en) Gate-all-around integrated circuit structures having germanium nanowire channel structures
US20220093592A1 (en) Fabrication of gate-all-around integrated circuit structures having pre-spacer deposition cut gates
US20210202478A1 (en) Gate-all-around integrated circuit structures having low aspect ratio isolation structures and subfins
US20200312960A1 (en) Gate-all-around integrated circuit structures having embedded gesnb source or drain structures
US20210202534A1 (en) Gate-all-around integrated circuit structures having insulator substrate
US20210202696A1 (en) Gate-all-around integrated circuit structures having removed substrate
US20230163215A1 (en) Gate-all-around integrated circuit structures having fin stack isolation
US20240145471A1 (en) Gate-all-around integrated circuit structures having devices with source/drain-to-substrate electrical contact
US20220399373A1 (en) Integrated circuit structures having cut metal gates with dielectric spacer fill
US20220399336A1 (en) Fin cut in neighboring gate and source or drain regions for advanced integrated circuit structure fabrication
US20230197816A1 (en) Integrated circuit structures having metal gate plug landed on dielectric anchor
US20220093589A1 (en) Fabrication of gate-all-around integrated circuit structures having adjacent island structures
US20220093597A1 (en) Fabrication of gate-all-around integrated circuit structures having molybdenum nitride metal gates and gate dielectrics with a dipole layer
TWI839471B (zh) 具有鍺奈米線通道結構的環繞式閘極積體電路結構
US20230290851A1 (en) Fabrication of gate-all-around integrated circuit structures having additive gate structures
US20220093648A1 (en) Fabrication of gate-all-around integrated circuit structures having additive metal gates and gate dielectrics with a dipole layer
US20230299081A1 (en) Fabrication of gate-all-around integrated circuit structures having pre-spacer-deposition wide cut gates with extensions
US20240145568A1 (en) Integrated circuit structures having dielectric anchor void
US20220093598A1 (en) Fabrication of gate-all-around integrated circuit structures having additive metal gates
US20230290852A1 (en) Fabrication of gate-all-around integrated circuit structures having common metal gates and having gate dielectrics with differentiated dipole layers
US20230317807A1 (en) Fabrication of gate-all-around integrated circuit structures having additive gate structures in a tub architecture
US20220399333A1 (en) Integrated circuit structures having metal gates with reduced aspect ratio cuts
US20230187494A1 (en) Integrated circuit structures having maximized channel sizing
US20230420531A1 (en) Fabrication of gate-all-around integrated circuit structures having common metal gates and having gate dielectrics with an opposite polarity dipole layer
US20240154037A1 (en) Integrated circuit structures having dielectric anchor and confined epitaxial source or drain structure