TW202209485A - Method of expanding 3d device architectural designs for enhanced performance - Google Patents

Method of expanding 3d device architectural designs for enhanced performance Download PDF

Info

Publication number
TW202209485A
TW202209485A TW110115741A TW110115741A TW202209485A TW 202209485 A TW202209485 A TW 202209485A TW 110115741 A TW110115741 A TW 110115741A TW 110115741 A TW110115741 A TW 110115741A TW 202209485 A TW202209485 A TW 202209485A
Authority
TW
Taiwan
Prior art keywords
channel
semiconductor device
layer
dielectric
vertical
Prior art date
Application number
TW110115741A
Other languages
Chinese (zh)
Inventor
馬克 I 加德納
H 吉姆 富爾福德
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/113,736 external-priority patent/US11393813B2/en
Priority claimed from US17/115,122 external-priority patent/US11626329B2/en
Priority claimed from US17/189,674 external-priority patent/US11695058B2/en
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202209485A publication Critical patent/TW202209485A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823885Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Aspects of the present disclosure provide a vertical channel 3D semiconductor devices and a method for fabricating the same. The 3D semiconductor devices may have vertical channels of the same or different epitaxially grown doped materials. Sidewall structures are formed around each vertical channel by masking and etching material between the vertical channels. A dielectric layer in each of the sidewalls is etched down to the vertical channel and a gate electrode structure is formed in the opening. The gate electrode structure may include an interfacial oxide, a high-K layer and alternating metal layers. Local interconnects connect to the metal of the gate structure.

Description

擴展3D裝置結構設計以增強效能的方法Methods for extending 3D device structural design to enhance performance

本發明係關於包括垂直通道半導體裝置、電晶體及積體電路之微電子裝置,包括微製造方法。 [相關申請案之交互參照]The present invention relates to microelectronic devices including vertical channel semiconductor devices, transistors and integrated circuits, including microfabrication methods. [Cross-reference to related applications]

本發明主張2020年5月1日申請之美國臨時申請案第63/019,015號、2021年3月2日申請之美國非臨時申請案第17/189,674號、2020年5月22日申請之美國臨時申請案第63/028,620號、2020年12月7日申請之美國非臨時申請案第17/113,736號、2020年5月22日申請之美國臨時申請案第63/028,618號及2020年12月8日申請之美國非臨時申請案第17/115,122號的優先權,其整體揭示內容皆以引用方式併於此。This application claims US Provisional Application No. 63/019,015, filed on May 1, 2020, US Non-Provisional Application No. 17/189,674, filed on March 2, 2021, and US Provisional Application No. 17/189,674, filed on May 22, 2020 Application No. 63/028,620, US Non-Provisional Application No. 17/113,736, filed on December 7, 2020, US Provisional Application No. 63/028,618, filed on May 22, 2020, and December 8, 2020 Priority to US Non-Provisional Application Serial No. 17/115,122, filed in Japan, the entire disclosure of which is hereby incorporated by reference.

在半導體裝置之製造中(尤其是在微小尺度上),可執行諸多製造製程,例如成膜沉積、蝕刻遮罩形成、圖案化、材料蝕刻與去除、以及摻雜處理。可重複執行此些製程以在基板上形成所欲半導體裝置元件。In the fabrication of semiconductor devices, especially at the microscale, many fabrication processes, such as film deposition, etch mask formation, patterning, material etching and removal, and doping processes, can be performed. These processes can be repeated to form desired semiconductor device elements on the substrate.

歷史上,利用微製造,已在一平面中形成電晶體,且佈線/金屬化形成於主動裝置平面上方,因此已被表徵為二維(2D)電路或2D製造。微縮方面的努力已大幅增加2D電路中每單位面積電晶體的數量,但隨著微縮進入個位數奈米半導體裝置製造節點,微縮方面的努力正面臨更大的挑戰。半導體裝置製造商已表達對電晶體堆疊於另一者上之三維(3D)半導體電路的需求。Historically, with microfabrication, transistors have been formed in a plane with wiring/metallization formed above the plane of the active device, and thus have been characterized as two-dimensional (2D) circuits or 2D fabrication. Efforts in scaling have dramatically increased the number of transistors per unit area in 2D circuits, but are facing greater challenges as scaling moves into the single-digit nanoscale semiconductor device fabrication node. Semiconductor device manufacturers have expressed a need for three-dimensional (3D) semiconductor circuits in which transistors are stacked on top of one another.

3D整合(即多個裝置的垂直堆疊)旨在透過增加體積而非面積上之電晶體密度來克服平面裝置中所遇到的微縮限制。雖然隨著3D NAND的採用,快閃記憶體產業已成功證實並實施裝置堆疊,但應用於隨機邏輯設計實質上更加困難。需要邏輯晶片(CPU(中央處理單元)、GPU(圖形處理單元)、FPGA(場可程式化閘陣列、SoC(系統單晶片))的3D整合。3D integration (ie, vertical stacking of multiple devices) aims to overcome the scaling limitations encountered in planar devices by increasing the density of transistors in volume rather than area. While the flash memory industry has successfully demonstrated and implemented device stacking with the adoption of 3D NAND, application to random logic designs is substantially more difficult. 3D integration of logic chips (CPU (Central Processing Unit), GPU (Graphics Processing Unit), FPGA (Field Programmable Gate Array, SoC (System On Chip)) is required.

據此,本發明之一目的是提供可堆疊在另一者上之垂直電晶體的方法及裝置。Accordingly, it is an object of the present invention to provide methods and apparatus for vertical transistors that can be stacked on top of one another.

本文之技術包括3D裝置的微製造方法,其擴展3D裝置結構設計以增強校能,並使較高密度的電路能夠以較低成本來生產。垂直電晶體之垂直3D磊晶生長允許垂直維度上或垂直於晶圓表面的電流。本文之方法及設計包括製作具有垂直電流的CMOS裝置。本文之垂直3D裝置在z方向上實現另一自由度,其將增強現有3D裝置之佈線選項。因為通道長度係由沉積層或磊晶生長層定義,故實現具有相對短之電晶體長度。透過選擇性去除中間介電層來達成與閘電極的精準對位。本文技術免去對3D奈米堆疊之氧化物隔離的要求。The techniques herein include methods of microfabrication of 3D devices that extend the design of 3D device structures to enhance calibration and enable higher density circuits to be produced at lower cost. Vertical 3D epitaxial growth of vertical transistors allows current flow in the vertical dimension or perpendicular to the wafer surface. The methods and designs herein include fabricating CMOS devices with vertical current flow. The vertical 3D devices herein enable another degree of freedom in the z-direction that will enhance the routing options of existing 3D devices. Since the channel length is defined by the deposited or epitaxially grown layers, relatively short transistor lengths are achieved. Precise alignment with the gate electrode is achieved by selectively removing the intermediate dielectric layer. The techniques herein obviate the need for oxide isolation for 3D nanostacks.

第一實施例描述微製造的方法,包括 : 在第一層半導體材料上形成介電層堆疊,該介電層堆疊具有至少三層,其中第一介電材料位於第二介電材料之下方及上方,第一介電材料不同於第二介電材料,其在於可在不去除第一介電材料下去除第二介電材料;在介電層堆疊中形成開口,使得第一層半導體材料顯露;在顯露開口內磊晶生長通道材料以形成垂直通道;去除介電層堆疊之一部分,使得側壁結構留在垂直通道上;從側壁結構上去除第二介電材料,使垂直通道之側壁表面顯露;以及在垂直通道之顯露側壁表面上形成閘極結構。A first embodiment describes a method of microfabrication comprising: forming a dielectric layer stack on a first layer of semiconductor material, the dielectric layer stack having at least three layers, wherein the first dielectric material is located below the second dielectric material and Above, the first dielectric material differs from the second dielectric material in that the second dielectric material can be removed without removing the first dielectric material; openings are formed in the dielectric layer stack such that the first layer of semiconductor material is exposed ; epitaxially growing channel material in the exposed opening to form vertical channels; removing a portion of the dielectric layer stack so that the sidewall structures remain on the vertical channels; removing the second dielectric material from the sidewall structures to expose the sidewall surfaces of the vertical channels ; and forming gate structures on exposed sidewall surfaces of the vertical channels.

第二實施例描述半導體裝置,包括 : 基板層;第一層第一介電材料;第一層半導體材料;具有至少三層之介電層堆疊,其中第一介電材料位於第二介電材料之下方及上方,第一介電材料不同於第二介電材料,其在於可在不去除第一介電材料下去除第二介電材料;介電層堆疊中的第一開口,其中顯露第一層半導體材料;垂直通道,其在第一開口中具有磊晶生長的摻雜材料;側壁結構,其係由垂直通道之間之介電層堆疊中的第二開口形成;側壁結構中之第三開口,其係透過去除該第二介電材料直到垂直通道而形成; 第三開口中之閘極結構;以及局部互連,其連接至每一垂直通道之閘極結構,垂直通道配置成傳導垂直於基板層之工作表面的電流。A second embodiment describes a semiconductor device comprising: a substrate layer; a first layer of a first dielectric material; a first layer of semiconductor material; a dielectric layer stack having at least three layers, wherein the first dielectric material is positioned over the second dielectric material Below and above, the first dielectric material is different from the second dielectric material in that the second dielectric material can be removed without removing the first dielectric material; a first opening in the dielectric layer stack in which the first dielectric material is exposed A layer of semiconductor material; vertical channels with epitaxially grown dopant material in the first openings; sidewall structures formed by second openings in the stack of dielectric layers between the vertical channels; first of the sidewall structures Three openings formed by removing the second dielectric material up to the vertical channels; gate structures in the third openings; and local interconnects connected to the gate structures of each vertical channel configured to conduct Current perpendicular to the working surface of the substrate layer.

3D整合(即多個裝置的垂直堆疊)旨在透過增加體積而非面積上之電晶體密度來克服平面裝置中所遇到的微縮限制。雖然隨著3D NAND的採用,快閃記憶體產業已成功證實並實施裝置堆疊,但應用於隨機邏輯設計實質上更加困難。正追求邏輯晶片(例如,中央處理單元(CPU)、圖形處理單元(GPU)、場可程式化閘陣列(FPGA)及系統單晶片(SoC)的3D整合。3D integration (ie, vertical stacking of multiple devices) aims to overcome the scaling limitations encountered in planar devices by increasing the density of transistors in volume rather than area. While the flash memory industry has successfully demonstrated and implemented device stacking with the adoption of 3D NAND, application to random logic designs is substantially more difficult. 3D integration of logic chips (eg, central processing unit (CPU), graphics processing unit (GPU), field programmable gate array (FPGA), and system-on-chip (SoC)) is being pursued.

本發明之態樣提供用於製造3D半導體設備的方法。在一實施例中,3D半導體設備可包括第一半導體裝置及第二半導體裝置。例如,該方法可包括在基板上形成多層堆疊,該多層堆疊包括複數層能夠相對於彼此被選擇性蝕刻之至少三個不同介電材料。該方法亦可包括形成向下穿過多層堆疊到該基板之至少一開口,使得基板顯露。該方法亦可包括從該顯露之基板垂直地形成該半導體設備之第一半導體裝置的第一通道以及從第一通道垂直地形成該半導體設備之第二半導體裝置的第二通道。該方法亦可包括去除多層堆疊之過渡介電層,使得在過渡介電層處交界之第一通道與第二通道的一部分顯露。該方法亦可包括在該顯露部分處形成矽化物以將第一通道耦接至第二通道。在一實施例中,該方法亦可包括蝕刻多層堆疊層以定義圍繞第一及第二通道之多層堆疊的側壁結構,其中去除多層堆疊之該等層中的過渡介電層包括去除多層堆疊之該等層之側壁結構的過渡介電層。Aspects of the present invention provide methods for fabricating 3D semiconductor devices. In one embodiment, the 3D semiconductor apparatus may include a first semiconductor device and a second semiconductor device. For example, the method can include forming a multi-layer stack on a substrate, the multi-layer stack including a plurality of layers of at least three different dielectric materials capable of being selectively etched relative to each other. The method may also include forming at least one opening down through the multilayer stack to the substrate such that the substrate is exposed. The method may also include vertically forming a first channel of a first semiconductor device of the semiconductor device from the exposed substrate and vertically forming a second channel of a second semiconductor device of the semiconductor device from the first channel. The method may also include removing the transition dielectric layer of the multilayer stack such that a portion of the first channel and the second channel that interface at the transition dielectric layer are exposed. The method may also include forming a silicide at the exposed portion to couple the first channel to the second channel. In one embodiment, the method may also include etching the multilayer stack to define sidewall structures of the multilayer stack surrounding the first and second vias, wherein removing the transition dielectric layer in the layers of the multilayer stack includes removing a layer of the multilayer stack. The transition dielectric layer of the sidewall structure of the layers.

例如,第一與第二半導體裝置為不同類型。在一實施例中,可以透過在第一通道及第二通道之顯露部分上沉積矽化物金屬,並使矽化物金屬與第一通道及第二通道之顯露部分進行矽化(silicidizing)以形成矽化物。例如,矽化物金屬可選自由釕(Ru)、鈷(Co)、鈦(Ti)、鎢(W)、鈀(Pd)、鉑(Pt)及鎳(Ni)所組成之群組。在一實施例中,開口可具有矩形或圓形橫截面。For example, the first and second semiconductor devices are of different types. In one embodiment, the silicide may be formed by depositing silicide metal on the exposed portions of the first channel and the second channel, and silicidizing the silicide metal and the exposed portions of the first channel and the second channel. . For example, the silicide metal can be selected from the group consisting of ruthenium (Ru), cobalt (Co), titanium (Ti), tungsten (W), palladium (Pd), platinum (Pt), and nickel (Ni). In an embodiment, the opening may have a rectangular or circular cross-section.

在一實施例中,該方法可進一步包括去除多層堆疊之該等層的閘極介電層並用閘極材料取代以形成第一半導體裝置之第一閘極區域及第二半導體裝置之第二閘極區域。在另一實施例中,第一及第二閘極區域可透過去除閘極介電層以顯露第一及第二通道來形成;在顯露之第一及第二通道上形成閘極介電材料;在閘極介電材料上形成第一金屬材料; 形成第二金屬材料,其被鄰近第一通道之第一金屬材料所包圍;以及形成第三金屬材料,其被鄰近第二通道被之第一金屬材料所包圍。例如,第一與第二金屬材料中之至少一者可包括至少兩個不同金屬材料。作為另一示例,第二與第三金屬材料可包括相同金屬材料。在一實施例中,形成閘極介電材料可包括在第一與第二通道以及多層堆疊上形成閘極介電材料。In one embodiment, the method may further include removing the gate dielectric layers of the layers of the multilayer stack and replacing with gate material to form a first gate region of the first semiconductor device and a second gate of the second semiconductor device extreme region. In another embodiment, the first and second gate regions may be formed by removing the gate dielectric layer to expose the first and second channels; forming gate dielectric material on the exposed first and second channels ; forming a first metal material on the gate dielectric material; forming a second metal material surrounded by the first metal material adjacent to the first channel; and forming a third metal material surrounded by the first metal material adjacent the second channel surrounded by a metallic material. For example, at least one of the first and second metallic materials may include at least two different metallic materials. As another example, the second and third metallic materials may comprise the same metallic material. In one embodiment, forming the gate dielectric material may include forming the gate dielectric material on the first and second channels and the multilayer stack.

在一實施例中,該方法可進一步包括在基板上形成植入層,其中多層堆疊形成在植入層上。在另一實施例中,從顯露之基板垂直形成半導體設備之第一半導體裝置的第一通道以及從第一通道垂直形成半導體設備之第二半導體裝置的第二通道包括可包括 : 在開口內從植入層磊晶生長第一通道材料至過渡介電層以形成第一通道,以及在開口內從過渡介電層磊晶生長第二通道材料至多層堆疊之頂部以形成第二通道。例如,第一通道材料與植入層可摻雜有相同類型的摻雜物。In one embodiment, the method may further include forming an implant layer on the substrate, wherein the multi-layer stack is formed on the implant layer. In another embodiment, vertically forming a first channel of a first semiconductor device of the semiconductor device from the exposed substrate and vertically forming a second channel of a second semiconductor device of the semiconductor device from the first channel may include: within the opening, from The implant layer epitaxially grows a first channel material into the transition dielectric layer to form a first channel, and epitaxially grows a second channel material from the transition dielectric layer within the opening to the top of the multilayer stack to form a second channel. For example, the first channel material and the implant layer may be doped with the same type of dopant.

在一實施例中,該方法可進一步包括在矽化物上形成第四金屬材料。在另一實施例中,該方法可進一步包括在多層堆疊上形成矽化物,以及在矽化物上形成第五金屬材料。在又另一實施例中, 該方法可進一步包括去除多層堆疊之該等層的第二源極/汲極(S/D)介電層並用第二S/D材料取代以形成第二半導體裝置之第二S/D區域,以及去除多層堆疊之該等層中的第一S/D介電層並用第一S/D材料取代以形成第一半導體裝置之第一S/D區域。例如,第二S/D介電層能夠相對於第一S/D介電層被選擇性地蝕刻。In one embodiment, the method may further include forming a fourth metal material on the silicide. In another embodiment, the method may further include forming a silicide on the multi-layer stack, and forming a fifth metal material on the silicide. In yet another embodiment, the method may further include removing a second source/drain (S/D) dielectric layer of the layers of the multilayer stack and replacing with a second S/D material to form a second semiconductor device the second S/D region, and the first S/D dielectric layer in the layers of the multilayer stack is removed and replaced with the first S/D material to form the first S/D region of the first semiconductor device. For example, the second S/D dielectric layer can be selectively etched relative to the first S/D dielectric layer.

本發明之態樣亦提供半導體設備。例如,半導體設備可包括第一半導體裝置以及堆疊在第一半導體裝置上之第二半導體裝置。在一實施例中,第一半導體裝置可包括第一S/D區域、夾置於第一S/D區域之間的第一閘極區域、以及被第一S/D區域及第一閘極區域包圍之第一通道。在另一實施例中, 第二半導體裝置可包括第二S/D區域、夾置於第二S/D區域之間的第二閘極區域、以及被第二S/D區域及第二閘極區域包圍且原位垂直形成於第一通道上之第二通道。作為另一示例,半導體設備可進一步包括矽化物。在一實施例中,矽化物可形成於第一半導體裝置與第二半導體裝置之間,在其中第一通道與第二通道交界,且該矽化物耦接至第一半導體裝置之第一S/D區域的較上者與第二半導體裝置之第二S/D區域的較下者。Aspects of the present invention also provide semiconductor devices. For example, a semiconductor apparatus may include a first semiconductor device and a second semiconductor device stacked on the first semiconductor device. In one embodiment, the first semiconductor device may include a first S/D region, a first gate region sandwiched between the first S/D regions, and a first S/D region and a first gate The first channel surrounded by the area. In another embodiment, the second semiconductor device may include a second S/D region, a second gate region sandwiched between the second S/D regions, and a second S/D region and the second gate The pole region surrounds a second channel formed in situ perpendicular to the first channel. As another example, the semiconductor device may further include a silicide. In one embodiment, a silicide may be formed between the first semiconductor device and the second semiconductor device, where the first channel and the second channel interface, and the silicide is coupled to the first S// of the first semiconductor device The upper one of the D region and the lower one of the second S/D region of the second semiconductor device.

例如,第一半導體裝置與第二半導體裝置可為不同類型。在一實施例中,第一閘極區域可包括第一金屬材料及被第一金屬材料包圍之第二金屬材料,第二閘極區域可包括第一金屬材料及被第一金屬材料包圍之第三金屬材料。例如,第二金屬材料與第三金屬材料可包括相同金屬材料。For example, the first semiconductor device and the second semiconductor device may be of different types. In one embodiment, the first gate region may include a first metal material and a second metal material surrounded by the first metal material, and the second gate region may include a first metal material and a second metal material surrounded by the first metal material. Three metal materials. For example, the second metal material and the third metal material may include the same metal material.

根據第一態樣,提供半導體裝置。該半導體裝置可包括墊層,其包括至少一墊結構,該墊結構具有核心區及包圍核心區之外圍區。半導體裝置亦可包括位於墊結構之核心區上方的電晶體。電晶體包括在垂直方向上延伸之通道結構及在通道結構之側壁部分周圍的閘極結構。通道結構具有垂直通道區域以及在垂直通道區域之相對端上的源極區域與汲極區域。通道結構配置成電耦接至墊結構。半導體裝置可進一步包括接觸通道結構之頂表面的第一垂直互連結構、接觸外圍區並配置成透過墊結構耦接至通道結構之底表面的第二垂直互連結構、設於遠離通道結構處並接觸電晶體之閘極結構的第三垂直互連結構。According to a first aspect, a semiconductor device is provided. The semiconductor device may include a pad layer including at least one pad structure having a core region and a peripheral region surrounding the core region. The semiconductor device may also include a transistor over the core region of the pad structure. The transistor includes a channel structure extending in a vertical direction and a gate structure around sidewall portions of the channel structure. The channel structure has a vertical channel region and source and drain regions on opposite ends of the vertical channel region. The channel structure is configured to be electrically coupled to the pad structure. The semiconductor device may further include a first vertical interconnect structure contacting the top surface of the channel structure, a second vertical interconnect structure contacting the peripheral region and configured to couple to the bottom surface of the channel structure through the pad structure, disposed away from the channel structure and contact the third vertical interconnect structure of the gate structure of the transistor.

在一些實施例中,半導體裝置可進一步包括接觸墊結構之外圍區或電晶體之閘極結構的第四垂直互連結構。In some embodiments, the semiconductor device may further include a fourth vertical interconnect structure that contacts the peripheral region of the pad structure or the gate structure of the transistor.

在一些實施例中,通道結構可位於墊結構的中心或偏離墊結構的中心。In some embodiments, the channel structure may be centered or offset from the center of the pad structure.

在一些實施例中,第二垂直互連結構及第三垂直互連結構可位於距通道結構之相同徑向位置或不同徑向位置處,且第二垂直互連結構及第三垂直互連結構可位於距通道結構之相同距離或不同距離處。In some embodiments, the second vertical interconnect structure and the third vertical interconnect structure may be located at the same radial position or at different radial positions from the channel structure, and the second vertical interconnect structure and the third vertical interconnect structure Can be located at the same distance or at different distances from the channel structure.

在一些實施例中,特定墊結構上方之特定電晶體可與相鄰墊結構上方之相鄰電晶體相同或不同。In some embodiments, a particular transistor over a particular pad structure can be the same or different from an adjacent transistor over an adjacent pad structure.

在一些實施例中,該至少一墊結構之一或更多者可透過介電材彼此分隔。In some embodiments, one or more of the at least one pad structure can be separated from each other by a dielectric material.

在一些實施例中,該至少一墊結構可包括第一墊結構以及與第一墊結構鄰近且接觸之第二墊結構。第一墊結構上方之第三垂直互連結構與第二墊結構上方之第三垂直互連結構為化學上相同並彼此接觸以一體成型地形成第一共同垂直互連結構,以及第一墊結構上方之第二垂直互連結構與第二墊結構上方之第二垂直互連結構為化學上相同並彼此接觸以一體成型地形成第二共同垂直互連結構。第一共同垂直互連結構可接觸設置於第一墊結構上方之第一電晶體的第一閘極結構與設置於第二墊結構上方之第二電晶體的第二閘極結構,且第二共同垂直互連結構可接觸第一墊結構及第二墊結構。進一步地,半導體裝置可包括接觸第一墊結構及第二墊結構之水平接觸結構。水平接觸結構佈設於第一共同垂直互連結構下方。半導體裝置亦可包括將水平接觸結構與第一共同垂直互連結構分開的介電材。In some embodiments, the at least one pad structure may include a first pad structure and a second pad structure adjacent to and in contact with the first pad structure. The third vertical interconnect structure over the first pad structure and the third vertical interconnect structure over the second pad structure are chemically identical and contact each other to integrally form the first common vertical interconnect structure, and the first pad structure The second vertical interconnect structure above and the second vertical interconnect structure above the second pad structure are chemically identical and contact each other to integrally form a second common vertical interconnect structure. The first common vertical interconnect structure can contact the first gate structure of the first transistor disposed above the first pad structure and the second gate structure of the second transistor disposed above the second pad structure, and the second The common vertical interconnect structure may contact the first pad structure and the second pad structure. Further, the semiconductor device may include a horizontal contact structure contacting the first pad structure and the second pad structure. The horizontal contact structure is disposed under the first common vertical interconnect structure. The semiconductor device may also include a dielectric material separating the horizontal contact structure from the first common vertical interconnect structure.

根據本發明之第二態樣,提供微製造的方法。該方法可包括在基板上方形成墊層。墊層包括至少一墊結構,其核心區被外圍區包圍。可在墊結構之核心區上方形成電晶體。電晶體包括在垂直方向上延伸之通道結構以及在通道結構之側壁部分周圍的閘極結構。通道結構具有垂直通道區域以及在垂直通道區域之相對端上的源極區域與汲極區域。通道結構配置成電耦接至墊結構。可形成第一垂直互連結構,其接觸通道結構之頂表面。可形成第二垂直互連結構,其接觸墊結構之外圍區並配置成透過墊結構耦接至通道結構之底表面。可形成第三垂直互連結構,其設於遠離通道結構處並接觸電晶體之閘極結構。According to a second aspect of the present invention, a method of microfabrication is provided. The method can include forming a pad over the substrate. The pad layer includes at least one pad structure, the core area of which is surrounded by the peripheral area. A transistor can be formed over the core region of the pad structure. The transistor includes a channel structure extending in a vertical direction and a gate structure around sidewall portions of the channel structure. The channel structure has a vertical channel region and source and drain regions on opposite ends of the vertical channel region. The channel structure is configured to be electrically coupled to the pad structure. A first vertical interconnect structure can be formed that contacts the top surface of the channel structure. A second vertical interconnect structure can be formed that contacts the peripheral region of the pad structure and is configured to couple to the bottom surface of the channel structure through the pad structure. A third vertical interconnect structure can be formed that is positioned away from the channel structure and contacts the gate structure of the transistor.

在一些實施例中,在墊結構之核心區上方形成電晶體之後,該方法可進一步包括在墊層上方沉積絕緣材料以填充空間並覆蓋電晶體。在一些實施例中,形成該第一垂直互連結構可包括在絕緣材料中形成開口。該開口顯露通道結構之頂表面。用導電材料填充該開口。在一些實施例中,形成第二垂直互連結構可包括在絕緣材料中形成開口。該開口顯露墊結構之外圍區。用導電材料填充該開口。在一些實施例中,形成第三垂直互連結構可包括在絕緣材料中形成開口。該開口顯露閘極結構。用導電材料填充該開口。In some embodiments, after forming the transistor over the core region of the pad structure, the method may further include depositing an insulating material over the pad layer to fill the space and cover the transistor. In some embodiments, forming the first vertical interconnect structure may include forming an opening in an insulating material. The opening exposes the top surface of the channel structure. Fill the opening with conductive material. In some embodiments, forming the second vertical interconnect structure may include forming an opening in the insulating material. The opening exposes the peripheral region of the pad structure. Fill the opening with conductive material. In some embodiments, forming the third vertical interconnect structure may include forming an opening in the insulating material. The opening exposes the gate structure. Fill the opening with conductive material.

在一些實施例中,在墊層上方沉積絕緣材料之前,該方法可進一步包括沉積第一導電材料,其包圍電晶體並接觸閘極結構。基於遮罩蝕刻第一導電材料,使得第一導電材料覆蓋電晶體之側壁部分並接觸閘極結構之側壁部分。形成第三垂直互連結構可進一步包括在絕緣材料中形成開口。該開口顯露第一導電材料。用第二導電材料填充該開口。第二導電材料設置於第一導電材料上方。In some embodiments, prior to depositing the insulating material over the pad layer, the method may further include depositing a first conductive material that surrounds the transistor and contacts the gate structure. The first conductive material is etched based on the mask so that the first conductive material covers the sidewall portion of the transistor and contacts the sidewall portion of the gate structure. Forming the third vertical interconnect structure may further include forming an opening in the insulating material. The opening exposes the first conductive material. The opening is filled with a second conductive material. The second conductive material is disposed above the first conductive material.

在一些實施例中,可形成第四垂直互連結構,其接觸墊結構之外圍區或電晶體之閘極結構。In some embodiments, a fourth vertical interconnect structure may be formed that contacts the peripheral region of the pad structure or the gate structure of the transistor.

根據本發明之第三態樣,提供微製造的方法。該方法可包括在基板上方形成墊層。墊層包括第一墊結構以及與第一墊結構鄰近並接觸之第二墊結構。可在第一與第二墊結構上方形成電晶體。電晶體包括在垂直方向上延伸之通道結構以及在通道結構之側壁部分周圍的閘極結構。通道結構具有垂直通道區域以及在垂直通道區域之相對端上的源極區域與汲極區域。通道結構配置成電耦接至對應墊結構,其佈設於通道結構下方並水平延伸超過通道結構之周邊。可形成第一垂直互連結構,其接觸設置於第一墊結構上方之第一電晶體之第一通道結構的第一頂表面。可形成第二垂直互連結構,其接觸設置於第二墊結構上方之第二電晶體之第二通道結構的第二頂表面。可形成第一共同垂直互連結構,其配置成耦接至第一電晶體之第一閘極結構及第二電晶體之第二閘極結構。 可形成第二共同垂直互連結構,其接觸第一墊結構及第二墊結構。According to a third aspect of the present invention, a method of microfabrication is provided. The method can include forming a pad over the substrate. The pad layer includes a first pad structure and a second pad structure adjacent to and in contact with the first pad structure. Transistors may be formed over the first and second pad structures. The transistor includes a channel structure extending in a vertical direction and a gate structure around sidewall portions of the channel structure. The channel structure has a vertical channel region and source and drain regions on opposite ends of the vertical channel region. The channel structures are configured to be electrically coupled to corresponding pad structures disposed below the channel structures and extending horizontally beyond the perimeter of the channel structures. A first vertical interconnect structure can be formed that contacts the first top surface of the first channel structure of the first transistor disposed above the first pad structure. A second vertical interconnect structure can be formed that contacts the second top surface of the second channel structure of the second transistor disposed above the second pad structure. A first common vertical interconnect structure can be formed that is configured to couple to the first gate structure of the first transistor and the second gate structure of the second transistor. A second common vertical interconnect structure can be formed that contacts the first pad structure and the second pad structure.

在一些實施例中,在第一與第二墊結構上方形成電晶體後,該方法可進一步包括形成第一水平接觸結構及第二水平接觸結構。第一水平接觸結構與第二水平接觸結構兩者接觸第一墊結構及第二墊結構。在第一水平接觸結構上方沉積介電材。在介電層上方沉積第一導電材料以連接第一閘極結構與第二閘極結構。在墊層上方沉積絕緣材料以填充空間並覆蓋電晶體。In some embodiments, after forming the transistor over the first and second pad structures, the method may further include forming a first horizontal contact structure and a second horizontal contact structure. Both the first horizontal contact structure and the second horizontal contact structure contact the first pad structure and the second pad structure. A dielectric material is deposited over the first horizontal contact structure. A first conductive material is deposited over the dielectric layer to connect the first gate structure and the second gate structure. An insulating material is deposited over the pad layer to fill the spaces and cover the transistors.

在一些實施例中,形成第一垂直互連結構可透過在絕緣材料中形成第一開口並用第二導電材料填充第一開口來完成。第一開口顯露第一通道結構之第一頂表面。形成第二垂直互連結構係透過在絕緣材料中形成第二開口並用第三導電材料填充第二開口來完成。 第二開口顯露第二通道結構之第二頂表面。形成第一共同垂直互連結構係透過在絕緣材料中形成第三開口並用第四導電材料填充第三開口來完成。第三開口顯露第一導電材料。形成第二共同垂直互連結構係透過在絕緣材料中形成第四開口並用第五導電材料填充第四開口來完成。第四開口顯露第二水平接觸結構。In some embodiments, forming the first vertical interconnect structure may be accomplished by forming a first opening in an insulating material and filling the first opening with a second conductive material. The first opening exposes the first top surface of the first channel structure. Forming the second vertical interconnect structure is accomplished by forming a second opening in the insulating material and filling the second opening with a third conductive material. The second opening exposes the second top surface of the second channel structure. Forming the first common vertical interconnect structure is accomplished by forming a third opening in the insulating material and filling the third opening with a fourth conductive material. The third opening exposes the first conductive material. Forming the second common vertical interconnect structure is accomplished by forming a fourth opening in the insulating material and filling the fourth opening with a fifth conductive material. The fourth opening exposes the second horizontal contact structure.

當然,本文所述之不同步驟的討論順序已為了清楚目的來呈現。一般而言,此些步驟可依任何合適的順序來執行。另外,雖然本文中之每一不同特徵、技術、配置等可能是在本發明之不同地方來討論,但其用意為每一概念可彼此獨立地或彼此以任何適當的組合來執行。據此,可以許多不同方式來具體實施並概觀本發明。Of course, the order of discussion of the various steps described herein has been presented for clarity. In general, such steps may be performed in any suitable order. Additionally, although each of the various features, techniques, configurations, etc. herein may be discussed in various places of the present disclosure, it is intended that each concept may be implemented independently of each other or in any suitable combination with each other. Accordingly, the present invention may be embodied and outlined in many different ways.

注意,此發明內容章節並未指定本發明或所請發明之每一實施例及/或增加的新穎態樣。相反地,此發明內容僅提供對不同實施例及相對於習知技術之對應新穎點的初步討論。對於本發明及實施例之額外細節及/或可能的觀點,讀者可參考如下進一步討論之本發明的實施方式部分及對應圖式。Note that this Summary section does not specify each embodiment and/or added novel aspect of the invention or claimed invention. Rather, this summary provides only a preliminary discussion of the various embodiments and corresponding points of novelty over the prior art. For additional details and/or possible perspectives of the invention and examples, the reader is referred to the Embodiments of the Invention section and corresponding drawings discussed further below.

現參考圖式,其中在數個視圖中相同參考標號表示相同或對應的部件。Reference is now made to the drawings, wherein like reference numerals refer to like or corresponding parts throughout the several views.

本文技術包括3D裝置的微製造方法,其擴展3D裝置結構設計以增強效能,並使較高密度的電路能夠以較低成本來生產。垂直電晶體之垂直3D磊晶生長允許垂直維度上或垂直於晶圓表面的電流。本文之方法及設計包括製作具有垂直電流的CMOS裝置。本文之垂直3D裝置在z方向上實現另一自由度,其將增強現有3D裝置之佈線選項。因為通道長度係由沉積層或磊晶生長層定義,故實現具有相對短之電晶體長度。透過選擇性去除中間介電層來達成與閘電極的精準對位。本文技術免去對3D奈米堆疊之氧化物隔離的要求。對於具有特定基板條件之GAA裝置,垂直電晶體可具有無限的W。The techniques herein include methods of microfabrication of 3D devices that extend the design of 3D device structures to enhance performance and enable higher density circuits to be produced at lower cost. Vertical 3D epitaxial growth of vertical transistors allows current flow in the vertical dimension or perpendicular to the wafer surface. The methods and designs herein include fabricating CMOS devices with vertical current flow. The vertical 3D devices herein enable another degree of freedom in the z-direction that will enhance the routing options of existing 3D devices. Since the channel length is defined by the deposited or epitaxially grown layers, relatively short transistor lengths are achieved. Precise alignment with the gate electrode is achieved by selectively removing the intermediate dielectric layer. The techniques herein obviate the need for oxide isolation for 3D nanostacks. For GAA devices with specific substrate conditions, vertical transistors can have infinite W.

因為閘電極與源極區域具有360度接通,故可將觸點置於源極之任一側或閘極之任一側。源極與汲極可互換,因為每一通道均可與其他通道隔離。360度接通係裝置結構之一項顯著優勢,以達最大佈線連接及繞線。給定之通道區域可取決於電路要求而集中在S/D磊晶區域或是偏移以達最大佈線效率。垂直3D結構提供可接通性(360度觸點及繞線接通至通道、源極及汲極),因而增加電路密度。Because the gate and source regions have a 360 degree connection, contacts can be placed on either side of the source or either side of the gate. The source and drain are interchangeable because each channel can be isolated from the others. The 360 degree connection is a significant advantage of the device construction for maximum wiring connection and routing. A given channel area can be concentrated in the S/D epitaxial area or offset for maximum routing efficiency depending on circuit requirements. The vertical 3D structure provides accessibility (360-degree contacts and routing to channels, sources and drains), thereby increasing circuit density.

本文之示例性實施例將參考圖式來描述幾個流程。圖1A-1L示出單個垂直單晶(或大晶界)NMOS閘極全環(gate-all-around,GAA)裝置,其使用含有矽/氧化物/矽堆疊的基板,用於NFET裝置。圖2A-2L示出單個垂直單晶(或大晶界)PMOS閘極全環(GAA)裝置,其使用包含矽/氧化物/矽堆疊之基板,用於PFET裝置。圖3A-3V示出使用並排之單晶GAA裝置(NMOS及PMOS)進行雙磊晶生長之CMOS形成。Exemplary embodiments herein will describe several flows with reference to the drawings. 1A-1L illustrate a single vertical monocrystalline (or large grain boundary) NMOS gate-all-around (GAA) device using a substrate containing a silicon/oxide/silicon stack for NFET devices. 2A-2L illustrate a single vertical monocrystalline (or large grain boundary) PMOS gate full ring (GAA) device using a substrate comprising a silicon/oxide/silicon stack for a PFET device. 3A-3V illustrate CMOS formation using side-by-side single crystal GAA devices (NMOS and PMOS) for double epitaxial growth.

現參考圖1A,基板102製備有層堆。此可包括第一介電材104與第二介電材108的交替層。介電材係選擇為相對於彼此具蝕刻選擇性。介電材可為SiN、氧化矽、二氧化矽、碳化矽或類似者。例如,給定之等向或氣相蝕刻可蝕刻一介電材而不蝕刻(實質上蝕刻)另一介電材。在一示例性實施例中,第一介電材104為二氧化矽而第二介電材108為高K介電材。高K介電材可為 Al2 O3 、AlN、ZrO2 、HfO2 、HfSiOx 、ZrSiOx、HfOxNy、ZrOxNy、HfxZryOz、Ta2O5、La2O3、Y2O3、Nb2O5、TiO2、Pr2O3、Gd2 O3 、SiBN、BCN、氫化碳化硼、或類似者。Referring now to FIG. 1A, a substrate 102 is prepared with a layer stack. This may include alternating layers of first dielectric material 104 and second dielectric material 108 . The dielectric materials are selected to be etch selective with respect to each other. The dielectric material may be SiN, silicon oxide, silicon dioxide, silicon carbide or the like. For example, a given isotropic or vapor phase etch can etch one dielectric material without etching (substantially etching) another dielectric material. In an exemplary embodiment, the first dielectric material 104 is silicon dioxide and the second dielectric material 108 is a high-K dielectric material. The high-K dielectric material can be Al 2 O 3 , AlN, ZrO 2 , HfO 2 , HfSiO x , ZrSiOx, HfOxNy, ZrOxNy, HfxZryOz, Ta2O5, La2O3, Y2O3 , Nb2O5, TiO2 , Pr2O3, Gd2O3, SiBN, BCN, hydrogenated boron carbide, or the like.

在此堆疊中,在塊材基板102材料上沉積氧化物,接著在氧化物上沉積一層半導體材料106。此可為矽或鍺或其他N+半導體材料。它可沉積為非晶態,接著透過烘烤或雷射退火進行結晶。此層可由N+摻雜物形成或植入N+摻雜物。N+摻雜物尤其可為例如砷或磷等。在此半導體層上方,介電層堆疊係由交替層形成。介電層堆疊至少包括隔離第二介電材108之第一介電材104。隨後,此將能夠顯露通道並保持與源極/汲極區域之間距。In this stack, an oxide is deposited over the bulk substrate 102 material, followed by a layer of semiconductor material 106 over the oxide. This can be silicon or germanium or other N+ semiconductor materials. It can be deposited amorphous and then crystallized by baking or laser annealing. This layer may be formed of N+ dopant or implanted with N+ dopant. The N+ dopant may in particular be, for example, arsenic or phosphorous or the like. Above this semiconductor layer, a dielectric layer stack is formed of alternating layers. The dielectric layer stack includes at least the first dielectric material 104 separating the second dielectric material 108 . This will then be able to reveal the channel and maintain the spacing from the source/drain regions.

在圖1B中,使用光阻110以在層堆上形成蝕刻遮罩。光阻為光敏材料,其用於黃光微影中以在表面上形成圖案化塗層。此蝕刻遮罩定義形成於層堆中的開口。此開口可為圓形、方形/矩形或其他通道橫截面形狀。使用此蝕刻遮罩執行定向/非等向蝕刻以去除層堆之顯露部分140,直至到達並顯露該層半導體材料106。接著可去除遮罩層110。In Figure IB, photoresist 110 is used to form an etch mask on the layer stack. Photoresists are light-sensitive materials that are used in yellow light lithography to form a patterned coating on a surface. The etch mask defines openings formed in the layer stack. This opening can be circular, square/rectangular, or other channel cross-sectional shape. A directional/anisotropic etch is performed using this etch mask to remove the exposed portion 140 of the layer stack until the layer of semiconductor material 106 is reached and exposed. The mask layer 110 may then be removed.

利用開口內所顯露之N+材料,可透過磊晶生長來生長N+通道材料112,如圖 1C 所示。因為沉積之通道長度係由物理沉積定義,所以本文之通道長度可短至10埃。對於視為相對短之通道長度,此些長度可為約20A至100A。可知悉,亦考慮數10或數百奈米之通道長度。較長的長度可提供放寬的微縮尺寸。Using the N+ material exposed in the opening, the N+ channel material 112 can be grown by epitaxial growth, as shown in FIG. 1C . Since the channel length of deposition is defined by physical deposition, the channel length herein can be as short as 10 Angstroms. For channel lengths that are considered relatively short, such lengths may be about 20A to 100A. It is known that channel lengths of tens or hundreds of nanometers are also considered. Longer lengths provide relaxed miniature sizes.

在圖1D中,形成光阻110之第二蝕刻遮罩以定義磊晶生長之垂直通道112周圍的側壁結構。執行蝕刻,在垂直通道上留下側壁結構。側壁結構具有特定厚度並保持交替之介電層堆疊,其基本上圍繞垂直通道。此蝕刻定義未來的閘電極區域。In FIG. 1D , a second etch mask of photoresist 110 is formed to define sidewall structures around epitaxially grown vertical channels 112 . Etching is performed, leaving sidewall structures on the vertical channels. The sidewall structures have a specific thickness and maintain a stack of alternating dielectric layers that substantially surround the vertical channels. This etch defines the future gate electrode region.

在圖1E中,去除來自圖1D之現有光阻110並塗佈新圖案的光阻110以蝕穿N+矽層,以用開口131隔離每一裝置。此隔離了每一裝置,以達最大電路應用。此步驟為可選的。在其他實施例中,用於定義圖1D之側壁結構的光阻遮罩110亦可用於隔離N+矽。In FIG. 1E , the existing photoresist 110 from FIG. 1D is removed and a new pattern of photoresist 110 is applied to etch through the N+ silicon layer to isolate each device with openings 131 . This isolates each device for maximum circuit application. This step is optional. In other embodiments, the photoresist mask 110 used to define the sidewall structure of FIG. 1D can also be used to isolate the N+ silicon.

圖1F,光阻110已被去除並在開放的基板區域及N+區域上生長或沉積第三介電材114。第三介電材114應相對於第二介電材108具蝕刻選擇性。相對於彼此具選擇性之介電材料的非限定示例為Six Oy 、Six Ny 與SiOx Ny 、高K及(高K)Ox NyFIG. 1F, the photoresist 110 has been removed and a third dielectric material 114 has been grown or deposited on the open substrate regions and N+ regions. The third dielectric material 114 should have etch selectivity with respect to the second dielectric material 108 . Non-limiting examples of dielectric materials that are selective with respect to each other are SixOy , SixNy , and SiOxNy , high- K and ( high- K ) OxNy .

在圖1G中,去除第二介電材108而不去除第一介電材或第三介電材。此顯露未來的閘電極區域。In FIG. 1G, the second dielectric material 108 is removed without removing the first dielectric material or the third dielectric material. This reveals the future gate electrode region.

在圖1H中,可去除或清除任何犧牲性氧化物或界面氧化物生長,隨後沉積選擇性高K層116。In FIG. 1H, any sacrificial oxide or interfacial oxide growth can be removed or cleared, followed by deposition of a selective high-K layer 116.

在圖1I中,可執行選擇性高K退火步驟。可在高K層與垂直通道之間形成界面氧化物生長118。In Figure II, a selective high-K annealing step may be performed. An interfacial oxide growth 118 may be formed between the high-K layer and the vertical channel.

在圖1J中,可在基板上形成/沉積金屬閘電極堆疊120。此可為一層或多層以調整電晶體裝置之電壓閾值(Vt)。此可為保形沉積。In Figure 1J, a metal gate electrode stack 120 may be formed/deposited on the substrate. This can be one or more layers to adjust the voltage threshold (Vt) of the transistor device. This can be conformal deposition.

在圖1K中,執行定向蝕刻以從基板上去除閘極堆疊材料120,留下垂直通道周圍之閘極堆疊材料,因而形成GAA裝置。接著可繼續處理,例如透過形成局部互連或其他連接(未示出)。可在裝置之層上形成額外的垂直通道GAA 裝置以重複製造製程(未示出)。In Figure 1K, a directional etch is performed to remove the gate stack material 120 from the substrate, leaving the gate stack material around the vertical channels, thus forming a GAA device. Processing may then continue, such as by forming local interconnects or other connections (not shown). Additional vertical channel GAA devices can be formed on the layers of the device to repeat the fabrication process (not shown).

圖1L為透過圖1A-1K製程所形成之裝置的透視圖。圖1L示出第一層半導體材料106、一層介電材104、金屬閘電極堆疊120、一層介電材104及N+磊晶垂直通道112。金屬連接132、134及136分別附接至第一層106、N+磊晶垂直通道112及金屬閘電極堆疊120。1L is a perspective view of the device formed through the process of FIGS. 1A-1K. FIG. 1L shows a first layer of semiconductor material 106 , a layer of dielectric material 104 , a metal gate electrode stack 120 , a layer of dielectric material 104 , and an N+ epitaxial vertical channel 112 . Metal connections 132, 134, and 136 are attached to the first layer 106, the N+ epitaxial vertical channel 112, and the metal gate electrode stack 120, respectively.

圖2A-2K示出形成PFET裝置之類似流程。除了可形成P摻雜之矽或鍺層122來代替N摻雜層之外,處理流程是類似的。除此之外,遮罩、側壁結構形成及閘電極形成是類似的。2A-2K illustrate a similar flow for forming a PFET device. The process flow is similar except that a P-doped silicon or germanium layer 122 can be formed instead of the N-doped layer. Other than that, the mask, sidewall structure formation and gate electrode formation are similar.

在圖2A中,在塊材基板202材料上沉積介電氧化物204,接著在氧化物上沉積一層半導體材料222。它可沉積為非晶態,接著透過烘烤或雷射退火進行結晶。此層可由P+摻雜物形成或植入 P+摻雜物。P+摻雜物可為硼、鎵、銦或其他P+半導體材料。在該半導體層上方,介電層堆疊係由交替層形成。介電層堆疊至少包括隔離第二介電材208之頂與底層介電氧化物204。In Figure 2A, a dielectric oxide 204 is deposited over the bulk substrate 202 material, followed by a layer of semiconductor material 222 over the oxide. It can be deposited amorphous and then crystallized by baking or laser annealing. This layer may be formed of P+ dopant or implanted with P+ dopant. The P+ dopant may be boron, gallium, indium, or other P+ semiconductor materials. Above the semiconductor layer, a dielectric layer stack is formed of alternating layers. The dielectric layer stack includes at least a top and bottom dielectric oxide 204 isolating the second dielectric material 208 .

在圖2B中,在頂層204上對光阻210圖案化以定義蝕刻通道區域242之開口。此些開口可為矩形或圓柱形。In FIG. 2B , photoresist 210 is patterned on top layer 204 to define openings for etch channel regions 242 . Such openings may be rectangular or cylindrical.

在圖2C中,利用開口內所顯露之P+材料,可磊晶生長P+通道材料224。In FIG. 2C, a P+ channel material 224 may be epitaxially grown using the P+ material exposed within the opening.

圖2D,在P+材料上方對光阻210圖案化並在磊晶生長之垂直通道224周圍定義側壁結構。執行蝕刻,在垂直通道上留下側壁結構。側壁結構具有特定厚度並保持交替之介電層堆疊,其基本上圍繞垂直通道。此蝕刻定義未來的閘電極區域。2D, photoresist 210 is patterned over the P+ material and sidewall structures are defined around epitaxially grown vertical channels 224. Etching is performed, leaving sidewall structures on the vertical channels. The sidewall structures have a specific thickness and maintain a stack of alternating dielectric layers that substantially surround the vertical channels. This etch defines the future gate electrode region.

在圖2E中,去除來自圖2D之現有光阻210並塗佈新圖案的光阻210以蝕穿P+矽層,以在開口231處隔離每一裝置。此隔離了每一裝置,以達最大電路應用。此步驟為可選的。在其他實施例中,用於定義圖2D之側壁結構的光阻遮罩210亦可用於隔離P+矽。In FIG. 2E , the existing photoresist 210 from FIG. 2D is removed and a new pattern of photoresist 210 is applied to etch through the P+ silicon layer to isolate each device at openings 231 . This isolates each device for maximum circuit application. This step is optional. In other embodiments, the photoresist mask 210 used to define the sidewall structure of FIG. 2D can also be used to isolate the P+ silicon.

在圖2F中,圖2E之光阻210已被去除並在開放的基板區域及P+區域上生長或選擇性地沉積第三介電材214。第三介電材214應相對於第二介電材208具蝕刻選擇性。In Figure 2F, the photoresist 210 of Figure 2E has been removed and a third dielectric 214 has been grown or selectively deposited on the open substrate regions and P+ regions. The third dielectric material 214 should have etch selectivity with respect to the second dielectric material 208 .

在圖2G中,去除第二介電材208而不去除頂與底層介電氧化物204或第三介電材214。此顯露未來的閘電極區域。In FIG. 2G , the second dielectric material 208 is removed without removing the top and bottom dielectric oxides 204 or the third dielectric material 214 . This reveals the future gate electrode region.

在圖2H中,可將任何犧牲性氧化物或界面氧化物生長從未來的電極區域去除或清除,隨後將選擇性高K層216沉積於第一介電材204之層之間垂直通道材料224上之閘極區域中。In FIG. 2H, any sacrificial oxide or interfacial oxide growth may be removed or cleared from future electrode regions, followed by deposition of a selective high-K layer 216 on the vertical channel material 224 between the layers of the first dielectric 204 in the gate region.

在圖2I中,可執行選擇性高K退火步驟。可在高K層與垂直通道之間形成界面氧化物生長218。In Figure 2I, a selective high-K annealing step may be performed. An interfacial oxide growth 218 can be formed between the high-K layer and the vertical channel.

在圖2J中,可在基板上形成/沉積金屬閘電極堆疊220。此可為一層或多層以調整電晶體裝置之電壓閾值 (Vt)。此可為保形沉積。In Figure 2J, a metal gate electrode stack 220 may be formed/deposited on the substrate. This can be one or more layers to adjust the voltage threshold (Vt) of the transistor device. This can be conformal deposition.

在圖2K中,執行定向蝕刻以從基板、頂部及側部上去除金屬閘電極堆疊220,僅留下垂直通道內及周圍之閘電極堆層材料,因而形成GAA裝置。接著可繼續處理,例如透過形成局部互連、LI(MO)、金屬1或其他連接(未示出)。可在裝置之層上形成額外的垂直通道GAA裝置以重複製造製程(未示出)。In Figure 2K, a directional etch is performed to remove the metal gate stack 220 from the substrate, top and sides, leaving only the gate stack material in and around the vertical channel, thus forming a GAA device. Processing may then continue, eg, by forming local interconnects, LI(MO), metal 1, or other connections (not shown). Additional vertical channel GAA devices can be formed on the layers of the device to repeat the fabrication process (not shown).

圖2L為透過圖2A-2K製程所形成之裝置的透視圖。圖2L示出第一層半導體材料222、一層介電材204、金屬閘電極堆疊220、一層介電材204及P+磊晶垂直通道224。金屬連接232、234及236分別附接至第一層222、N+磊晶垂直通道224及金屬閘電極堆疊220。2L is a perspective view of the device formed by the process of FIGS. 2A-2K. FIG. 2L shows a first layer of semiconductor material 222 , a layer of dielectric material 204 , a metal gate electrode stack 220 , a layer of dielectric material 204 , and a P+ epitaxial vertical channel 224 . Metal connections 232, 234, and 236 are attached to the first layer 222, the N+ epitaxial vertical channel 224, and the metal gate electrode stack 220, respectively.

圖3A-3T示出形成並排垂直通道PFET與NFET裝置之製程流程。3A-3T illustrate a process flow for forming side-by-side vertical channel PFET and NFET devices.

在圖3A中,處理流程從矽302上之介電層304上有單晶矽305的基板開始。可形成光阻310之光遮罩以定義用於N+植入摻雜(磷、砷…)或電漿摻雜的區域,以形成N+層306。In FIG. 3A , the process flow begins with a substrate with monocrystalline silicon 305 on a dielectric layer 304 on silicon 302 . A photomask of photoresist 310 can be formed to define regions for N+ implant doping (phosphorus, arsenic...) or plasma doping to form N+ layer 306 .

在圖3B中,去除圖3A之光遮罩310且光阻310之第二遮罩覆蓋N+層306以定義用於P+摻雜物(例如BF2、B、...)的區域以形成P+層322。雖然N+層示於圖3A的左側,而P+層示於圖3B的右側,但在其他態樣中,摻雜物區可顛倒,使得P+在右側而N+在左側。In FIG. 3B, the photomask 310 of FIG. 3A is removed and a second mask of photoresist 310 covers the N+ layer 306 to define areas for P+ dopants (eg, BF2, B, . . . ) to form the P+ layer 322. Although the N+ layer is shown on the left side of FIG. 3A and the P+ layer is shown on the right side of FIG. 3B , in other aspects, the dopant regions may be reversed so that P+ is on the right and N+ is on the left.

圖3C示出可視情況地在製程流程中之此處分離並隔離摻雜半導體區域。在此可替代方式中,可在結構上方形成具有開口之光阻圖案,隨後向下蝕刻到介電層 304。Figure 3C illustrates optionally separating and isolating doped semiconductor regions at this point in the process flow. In this alternative, a photoresist pattern with openings can be formed over the structure and then etched down to the dielectric layer 304.

在圖3D中,在植入物退火之後可沉積交替的層304、308、304,類似於先前流程。在非限定示例中,介電層304可為氧化物而介電材108可為高K介電材。In Figure 3D, alternating layers 304, 308, 304 may be deposited after the implant is annealed, similar to the previous process. In a non-limiting example, dielectric layer 304 may be an oxide and dielectric material 108 may be a high-K dielectric material.

在圖3E中,光阻310可被圖案化以在介電層堆疊中提供被向下蝕刻到摻雜層(N+或P+)以定義用於垂直通道之開口342的區域。此些開口可為圓形、方形或矩形。在一非限定示例中,開口可為10 nm直徑,並具有5-50埃的高度。In Figure 3E, photoresist 310 may be patterned to provide regions in the dielectric layer stack that are etched down to the doped layers (N+ or P+) to define openings 342 for vertical channels. Such openings may be circular, square or rectangular. In a non-limiting example, the openings may be 10 nm in diameter and have a height of 5-50 angstroms.

在圖3F中,可在開口342所定義之基板區域中生長或選擇性地沉積第三介電材314。在後續製程步驟中,此可防止選擇性區域中之磊晶生長。第三介電材314應相對於第二介電材308具蝕刻選擇性。In FIG. 3F , a third dielectric material 314 may be grown or selectively deposited in the regions of the substrate defined by openings 342 . This prevents epitaxial growth in the selective regions during subsequent process steps. The third dielectric material 314 should have etch selectivity with respect to the second dielectric material 308 .

在圖3G中,遮擋PMOS區域(右堆疊),並從NMOS區域(左堆疊)移除介電材314。In FIG. 3G, the PMOS region (right stack) is blocked, and the dielectric material 314 is removed from the NMOS region (left stack).

在圖3H中,磊晶生長N+通道區域312,而PMOS區域則被第三介電材314保護而無磊晶生長。In FIG. 3H, the N+ channel region 312 is epitaxially grown, and the PMOS region is protected by the third dielectric material 314 without epitaxial growth.

在圖3I中,去除光阻310並在基板上沉積保護膜326,例如保形氮化物以覆蓋N+磊晶區域。In Figure 3I, the photoresist 310 is removed and a protective film 326, such as a conformal nitride, is deposited on the substrate to cover the N+ epitaxial regions.

在圖3J中,去除PMOS區域中之保護膜326。In Figure 3J, the protective film 326 in the PMOS region is removed.

在圖3K中,磊晶生長P+垂直通道材料324。P+摻雜物可為硼、鎵、銦或其他P+半導體材料。去除剩餘的氮化物。至此,完成N+與P+垂直通道。In Figure 3K, P+ vertical channel material 324 is epitaxially grown. The P+ dopant may be boron, gallium, indium, or other P+ semiconductor materials. Remove the remaining nitride. So far, the N+ and P+ vertical channels are completed.

在圖3L中,於NMOS及PMOS區域上方對光阻310圖案化,並蝕刻介電層堆疊以在垂直通道周圍形成側壁結構。In Figure 3L, photoresist 310 is patterned over the NMOS and PMOS regions, and the dielectric stack is etched to form sidewall structures around the vertical channels.

圖3M示出在開放的基板區域及N+與P+區域上生長或選擇性沉積第三介電材314。介電材314應相對於第二介電材308具蝕刻選擇性。FIG. 3M shows the growth or selective deposition of a third dielectric material 314 over the open substrate regions and the N+ and P+ regions. The dielectric material 314 should have etch selectivity with respect to the second dielectric material 308 .

在圖3N中,去除介電材308而不去除介電材304或介電材314。此顯露未來的閘電極區域。In FIG. 3N , dielectric material 308 is removed without removing dielectric material 304 or dielectric material 314 . This reveals the future gate electrode region.

在圖3O中,可去除犧牲性氧化物或界面氧化物生長(未示出),隨後預清潔,接著是選擇性高K沉積316。可執行退火步驟。可在高K沉積物316與垂直通道之間形成界面氧化物生長318。In FIG. 30 , the sacrificial oxide or interfacial oxide growth (not shown) may be removed, followed by pre-cleaning, followed by selective high-K deposition 316 . An annealing step may be performed. Interface oxide growth 318 may be formed between the high-K deposit 316 and the vertical channel.

在圖3P中,可在基板上形成/沉積金屬閘電極堆疊。此可為一層或多層以調整電晶體裝置之驅動電流。此可為保形沉積。金屬閘極堆疊可為氮化鈦(TiN)與氮化鉭(TaN)閘極金屬層328,接著是鋁化鈦(TiAl)閘極金屬層330。In Figure 3P, a metal gate electrode stack may be formed/deposited on the substrate. This can be one or more layers to adjust the drive current of the transistor device. This can be conformal deposition. The metal gate stack may be a titanium nitride (TiN) and tantalum nitride (TaN) gate metal layer 328 followed by a titanium aluminide (TiAl) gate metal layer 330 .

在圖3Q中,執行定向蝕刻以從基板上去除閘極堆疊材料,留下垂直通道周圍之閘極堆疊材料(316、318、328、330),因而形成GAA裝置。In Figure 3Q, a directional etch is performed to remove the gate stack material from the substrate, leaving the gate stack material (316, 318, 328, 330) around the vertical channels, thus forming a GAA device.

圖3R示出可用光阻310遮擋PMOS區域,並去除TiAL(或其他閘極堆疊材料)以定制閘極堆疊。例如,此可使NMOS及PMOS具有相似之絕對值的閾值電壓Vt。Figure 3R shows that the PMOS region can be masked with photoresist 310 and TiAL (or other gate stack material) removed to customize the gate stack. For example, this allows NMOS and PMOS to have threshold voltages Vt of similar absolute values.

圖3S示出去除金屬閘極材料328之後具有不同閘極堆疊的基板剖面。完成之NMOS堆疊閘電極區域示為334。FIG. 3S shows a cross-section of the substrate with different gate stacks after removal of the metal gate material 328 . The completed NMOS stack gate electrode region is shown as 334 .

在圖3T中,可在基板上形成光阻遮罩310以在摻雜矽層中蝕刻出分隔處331,以隔離下部源極/汲極區域。In FIG. 3T, a photoresist mask 310 may be formed on the substrate to etch separations 331 in the doped silicon layer to isolate the lower source/drain regions.

圖3U示出去除圖3T之光阻遮罩310的裝置。沉積介電材326並進行化學/機械拋光/橫截面拋光。示出NMOS閘極堆疊340及PMOS閘極堆疊342。FIG. 3U shows a device with the photoresist mask 310 of FIG. 3T removed. Dielectric material 326 is deposited and chemical/mechanical polishing/cross-sectional polishing is performed. NMOS gate stack 340 and PMOS gate stack 342 are shown.

圖3V示出具有金屬連接之並排NMOS(左側)與PMOS(右側)堆疊的透視圖。NMOS堆疊具有連接至NMOS堆疊閘電極區域之閘電極336N以及用於源極/汲極連接之電極332N與334N。PMOS堆疊具有連接至閘極堆疊材料330之閘極電極336P以及用於源極/汲極連接之電極332P與334P。Figure 3V shows a perspective view of a side-by-side NMOS (left) and PMOS (right) stack with metal connections. The NMOS stack has gate electrode 336N connected to the gate electrode region of the NMOS stack and electrodes 332N and 334N for source/drain connections. The PMOS stack has gate electrode 336P connected to gate stack material 330 and electrodes 332P and 334P for source/drain connections.

介電層可為介電氧化物或可為K值小於SiO2 (K=3.9)之低K介電材,因為將在此些層中進行金屬繞線(routing)。低K介電材之一些非限定示例如表1所示 :The dielectric layers may be dielectric oxides or may be low-K dielectrics with K values less than SiO2 (K=3.9), since metal routing will be performed in these layers. Some non-limiting examples of low-K dielectrics are shown in Table 1:

氧化物衍生物 F摻雜氧化物(CVD) K = 3.3 – 3.9 C摻雜氧化物(SOG、CVD) K = 2.8 – 3.5 H摻雜氧化物(SOG) K = 2.5 – 3.3 有機物 聚醯亞胺(旋塗) K = 3.0 – 4.0 芳香族聚合物(旋塗)       K = 2.6 – 3.2 氣相沉積之聚對二甲苯; 聚對二甲苯-F K ~ 2.7; K ~ 2.3 F摻雜非晶碳     K = 2.3 – 2.8 鐵氟龍/聚四氟乙烯(旋塗)  K = 1.9 – 2.1 高多孔性氧化物 乾凝膠/氣凝膠 K=1.8 – 2.5 表 1. 低K介電材之介電常數 (K) oxide derivatives F-doped oxide (CVD) K = 3.3 – 3.9 C-Doped Oxides (SOG, CVD) K = 2.8 – 3.5 H-Doped Oxide (SOG) K = 2.5 – 3.3 organic matter Polyimide (spin coating) K = 3.0 – 4.0 Aromatic polymers (spin coating) K = 2.6 – 3.2 Vapor-deposited Parylene; Parylene-F K ~ 2.7; K ~ 2.3 F-doped amorphous carbon K = 2.3 – 2.8 Teflon/PTFE (spin coating) K = 1.9 – 2.1 Highly porous oxide Xerogel/Aerogel K=1.8 – 2.5 Table 1. Dielectric Constant (K) of Low-K Dielectric Materials

接著可繼續處理,例如透過形成局部互連或其他連接(未示出)。Processing may then continue, such as by forming local interconnects or other connections (not shown).

可在裝置之層上形成額外的垂直通道GAA裝置(未示出)以重複製造製程。此外,源極、汲極及閘極區域可與導電連接進行連接,例如局部互連以及對金屬層之互連。在一示例中,可結合埋入式電源軌(位於通道下方之電源軌),其中金屬連接線路(hookup)可獲自PMOS及NMOS電晶體之頂表面,或者透過使用局部互連。Additional vertical channel GAA devices (not shown) can be formed on the layers of the device to repeat the fabrication process. In addition, the source, drain and gate regions can be connected with conductive connections, such as local interconnects and interconnects to metal layers. In one example, buried power rails (power rails below the channel) can be incorporated, where metal hookups can be obtained from the top surfaces of PMOS and NMOS transistors, or by using local interconnects.

本發明之實施例亦可如下括號中所闡述。Embodiments of the present invention may also be set forth in parentheses below.

(1)微製造的方法,包括 : 在第一層半導體材料上形成介電層堆疊,該介電層堆疊具有至少三層,其中第一介電材料位於第二介電材料之下方及上方,第一介電材料不同於第二介電材料,其在於可在不去除第一介電材料下去除第二介電材料;在介電層堆疊中形成開口,使得第一層半導體材料顯露;在顯露開口內磊晶生長通道材料以形成垂直通道;去除介電層堆疊之一部分,使得側壁結構留在垂直通道上;從側壁結構上去除第二介電材料,使垂直通道之側壁表面顯露;以及在垂直通道之顯露側壁表面上形成閘極結構。(1) A method of microfabrication, comprising: forming a dielectric layer stack on a first layer of semiconductor material, the dielectric layer stack having at least three layers, wherein the first dielectric material is located below and above the second dielectric material, the first dielectric material is different from the second dielectric material in that the second dielectric material can be removed without removing the first dielectric material; an opening is formed in the dielectric layer stack so that the first layer of semiconductor material is exposed; in exposing the epitaxially grown channel material within the opening to form the vertical channel; removing a portion of the dielectric layer stack so that the sidewall structure remains on the vertical channel; removing the second dielectric material from the sidewall structure to expose the sidewall surfaces of the vertical channel; and A gate structure is formed on the exposed sidewall surfaces of the vertical channel.

(2)如(1)所述的方法,其中第一層半導體材料為N摻雜矽或N摻雜鍺。(2) The method according to (1), wherein the first layer of semiconductor material is N-doped silicon or N-doped germanium.

(3)如(1)所述的方法,其中第一層半導體材料為P摻雜矽或P摻雜鍺。(3) The method according to (1), wherein the first layer of semiconductor material is P-doped silicon or P-doped germanium.

(4)如(1)所述的方法,其中第一半導體材料層包括鄰近N摻雜區域之P摻雜區域,其中N摻雜垂直通道在N摻雜區域上方之第一顯露開口中生長,且其中P摻雜垂直通道在P摻雜區域上方之第二顯露開口中生長。(4) The method of (1), wherein the first layer of semiconductor material includes a P-doped region adjacent to the N-doped region, wherein the N-doped vertical channel is grown in the first exposed opening above the N-doped region, and wherein the P-doped vertical channel is grown in the second exposed opening above the P-doped region.

(5)如(4)所述的方法,進一步包括 : 在第一與第二顯露開口之第一層上沉積第三介電材;用第一光阻遮罩遮擋第一層之P摻雜區域;將第三介電材從第一層之N摻雜區域去除;在N摻雜區域上方磊晶生長N+摻雜材料;去除第一光阻遮罩;在介電層堆疊、N+摻雜材料及第三介電材上方沉積保護膜;從第三介電材上去除保護膜;以及在第三介電材上方之第二顯露開口中磊晶生長P+摻雜材料。(5) The method according to (4), further comprising: depositing a third dielectric material on the first layer of the first and second exposed openings; shielding the P doping of the first layer with a first photoresist mask region; removing the third dielectric material from the N-doped region of the first layer; epitaxially growing N+ doped material over the N-doped region; removing the first photoresist mask; stacking, N+ doping on the dielectric layer depositing a protective film over the material and the third dielectric material; removing the protective film from the third dielectric material; and epitaxially growing a P+ doped material in the second exposed opening over the third dielectric material.

(6)如(1)至(5)中任一項所述的方法,進一步包括形成連接至每一垂直通道之閘極結構的局部互連,垂直通道配置成傳導垂直於第一層半導體材料之工作表面的電流。(6) The method of any one of (1) to (5), further comprising forming a local interconnect to the gate structure of each vertical channel configured to conduct perpendicular to the first layer of semiconductor material current on the working surface.

(7)如(1)至(6)中任一項所述的方法,進一步包括去除垂直通道之間之第一層半導體材料的一部分。(7) The method of any one of (1) to (6), further comprising removing a portion of the first layer of semiconductor material between the vertical channels.

(8)如(1)至(7)中任一項所述的方法,其中形成在介電層堆疊中之開口具有圓形或矩形橫截面。(8) The method of any one of (1) to (7), wherein the opening formed in the dielectric layer stack has a circular or rectangular cross-section.

(9)如(1)至(8)中任一項所述的方法,其中第一層半導體材料形成在底層介電層上。(9) The method of any one of (1) to (8), wherein the first layer of semiconductor material is formed on the underlying dielectric layer.

(10)如(1)至(9)中任一項所述的方法,其中去除介電層堆疊之一部分包括 : 遮擋垂直通道及每一垂直通道周圍之側壁區域的頂部;以及對介電層堆疊向下蝕穿到第一層半導體材料。(10) The method of any one of (1) to (9), wherein removing a portion of the dielectric layer stack comprises: shielding the vertical channels and tops of sidewall regions around each vertical channel; The stack is etched down to the first layer of semiconductor material.

(11)如(1)至(10)中任一項所述的方法,進一步包括 : 在底層介電層上形成第一層半導體材料; 遮擋介電層堆疊;以及在堆疊中形成開口之前,透過對堆疊之一部分向下蝕刻到底層介電層以分隔堆疊,使得第一層半導體材料顯露。(11) The method of any one of (1) to (10), further comprising: forming a first layer of semiconductor material on the underlying dielectric layer; blocking the dielectric layer stack; and before forming the opening in the stack, The first layer of semiconductor material is exposed by etching a portion of the stack down to the underlying dielectric layer to separate the stack.

(12)如(1)至(11)中任一項所述的方法,進一步包括透過以下方式形成閘極結構 : 在垂直通道之顯露側壁表面內沉積選擇性高K材料、退火;在選擇性高K材料與垂直通道之間形成界面氧化物;在垂直通道、側壁表面及選擇性高K材料上沉積金屬閘電極堆疊;以及從垂直通道及側壁表面上去除金屬閘電極堆疊。(12) The method of any one of (1) to (11), further comprising forming a gate structure by: depositing a selective high-K material in exposed sidewall surfaces of the vertical channel, annealing; Forming an interface oxide between the high-K material and the vertical channel; depositing a metal gate stack over the vertical channel, sidewall surfaces, and selective high-K material; and removing the metal gate stack from the vertical channel and sidewall surfaces.

(13)如(1)至(12)中任一項所述的方法,其中沉積金屬閘電極堆疊包括 : 在選擇性高K材料上沉積第一金屬材料;在第一金屬材料上沉積第二金屬材料;以及在第二金屬材料上沉積第三金屬材料。(13) The method of any one of (1) to (12), wherein depositing the metal gate electrode stack comprises: depositing a first metal material on the selective high-K material; depositing a second metal material on the first metal material a metallic material; and depositing a third metallic material on the second metallic material.

(14)如(13)所述的方法,其中第一、第二及第三金屬材料為不同金屬。(14) The method of (13), wherein the first, second and third metal materials are different metals.

(15)如(13)至(14)中任一項所述的方法,其中第一金屬材料為氮化鈦,第二金屬材料為氮化鉭,而第三金屬材料為鋁化鈦。(15) The method of any one of (13) to (14), wherein the first metal material is titanium nitride, the second metal material is tantalum nitride, and the third metal material is titanium aluminide.

(16)如(13)至(15)中任一項所述的方法,其中沉積金屬閘電極堆疊包括 : 在高K材料上沉積第一層第一金屬材料;在第一層金屬材料上沉積第二層第二金屬材料;在第二層第二金屬材料上沉積第三層第一金屬材料;在第三層第一金屬材料上沉積第四層第二金屬材料;以及在第四層第二金屬材料上沉積第三金屬材料。(16) The method of any one of (13) to (15), wherein depositing the metal gate electrode stack comprises: depositing a first layer of the first metal material on the high-K material; depositing on the first layer of the metal material a second layer of the second metal material; depositing a third layer of the first metal material on the second layer of the second metal material; depositing a fourth layer of the second metal material on the third layer of the first metal material; and depositing a fourth layer of the second metal material on the fourth layer of the first metal material A third metal material is deposited on the second metal material.

(17)半導體裝置,包括 : 基板層;一層第一介電材料;一層半導體材料;具有至少三層之介電層堆疊,其中第一介電材料位於第二介電材料之下方及上方,第一介電材料不同於第二介電材料,其在於可在不去除第一介電材料下去除第二介電材料;介電層堆疊中的第一開口,其中顯露該層半導體材料;垂直通道,其在第一開口中具有磊晶生長的摻雜材料;側壁結構,其係由垂直通道之間之介電層堆疊中的第二開口形成;側壁結構中之第三開口,其係透過去除第二介電材料直到垂直通道而形成;第三開口中之閘極結構;局部互連,其連接至每一垂直通道之閘極結構,垂直通道配置成傳導垂直於基板層之工作表面的電流。(17) A semiconductor device, comprising: a substrate layer; a layer of a first dielectric material; a layer of semiconductor material; a dielectric layer stack having at least three layers, wherein the first dielectric material is located below and above the second dielectric material, and the first dielectric material is located below and above the second dielectric material. a dielectric material different from the second dielectric material in that the second dielectric material can be removed without removing the first dielectric material; a first opening in the dielectric layer stack where the layer of semiconductor material is exposed; vertical channels , which has an epitaxially grown dopant material in the first opening; a sidewall structure, which is formed by a second opening in the stack of dielectric layers between the vertical channels; and a third opening in the sidewall structure, which is removed by A second dielectric material is formed up to the vertical channels; gate structures in the third openings; local interconnects connected to the gate structures of each vertical channel configured to conduct current perpendicular to the working surface of the substrate layer .

(18)如(17)所述之半導體裝置,其中第一層半導體材料為N摻雜矽或N摻雜鍺。(18) The semiconductor device according to (17), wherein the first layer of semiconductor material is N-doped silicon or N-doped germanium.

(19)如(17)所述的半導體裝置,其中第一層半導體材料為P摻雜矽或P摻雜鍺。(19) The semiconductor device according to (17), wherein the first layer of semiconductor material is P-doped silicon or P-doped germanium.

(20)如(17)所述的半導體裝置, 其中第一層半導體材料包括鄰近N摻雜區域之P摻雜區域,其中N摻雜垂直通道設置在N摻雜區域上方之第一顯露開口中,以及其中P摻雜垂直通道設置在P摻雜區域上方之第二顯露開口中。(20) The semiconductor device of (17), wherein the first layer of semiconductor material includes a P-doped region adjacent to the N-doped region, wherein the N-doped vertical channel is disposed in the first exposed opening above the N-doped region , and wherein the P-doped vertical channel is disposed in the second exposed opening above the P-doped region.

利用本文技術實現與閘電極精準對位。垂直電晶體之垂直3D磊晶生長實現垂直維度上的電流,從而實現3D裝置結構設計的擴展。垂直3D結構提供易於接通的觸點(360 度觸點及繞線接通至通道、源極與汲極),因而增加電路密度。本文之實施例及電晶體可為3D裝置之垂直堆疊。實施例使源極與汲極能夠互換,因為每一通道可與其他通道隔離。The technology of this paper is used to achieve precise alignment with the gate electrode. Vertical 3D epitaxial growth of vertical transistors realizes current flow in the vertical dimension, thereby realizing the expansion of 3D device structure design. The vertical 3D structure provides easy-to-make contacts (360-degree contacts and routing to channels, sources, and drains), thereby increasing circuit density. The embodiments and transistors herein may be vertical stacks of 3D devices. Embodiments enable source and drain to be interchanged, as each channel can be isolated from the other channels.

以下揭示內容提供許多不同實施例或示例,用於實施所提供標的之不同特徵。構件及佈設之具體示例描述於下以簡化本發明。當然,此些僅是示例而非用於限定。例如,以下描述中在第二特徵部上方或之上形成第一特徵部可包括第一與第二特徵部形成為直接接觸的實施例, 並亦可包括可在第一與第二特徵部之間形成額外特徵部的實施例,使得第一與第二特徵部可不直接接觸。此外,本發明可在諸多示例中重複參考標號及/或字母。此重複是為達簡單且清楚之目的,其本身並未限定所討論之諸多實施例及/或配置之間的關係。進一步地,為易於描述,本文中可使用空間相對術語,例如「頂部」、「底部」、「之下」、「下方」、「下部」、「上方」、「上部」及類似者,以描述如圖所示之元素或特徵部與另一元素或特徵部的關係。除了圖中繪出之位向外,空間相對術語旨在涵蓋使用或操作中之裝置的不同位向。該設備可以其他方式定向(旋轉90度或處於其他位向)且本文所使用之空間相對敘詞同樣可被據此解釋。The following disclosure provides many different embodiments or examples for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present invention. Of course, these are only examples and not for limitation. For example, forming a first feature over or over a second feature in the following description may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments that may be formed between the first and second features. Embodiments in which additional features are formed therebetween so that the first and second features may not be in direct contact. Furthermore, the present disclosure may repeat reference numerals and/or letters in various instances. This repetition is for the purpose of simplicity and clarity and does not in itself define the relationship between the various embodiments and/or configurations discussed. Further, for ease of description, spatially relative terms such as "top," "bottom," "below," "below," "lower," "above," "upper," and the like may be used herein to describe The relationship of an element or feature as shown to another element or feature. Spatially relative terms are intended to encompass different orientations of the device in use or operation, except where depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein are likewise construed accordingly.

如本文所述之不同步驟的討論順序已基於清楚目的來呈現。一般而言,此些步驟可依任何合適的順序來執行。另外,雖然本文之每一不同特徵、技術、配置等可能在本發明不同地方進行討論,但其用意為每一概念可彼此獨立地或彼此組合來執行。據此,可以許多不同方式來具體實施並概觀本發明。The order of discussion of the various steps as described herein has been presented for clarity. In general, such steps may be performed in any suitable order. Additionally, although each of the various features, techniques, configurations, etc. herein may be discussed in various places in the present disclosure, it is intended that each concept can be implemented independently of each other or in combination with each other. Accordingly, the present invention may be embodied and outlined in many different ways.

本文之技術可實現NMOS裝置位於PMOS裝置上之3D堆疊,反之亦然。可提供對電晶體之360度接通,以達閘電極與源極/汲極(S/D)區域之最佳繞線。技術可包括自對位之3D 360度矽化物區域。將描述兩個不同電晶體之反相器應用的示例。本文之技術可減少佈線,因為可在一個垂直堆疊中形成整個垂直閘極全環(GAA)反相器。本文之方法可用於任何通道材料,其中兩個 CMOS 3D電晶體或裝置具有透過共同矽化物區域連接至 P+區域的N+區域。由於3D通道及3D S/D區域為垂直生長,故本文實施例可實現顯著之裝置微縮。The techniques herein can enable 3D stacking of NMOS devices on PMOS devices and vice versa. Provides 360-degree turn-on of transistors for optimal routing of gate and source/drain (S/D) regions. Techniques may include self-aligned 3D 360-degree silicide regions. An example of an inverter application of two different transistors will be described. The techniques herein can reduce wiring because the entire vertical gate full loop (GAA) inverter can be formed in one vertical stack. The methods herein can be used for any channel material where two CMOS 3D transistors or devices have N+ regions connected to P+ regions through a common silicide region. Since the 3D channels and 3D S/D regions are grown vertically, the embodiments herein can achieve significant device scaling.

現將參考圖式描述示例性實施例。一製程流程可描述製造具有兩個電晶體之垂直3D GAA原位磊晶奈米裝置的方法。此示例性流程可使用相對於彼此具選擇性之第一介電材料層、第二介電材料層及第三介電材料層的堆疊。亦即,存在一或更多蝕刻劑及/或蝕刻條件,使得介電材之給定者可被蝕刻而其他兩個介電材不被蝕刻(或實質上蝕刻)。示例性介電材方案可包括基於氧化物的SiOx、基於SiOxNy、基於高k以及基於高k OxNy。對於高k材料,將與高k一起使用之元素改為氧化物亦可在不同類型之高k內產生選擇性。可使用濕式蝕刻或乾式蝕刻。為了進一步增加選擇性選項,全濕式、全乾式或濕式與乾式的組合亦對三個材料選擇性方案提供更多選項。可呈現未來的介電區域(第三介電材料層),且用於CMOS反相器之通道區域的矽化物連接將於兩個未來電晶體區域(例如,PMOS及NMOS)之間。第二介電材料層將是用於兩個GAA垂直生長裝置之未來的閘電極區域。本文實施例可使用N+(N-摻雜)磊晶生長材料,以用於NMOS之源極、汲極及通道,接著是P+(P-摻雜)磊晶生長材料,以用於PMOS之源極、汲極及通道,作為示例。然而,對於通道或S/D區域,亦可選擇任何相容材料,因為本文實施例可提供製造3D垂直堆疊CMOS裝置之裝置及方法。Exemplary embodiments will now be described with reference to the drawings. A process flow may describe a method for fabricating vertical 3D GAA in situ epitaxial nanodevices with two transistors. This exemplary flow may use a stack of a first dielectric material layer, a second dielectric material layer, and a third dielectric material layer that are selective with respect to each other. That is, there are one or more etchants and/or etching conditions such that a given one of the dielectrics can be etched while the other two dielectrics are not etched (or substantially etched). Exemplary dielectric material schemes may include oxide-based SiOx, SiOxNy-based, high-k-based, and high-k OxNy. For high-k materials, changing the elements used with high-k to oxides can also yield selectivity within different types of high-k. Wet etching or dry etching can be used. To further increase the selection options, full wet, full dry or a combination of wet and dry also offers more options for the three material selection schemes. A future dielectric region (a third layer of dielectric material) can be present, and the silicide connection for the channel region of the CMOS inverter will be between two future transistor regions (eg, PMOS and NMOS). The second dielectric material layer will be the future gate electrode region for the two GAA vertical growth devices. Embodiments herein may use N+ (N-doped) epitaxial growth material for the source, drain and channel of NMOS, followed by P+ (P-doped) epitaxial growth material for the source of PMOS pole, drain, and channel, as examples. However, any compatible material may also be selected for the channel or S/D region, as the embodiments herein may provide apparatus and methods for fabricating 3D vertically stacked CMOS devices.

圖4-12A及13-20示出說明根據本發明一些實施例之用於製造3D半導體裝置的示例性方法剖面圖。圖12B示出圖12A之3D半導體設備的示意圖。在一實施例中,3D半導體設備可包括第一半導體裝置及第二半導體裝置。在一實施例中, 該方法可包括在基板上形成多層堆疊,該多層堆疊包括複數層能夠相對於彼此被選擇性蝕刻之至少三個不同介電材料,形成向下穿過該多層堆疊到基板之至少一開口,使得基板顯露,在開口中從該顯露之基板垂直地形成半導體設備之第一半導體裝置的第一通道以及從該第一通道垂直地形成半導體設備之第二半導體裝置的第二通道,去除多層堆疊之該等層中的過渡介電層,使得在過渡介電層處交界之第一通道與第二通道的一部分顯露,以及在顯露部分處形成矽化物以將第一通道耦接至第二通道。4-12A and 13-20 show cross-sectional views illustrating exemplary methods for fabricating 3D semiconductor devices in accordance with some embodiments of the present invention. FIG. 12B shows a schematic diagram of the 3D semiconductor device of FIG. 12A. In one embodiment, the 3D semiconductor apparatus may include a first semiconductor device and a second semiconductor device. In one embodiment, the method may include forming a multi-layer stack on a substrate, the multi-layer stack comprising a plurality of layers of at least three different dielectric materials capable of being selectively etched relative to each other, forming down through the multi-layer stack to the substrate at least one opening in which the substrate is exposed in which a first channel of a first semiconductor device of the semiconductor device is formed vertically from the exposed substrate and a second channel of a second semiconductor device of the semiconductor device is formed vertically from the first channel channel, removing the transition dielectric layer in the layers of the multi-layer stack, exposing a portion of the first channel and the second channel at the interface of the transition dielectric layer, and forming a silicide at the exposed portion to couple the first channel connected to the second channel.

如圖4所示,可提供基板130,可在基板130上形成(例如,沉積)介電層160,以及可在介電層160上形成(例如,沉積)植入層170。在一實施例中,植入層170可摻雜有第一類型(例如,N型)摻雜物。在另一實施例中,植入層170可摻雜第二類型(例如,P型)摻雜物。As shown in FIG. 4 , a substrate 130 may be provided, a dielectric layer 160 may be formed (eg, deposited) on the substrate 130 , and an implant layer 170 may be formed (eg, deposited) on the dielectric layer 160 . In one embodiment, the implant layer 170 may be doped with a first type (eg, N-type) dopant. In another embodiment, the implant layer 170 may be doped with a second type (eg, P-type) dopant.

亦如圖4所示,可在基板130上形成第一介電層堆疊110。在一實施例中,第一介電層堆疊110可包括下部源極/汲極(S/D)介電層111、形成(例如,沉積)在下部S/D介電層111上之閘極介電層112、以及形成(例如,沉積)在閘極介電層112上之上部S/D介電層113。在一實施例中,介電層111-113可為奈米片。例如,介電層111-113可包括基於氧化物SiOx、基於SiOxNy、基於高k及基於高k OxNy之介電材料。在一實施例中,下部S/D介電層111及上部S/D介電層113可包括相同的介電材料,例如第一介電材料。在另一實施例中,閘極介電層112可包括能夠相對於第一介電材料被選擇性蝕刻之第二介電材料。As also shown in FIG. 4 , the first dielectric layer stack 110 may be formed on the substrate 130 . In one embodiment, the first dielectric layer stack 110 may include a lower source/drain (S/D) dielectric layer 111 , a gate formed (eg, deposited) on the lower S/D dielectric layer 111 A dielectric layer 112 , and an upper S/D dielectric layer 113 formed (eg, deposited) on the gate dielectric layer 112 . In one embodiment, the dielectric layers 111-113 may be nanosheets. For example, the dielectric layers 111-113 may include oxide-based SiOx, SiOxNy-based, high-k, and high-k OxNy-based dielectric materials. In one embodiment, the lower S/D dielectric layer 111 and the upper S/D dielectric layer 113 may include the same dielectric material, eg, the first dielectric material. In another embodiment, the gate dielectric layer 112 may include a second dielectric material capable of being selectively etched relative to the first dielectric material.

亦如圖4所示,可在第一介電層堆疊110上形成(例如,沉積)過渡介電層140。在一實施例中,過渡介電層140可包括第三介電材料且能夠相對於包括第一介電材料之下部S/D介電層111與上部S/D介電層113以及包括第二介電材料之閘極介電層112被選擇性地蝕刻。As also shown in FIG. 4 , a transition dielectric layer 140 may be formed (eg, deposited) on the first dielectric layer stack 110 . In one embodiment, the transition dielectric layer 140 can include a third dielectric material and can be opposite to the lower S/D dielectric layer 111 and the upper S/D dielectric layer 113 including the first dielectric material and the second S/D dielectric layer 113 . The gate dielectric layer 112 of dielectric material is selectively etched.

亦如圖4所示,可在過渡介電層140上形成第二介電層堆疊120。在一實施例中,第二介電層堆疊120可包括下部S/D介電層121、形成(例如,沉積)在下部S/D介電層121上之閘極介電層122、以及形成(例如,沉積)在閘極介電層122上之上部S/D介電層123。在一實施例中,介電層121-123可為奈米片。例如,介電層121-123可包括基於氧化物SiOx、基於SiOxNy、基於高k及基於高k OxNy之介電材料。在一實施例中,下部S/D介電層121及上部S/D介電層123可包括相同的介電材料, 例如,第一介電材料。在另一實施例中,閘極介電層122能夠相對於下部S/D介電層121及上部S/D介電層123被選擇性地蝕刻。例如,閘極介電層122可包括第二介電材料。在一實施例中,第一介電層堆疊110、過渡介電層140及第二介電層堆疊120可包含在多層堆疊中。亦如圖4所示,可在第二介電層堆疊120上形成硬遮罩150。As also shown in FIG. 4 , the second dielectric layer stack 120 may be formed on the transition dielectric layer 140 . In one embodiment, the second dielectric layer stack 120 may include a lower S/D dielectric layer 121 , a gate dielectric layer 122 formed (eg, deposited) on the lower S/D dielectric layer 121 , and forming The upper S/D dielectric layer 123 is (eg, deposited) on the gate dielectric layer 122 . In one embodiment, the dielectric layers 121-123 may be nanosheets. For example, the dielectric layers 121-123 may include oxide-based SiOx, SiOxNy-based, high-k, and high-k OxNy-based dielectric materials. In one embodiment, the lower S/D dielectric layer 121 and the upper S/D dielectric layer 123 may include the same dielectric material, eg, a first dielectric material. In another embodiment, the gate dielectric layer 122 can be selectively etched relative to the lower S/D dielectric layer 121 and the upper S/D dielectric layer 123 . For example, the gate dielectric layer 122 may include a second dielectric material. In one embodiment, the first dielectric layer stack 110, the transition dielectric layer 140, and the second dielectric layer stack 120 may be included in a multi-layer stack. As also shown in FIG. 4 , a hard mask 150 may be formed on the second dielectric layer stack 120 .

如圖5所示,可在硬遮罩150上圖案化並形成遮罩210,並可對第二介電層堆疊120、過渡介電層140及第一介電層堆疊110向下蝕刻到植入層170,以形成至少一開口。例如,遮罩210可為透過黃光微影被圖案化的光阻遮罩。在一實施例中,開口可具有矩形橫截面。在另一實施例中,開口可具有圓形橫截面。在又一實施例中,可形成兩個開口220及230。例如,兩個開口220及230可具有相同尺寸。作為另一示例,兩個開口220及230可具有不同尺寸。As shown in FIG. 5, a mask 210 may be patterned and formed on the hard mask 150, and the second dielectric layer stack 120, the transition dielectric layer 140, and the first dielectric layer stack 110 may be etched down to the implantation into the layer 170 to form at least one opening. For example, the mask 210 may be a photoresist mask patterned through yellow lithography. In an embodiment, the opening may have a rectangular cross-section. In another embodiment, the opening may have a circular cross-section. In yet another embodiment, two openings 220 and 230 may be formed. For example, the two openings 220 and 230 may have the same size. As another example, the two openings 220 and 230 may have different sizes.

如圖6所示,可去除光阻遮罩210,呈現形成有開口220及230穿過其中之第二介電層堆疊120、過渡介電層140及第一介電層堆疊110。As shown in FIG. 6, the photoresist mask 210 can be removed to reveal the second dielectric layer stack 120, the transition dielectric layer 140, and the first dielectric layer stack 110 with openings 220 and 230 formed therethrough.

如圖7所示,開口220及230可填充有通道材料。在一實施例中,植入層170可摻雜有第一類型摻雜物,第一類型之第一通道材料可從植入層170磊晶生長到特定高度以形成第一通道410,而接著第二類型之第二通道材料可從特定高度磊晶生長到第二介電層堆疊120的頂部。例如,第一類型可為N型,而第二類型可為P型。作為另一示例,第一類型可為P型,而第二類型可為N型。在一實施例中,第一與第二通道材料中之至少一者可為矽、鍺或其他半導體材料。在另一實施例中,特定高度可為從植入層 170 到第一通道 410與第二通道420交界所在處的過渡介電層 140。As shown in FIG. 7, openings 220 and 230 may be filled with channel material. In one embodiment, the implant layer 170 may be doped with a first type dopant, a first channel material of the first type may be epitaxially grown from the implant layer 170 to a specific height to form the first channel 410, and then A second channel material of the second type can be epitaxially grown to the top of the second dielectric layer stack 120 from a specific height. For example, the first type may be an N-type, and the second type may be a P-type. As another example, the first type may be P-type and the second type may be N-type. In one embodiment, at least one of the first and second channel materials may be silicon, germanium, or other semiconductor materials. In another embodiment, the specific height may be from the implant layer 170 to the transition dielectric layer 140 where the first channel 410 and the second channel 420 interface.

如圖8所示,可在第二介電層堆疊120上圖案化並形成另一遮罩530(例如,光阻遮罩),並對第二介電層堆疊120、過渡介電層140及第一介電層堆疊110向下蝕刻到植入層170以顯露植入層170的一部分,以形成未來的第一半導體裝置510以及原位垂直堆疊於第一半導體裝置510上之未來的第二半導體裝置520。例如,第一半導體裝置510可為NMOS,第二半導體裝置520可為PMOS,且NMOS 510與PMOS 520可相互耦接以形成CMOS反相器。作為另一示例,第一半導體裝置510可為PMOS,第二半導體裝置520可為NMOS,且PMOS 510與NMOS 520可相互耦合以形成另一CMOS反相器。在一實施例中,第二介電層堆疊120、過渡介電層140及第一介電層堆疊110可被蝕刻出延伸超出磊晶區域之距離d,使得第一介電層堆疊110及第二介電層堆疊120可在每一磊晶生長材料周圍形成側壁結構。As shown in FIG. 8, another mask 530 (eg, a photoresist mask) may be patterned and formed on the second dielectric layer stack 120, and the second dielectric layer stack 120, the transition dielectric layer 140 and the The first dielectric layer stack 110 is etched down to the implant layer 170 to expose a portion of the implant layer 170 to form a future first semiconductor device 510 and a future second semiconductor device 510 vertically stacked in situ on the first semiconductor device 510 Semiconductor device 520 . For example, the first semiconductor device 510 may be an NMOS, the second semiconductor device 520 may be a PMOS, and the NMOS 510 and the PMOS 520 may be coupled to each other to form a CMOS inverter. As another example, the first semiconductor device 510 may be a PMOS, the second semiconductor device 520 may be an NMOS, and the PMOS 510 and the NMOS 520 may be coupled to each other to form another CMOS inverter. In one embodiment, the second dielectric layer stack 120, the transition dielectric layer 140, and the first dielectric layer stack 110 may be etched by a distance d extending beyond the epitaxial region, such that the first dielectric layer stack 110 and the first Two dielectric layer stacks 120 can form sidewall structures around each epitaxial growth material.

如圖9所示, 可去除該另一光阻遮罩530以顯露第二介電層堆疊120之頂部,且可去除第一介電層堆疊110之閘極介電層112及第二介電層堆疊120之閘極介電層122以分別顯露第一通道410及第二通道420的側部。例如,閘極介電層112及122可透過等向蝕刻去除,例如氣相蝕刻。由於第一介電層堆疊110之閘極介電層112及第二介電層堆疊120之閘極介電層122(其可包括第二介電材料)可相對於第一介電層堆疊110之S/D介電層111及113與第二介電層堆疊120之S/D介電層121及123(其可包括第一介電材料)以及過渡介電層140(其可包括第三介電材料)被選擇性地蝕刻,因此當蝕刻第一介電層堆疊110之閘極介電層112及第二介電層堆疊120之閘極介電層122時,第一介電層堆疊110之S/D介電層111及113、第二介電層堆疊120之S/D介電層121及123、以及過渡介電層140將不被蝕刻或實質上蝕刻。 亦如圖9所示,高k介電材料610可沉積在第二介電層堆疊120之顯露頂部及植入層170之顯露部分上,並沉積在第一通道410及第二通道420之顯露側部上,以分別形成閘極介電材料612及622。As shown in FIG. 9, the other photoresist mask 530 can be removed to expose the top of the second dielectric layer stack 120, and the gate dielectric layer 112 and the second dielectric layer of the first dielectric layer stack 110 can be removed The gate dielectric layer 122 of the layer stack 120 exposes the sides of the first channel 410 and the second channel 420, respectively. For example, gate dielectric layers 112 and 122 may be removed by isotropic etching, such as vapor phase etching. Since the gate dielectric layer 112 of the first dielectric layer stack 110 and the gate dielectric layer 122 of the second dielectric layer stack 120 (which may include the second dielectric material) may be relative to the first dielectric layer stack 110 S/D dielectric layers 111 and 113 and S/D dielectric layers 121 and 123 of the second dielectric layer stack 120 (which may include a first dielectric material) and transition dielectric layer 140 (which may include a third dielectric material) dielectric material) is selectively etched so that when the gate dielectric layer 112 of the first dielectric layer stack 110 and the gate dielectric layer 122 of the second dielectric layer stack 120 are etched, the first dielectric layer stack The S/D dielectric layers 111 and 113 of 110, the S/D dielectric layers 121 and 123 of the second dielectric layer stack 120, and the transition dielectric layer 140 will not be etched or substantially etched. As also shown in FIG. 9 , high-k dielectric material 610 may be deposited on the exposed tops of the second dielectric layer stack 120 and the exposed portions of the implant layer 170 , and on the exposed portions of the first channel 410 and the second channel 420 on the sides to form gate dielectric materials 612 and 622, respectively.

如圖10所示,可形成第一及第二半導體裝置510及520之金屬閘電極。在一實施例中,第一半導體裝置510之金屬閘電極可包括外部金屬材料715(其毯覆沉積在閘極介電材料612、下部S/D介電層111及上部S/D介電層113上)以及被外部金屬材料715包圍之內部金屬材料714。例如,第一半導體裝置510之外部金屬材料715及內部金屬材料714可包括兩個不同的金屬材料,例如第一及第二金屬材料。在另一實施例中,第一半導體裝置520之金屬閘電極可包括外部金屬材料725(其毯覆沉積在閘極介電材料622、下部S/D介電層121及上部S/D介電層123上)以及被外部金屬材料725包圍之內部金屬材料724。例如,第二半導體裝置520之外部金屬材料725及內部金屬材料724可包括兩個不同的金屬材料,例如第一金屬材料及第二金屬材料。在一實施例中,取決於特定電路或電晶體所需之期望功函數,外部金屬材料與內部金屬材料中之每一者可包括一或更多金屬材料。As shown in FIG. 10, the metal gate electrodes of the first and second semiconductor devices 510 and 520 may be formed. In one embodiment, the metal gate electrode of the first semiconductor device 510 may include an outer metal material 715 (which is blanket deposited over the gate dielectric material 612 , the lower S/D dielectric layer 111 and the upper S/D dielectric layer) 113) and an inner metallic material 714 surrounded by an outer metallic material 715. For example, the outer metal material 715 and the inner metal material 714 of the first semiconductor device 510 may include two different metal materials, eg, first and second metal materials. In another embodiment, the metal gate electrode of the first semiconductor device 520 may include an outer metal material 725 (which is blanket deposited over the gate dielectric material 622 , the lower S/D dielectric layer 121 and the upper S/D dielectric layer 123) and an inner metallic material 724 surrounded by an outer metallic material 725. For example, the outer metal material 725 and the inner metal material 724 of the second semiconductor device 520 may include two different metal materials, such as a first metal material and a second metal material. In one embodiment, each of the outer metallic material and the inner metallic material may include one or more metallic materials depending on the desired work function required for a particular circuit or transistor.

如圖11所示,可去除過渡介電層140以顯露在過渡介電層140處交界之第一通道410與第二通道420的一部分,且亦可去除高k介電材料610以顯露第二介電層堆疊120及植入層170的頂部。亦如圖11所示,接著可在第一通道410及第二通道420之顯露部分以及第二介電層堆疊120及植入層170之顯露頂部上沉積矽化物金屬。在一實施例中,矽化物金屬可為釕(Ru)、鈷(Co)、鈦(Ti)、鎢(W)、鈀(Pd)、鉑(Pt)或鎳(Ni)。矽化物金屬接著可與第一通道410及第二通道410之顯露部分以及第二介電堆疊120及植入層170之顯露頂部反應,並進行退火以在第一通道410及第二通道420之顯露部分上形成矽化物810(即,圍繞第一通道410及第二通道420之顯露部分,以形成閘極全環(GAA)結構) 並在第二介電層堆疊120及植入層170之顯露頂部上形成矽化物820。在一實施例中,可在非矽區域中利用濕式化學去除未反應之矽化物金屬。在一實施例中,矽化物810可將第一半導體裝置510耦接至第二半導體裝置520。As shown in FIG. 11 , the transition dielectric layer 140 may be removed to reveal a portion of the first channel 410 and the second channel 420 that interface at the transition dielectric layer 140, and the high-k dielectric material 610 may also be removed to reveal the second channel Dielectric layer stack 120 and top of implant layer 170 . As also shown in FIG. 11 , a silicide metal may then be deposited on the exposed portions of the first channel 410 and the second channel 420 and the exposed tops of the second dielectric layer stack 120 and the implant layer 170 . In one embodiment, the silicide metal may be ruthenium (Ru), cobalt (Co), titanium (Ti), tungsten (W), palladium (Pd), platinum (Pt), or nickel (Ni). The silicide metal can then react with the exposed portions of the first channel 410 and the second channel 410 and the exposed tops of the second dielectric stack 120 and the implant layer 170 and annealed to form the surface between the first channel 410 and the second channel 420 A silicide 810 is formed on the exposed portion (ie, surrounding the exposed portion of the first channel 410 and the second channel 420 to form a gate full ring (GAA) structure) and between the second dielectric layer stack 120 and the implant layer 170 A silicide 820 is formed on the exposed top. In one embodiment, unreacted silicide metal may be removed using wet chemistry in the non-silicon regions. In one embodiment, the silicide 810 may couple the first semiconductor device 510 to the second semiconductor device 520 .

如圖12A所示,可在矽化物810上沉積過渡介電材料910以保護矽化物810、第一通道410及第二通道420/將其與用於未來電連接線路之閘電極隔離。在一實施例中,可相對於第一及第二介電材料選擇性地蝕刻過渡介電材料910。例如,過渡介電材料910可包括第三介電材料。作為另一實施例,過渡介電材料910可包括與第一至第三介電材料不同的介電材料。圖12B示出圖12A之3D半導體設備的示意圖。在一實施例中,矽化物820及3D半導體設備可具有圓形橫截面,如圖12B所示。在另一實施例中,矽化物820與3D半導體設備中之至少一者可具有矩形橫截面。As shown in FIG. 12A, a transition dielectric material 910 may be deposited over the silicide 810 to protect/isolate the silicide 810, the first channel 410 and the second channel 420 from the gate electrodes for future electrical connections. In one embodiment, the transition dielectric material 910 may be selectively etched relative to the first and second dielectric materials. For example, transition dielectric material 910 may include a third dielectric material. As another example, the transition dielectric material 910 may include a different dielectric material than the first to third dielectric materials. FIG. 12B shows a schematic diagram of the 3D semiconductor device of FIG. 12A. In one embodiment, the silicide 820 and the 3D semiconductor device may have a circular cross-section, as shown in Figure 12B. In another embodiment, at least one of the silicide 820 and the 3D semiconductor device may have a rectangular cross-section.

如圖13所示,可沉積介電材料1010以覆蓋第一及第二半導體裝置510及520之側壁結構以及植入層170。在一實施例中,可相對於包括第一介電材料之S/D介電層121及123與包括第三介電材料之過渡介電層910選擇性地蝕刻介電材料1010。例如,介電材料1010可包括與閘極介電層112及122(其包括第二介電材料)相同的介電材料。在一實施例中,可使用化學機械研磨(CMP)對覆蓋層進行平坦化。亦如圖13所示,接著可將介電材料1010蝕刻至足以顯露第二半導體裝置420之深度,並保持第一半導體裝置410及植入層170被覆蓋。亦如圖13所示,可去除第二半導體裝置520之內部金屬材料724。As shown in FIG. 13 , a dielectric material 1010 may be deposited to cover the sidewall structures of the first and second semiconductor devices 510 and 520 and the implant layer 170 . In one embodiment, the dielectric material 1010 may be selectively etched with respect to the S/D dielectric layers 121 and 123 including the first dielectric material and the transition dielectric layer 910 including the third dielectric material. For example, dielectric material 1010 may include the same dielectric material as gate dielectric layers 112 and 122, which include the second dielectric material. In one embodiment, the capping layer may be planarized using chemical mechanical polishing (CMP). As also shown in FIG. 13, the dielectric material 1010 may then be etched to a depth sufficient to reveal the second semiconductor device 420, leaving the first semiconductor device 410 and the implant layer 170 covered. As also shown in FIG. 13 , the inner metal material 724 of the second semiconductor device 520 may be removed.

如圖14所示,可進一步蝕刻介電材料1010以顯露出第一半導體裝置510,並保持植入層170仍被覆蓋。亦如圖14所示,可沉積第三金屬材料1110並對其平坦化,以形成內部金屬材料1124。例如,第三金屬材料1010可包括與第一半導體裝置510之內部金屬材料714不同的金屬材料。在此等情況下,內部金屬材料1124與內部金屬材料714可包括不同金屬材料。在另一實施例中, 可省略去除第二半導體裝置520之內部金屬材料724,並可在內部金屬材料724(其則可稱為第二半導體裝置520之內部金屬材料1124)完整下沉積第三金屬材料1110並對其平坦化。在此等情況下,第二半導體裝置520之內部金屬材料1124及第一半導體裝置510之內部金屬材料714可具有相同金屬材料。在一實施例中,可在沉積第三金屬材料1110之前視情況地塗佈襯底(未示出)。As shown in FIG. 14, the dielectric material 1010 may be further etched to reveal the first semiconductor device 510, leaving the implant layer 170 still covered. As also shown in FIG. 14 , a third metal material 1110 may be deposited and planarized to form an inner metal material 1124 . For example, the third metal material 1010 may include a different metal material than the inner metal material 714 of the first semiconductor device 510 . In such cases, inner metallic material 1124 and inner metallic material 714 may comprise different metallic materials. In another embodiment, the removal of the inner metal material 724 of the second semiconductor device 520 may be omitted, and the third metal material 724 (which may then be referred to as the inner metal material 1124 of the second semiconductor device 520 ) may be completely deposited under the inner metal material 724 . metal material 1110 and planarize it. In these cases, the inner metal material 1124 of the second semiconductor device 520 and the inner metal material 714 of the first semiconductor device 510 may have the same metal material. In one embodiment, a substrate (not shown) may optionally be coated prior to depositing the third metal material 1110 .

如圖15所示,可圖案化並形成蝕刻遮罩(例如,光阻遮罩)1210,並可蝕刻第三金屬材料1110以定義耦接第一半導體裝置510與第二半導體裝置的金屬線。在一實施例中,可將Vin形成為耦接第一半導體裝置510之第一閘極區域(例如,包括內部金屬材料714及外部金屬材料715)及第二半導體裝置520之第二閘極區域(例如,包括內部金屬材料1124及外部金屬材料725)。As shown in FIG. 15, an etch mask (eg, a photoresist mask) 1210 can be patterned and formed, and a third metal material 1110 can be etched to define metal lines that couple the first semiconductor device 510 and the second semiconductor device. In one embodiment, Vin may be formed to couple the first gate region of the first semiconductor device 510 (eg, including the inner metal material 714 and the outer metal material 715 ) and the second gate region of the second semiconductor device 520 (eg, including inner metal material 1124 and outer metal material 725).

如圖16所示,可剝離光阻遮罩1210,接著可沉積氧化物1310以填充蝕刻圖15中第三金屬材料1110之後所形成的空間。在一實施例中,可沉積氧化物1310以與形成在第二半導體裝置520上之矽化物820的頂部齊平。在另一實施例中,氧化物1310可包括與過渡介電層910相同的介電材料,例如,第三介電材料。亦如圖16所示,可對氧化物1310進行研磨,接著可在氧化物1310及形成在第二半導體裝置520上之矽化物820上沉積硬遮罩1320。As shown in FIG. 16 , the photoresist mask 1210 can be stripped, and then oxide 1310 can be deposited to fill the space formed after etching the third metal material 1110 in FIG. 15 . In one embodiment, oxide 1310 may be deposited to be flush with the top of silicide 820 formed on second semiconductor device 520 . In another embodiment, oxide 1310 may include the same dielectric material as transition dielectric layer 910, eg, a third dielectric material. As also shown in FIG. 16 , the oxide 1310 may be ground, and then a hard mask 1320 may be deposited over the oxide 1310 and the silicide 820 formed on the second semiconductor device 520 .

如圖17所示,可圖案化並形成蝕刻遮罩(例如,光阻遮罩)1410, 且可透過對未被蝕刻遮罩1410覆蓋之硬遮罩1320及氧化物1310依序蝕刻到與過渡介電層910及矽化物810之頂部大致齊平的深度來形成開口1420。As shown in FIG. 17 , an etch mask (eg, a photoresist mask) 1410 can be patterned and formed, and can be sequentially etched to and transition from the hard mask 1320 and oxide 1310 not covered by the etch mask 1410 The tops of dielectric layer 910 and silicide 810 are approximately flush with depths to form openings 1420 .

如圖18所示,可去除光阻遮罩1410,在開口1420之側部上沉積間隔物膜1510,並可蝕刻夾置於第一半導體裝置510與第二半導體裝置520之間的氧化物1310以顯露矽化物 810。As shown in FIG. 18, the photoresist mask 1410 may be removed, a spacer film 1510 may be deposited on the sides of the opening 1420, and the oxide 1310 sandwiched between the first semiconductor device 510 and the second semiconductor device 520 may be etched to expose the silicide 810 .

如圖19所示,可在開口1420中沉積第四金屬材料1610以形成CMOS反相器(即,可為PMOS之第一半導體裝置510與可為NMOS之半導體裝置520,反之亦然)的Vout。例如,第四金屬材料1610及第三金屬材料1110可包括相同的金屬材料。作為另一示例,第四金屬材料1610及第三金屬材料1110可包括不同的金屬材料。亦如圖19所示,可去除硬遮罩1320。As shown in FIG. 19, a fourth metal material 1610 may be deposited in the opening 1420 to form the Vout of a CMOS inverter (ie, the first semiconductor device 510, which may be PMOS, and the semiconductor device 520, which may be NMOS, and vice versa) . For example, the fourth metal material 1610 and the third metal material 1110 may include the same metal material. As another example, the fourth metal material 1610 and the third metal material 1110 may include different metal materials. As also shown in FIG. 19, the hard mask 1320 may be removed.

如圖20所示,可形成CMOS反相器之Vdd及GND。在一實施例中,可在第二半導體裝置520上沉積介電材料1710,可在介電材料1710上形成遮罩(未示出),可在介電材料1710中形成用於形成Vdd及GND的開口,接著可沉積金屬材料來填充開口以形成Vdd及GND,並可利用化學機械研磨(CMP)去除覆蓋層。在一實施例中,GND可緊鄰Vin形成。在另一實施例中,GND可具有360度旋轉對稱。As shown in Figure 20, Vdd and GND of the CMOS inverter can be formed. In one embodiment, a dielectric material 1710 may be deposited over the second semiconductor device 520, a mask (not shown) may be formed over the dielectric material 1710, and a mask (not shown) may be formed in the dielectric material 1710 for forming Vdd and GND Then, metal material can be deposited to fill the openings to form Vdd and GND, and chemical mechanical polishing (CMP) can be used to remove the capping layer. In one embodiment, GND may be formed next to Vin. In another embodiment, GND may have 360 degree rotational symmetry.

總之,透過圖4-20所示之示例性方法所製造的3D半導體設備(例如,3D CMOS反相器)可包括 : 第一半導體裝置510,其包括第一S/D區域(例如第一S/D層111及113)、夾置於第一S/D區域111與113之間的第一閘極區域(例如,包括內部金屬材料714及外部金屬材料715) 、以及被第一S/D區域111與113及第一閘極區域包圍之第一通道410;堆疊在第一半導體裝置510上之第二半導體裝置520,其包括第二S/D區域(例如第二S/D層121及123)、夾置於第二S/D區域121與123之間的第二閘極區域(例如,包括內部金屬材料1124及外部金屬材料725) 、以及被第二S/D區域121與123及第二閘極區域包圍且原位垂直形成於第一通道410上之第二通道420;以及形成在第一半導體裝置510與第二半導體裝置520之間的矽化物820,其中第一通道410與第二通道420交界,且其耦接至第一半導體裝置510之第一S/D區域111與113中的較上者以及第二半導體裝置520之第二S/D區域121與123中的較下者。在一實施例中,第一半導體裝置510與第二半導體裝置520可為不同類型。在另一實施例中,第一閘極區域可包括第一金屬材料(例如,外部金屬材料 715)以及被第一金屬材料包圍的第二種金屬材料(例如,內部金屬材料 714),第二閘極區域可包括第一金屬材料(例如,外部金屬材料725)及被第一金屬材料包圍之第三金屬材料(例如,內部金屬材料1124)。例如,第二與第三金屬材料可包括相同的金屬材料。作為另一示例,第二與第三金屬材料可包括不同的金屬材料。In summary, a 3D semiconductor device (eg, a 3D CMOS inverter) fabricated by the exemplary methods shown in FIGS. 4-20 may include: a first semiconductor device 510 including a first S/D region (eg, a first S /D layers 111 and 113), the first gate region (eg, including the inner metal material 714 and the outer metal material 715) sandwiched between the first S/D regions 111 and 113, and the first S/D The regions 111 and 113 and the first channel 410 surrounded by the first gate region; the second semiconductor device 520 stacked on the first semiconductor device 510 including the second S/D region (eg, the second S/D layer 121 and 123), the second gate region sandwiched between the second S/D regions 121 and 123 (for example, including the inner metal material 1124 and the outer metal material 725), and the second S/D regions 121 and 123 and A second channel 420 surrounding the second gate region and formed vertically on the first channel 410 in situ; and a silicide 820 formed between the first semiconductor device 510 and the second semiconductor device 520 , wherein the first channel 410 and The second channel 420 borders and is coupled to the upper of the first S/D regions 111 and 113 of the first semiconductor device 510 and the upper of the second S/D regions 121 and 123 of the second semiconductor device 520 next. In one embodiment, the first semiconductor device 510 and the second semiconductor device 520 may be of different types. In another embodiment, the first gate region may include a first metallic material (eg, outer metallic material 715 ) and a second metallic material (eg, inner metallic material 714 ) surrounded by the first metallic material, the second metallic material The gate region may include a first metallic material (eg, outer metallic material 725) and a third metallic material (eg, inner metallic material 1124) surrounded by the first metallic material. For example, the second and third metallic materials may comprise the same metallic material. As another example, the second and third metallic materials may comprise different metallic materials.

圖21示出透過根據本發明一些實施例之圖4-20中所示方法所製造的3D半導體設備1800(例如,3D CMOS反相器)頂視圖。CMOS反相器1800可具有圓形橫截面之開口220/230、於開口220/230中心之Vdd、以及具有360度旋轉對稱之Vin、GND及Vout與其他觸點。例如,Vin可緊鄰GND。圖21所示之CMOS反相器1800可具有14個觸點。在一實施例中,CMOS反相器1800可具有不同數量之觸點,其例如取決於觸點尺寸。據此,可對金屬連接之設置及繞線提供許多選擇。21 illustrates a top view of a 3D semiconductor device 1800 (eg, a 3D CMOS inverter) fabricated by the methods shown in FIGS. 4-20 in accordance with some embodiments of the present invention. The CMOS inverter 1800 may have openings 220/230 with circular cross-sections, Vdd at the center of the openings 220/230, and Vin, GND, and Vout and other contacts with 360 degree rotational symmetry. For example, Vin can be next to GND. The CMOS inverter 1800 shown in FIG. 21 may have 14 contacts. In one embodiment, the CMOS inverter 1800 may have a different number of contacts, eg, depending on the contact size. Accordingly, many options are available for the placement and routing of metal connections.

圖22示出透過根據本發明一些實施例之圖4-20中所示方法所製造的3D半導體設備1900(例如,3D CMOS反相器)頂視圖。CMOS反相器1900可不同於CMOS 1800,其不同處至少在於CMOS反相器1900的Vin與GND相互分開來。22 illustrates a top view of a 3D semiconductor device 1900 (eg, a 3D CMOS inverter) fabricated by the methods shown in FIGS. 4-20 in accordance with some embodiments of the present invention. The CMOS inverter 1900 may be different from the CMOS 1800 at least in that Vin and GND of the CMOS inverter 1900 are separated from each other.

圖23示出透過根據本發明一些實施例之圖4-20中所示方法所製造的3D半導體設備2000(例如,3D CMOS反相器)頂視圖。CMOS反相器2000可不同於CMOS反相器1800及1900,其不同處至少在於CMOS反相器2000的Vdd圍繞開口220/230。23 illustrates a top view of a 3D semiconductor device 2000 (eg, a 3D CMOS inverter) fabricated by the methods shown in FIGS. 4-20 in accordance with some embodiments of the present invention. CMOS inverter 2000 may differ from CMOS inverters 1800 and 1900 at least in that Vdd of CMOS inverter 2000 surrounds openings 220/230.

圖24示出透過根據本發明一些實施例之圖4-20中所示方法所製造的3D半導體裝置2100(例如,3D CMOS反相器)頂視圖。CMOS反相器2100可不同於CMOS反相器1800、1900及2000,其不同處至少在於CMOS反相器2100具有呈矩形橫截面之開口220/230。24 illustrates a top view of a 3D semiconductor device 2100 (eg, a 3D CMOS inverter) fabricated by the methods shown in FIGS. 4-20 in accordance with some embodiments of the present invention. CMOS inverter 2100 may differ from CMOS inverters 1800, 1900, and 2000 at least in that CMOS inverter 2100 has openings 220/230 with rectangular cross-sections.

圖25示出根據本發明一些實施例製造3D半導體設備之示例性方法2200的流程圖。例如,3D半導體設備可包括第一半導體裝置及第二半導體裝置。在一實施例中,所示方法2200之一些步驟可同時執行或以與所示不同的順序執行、可由其他方法步驟代替、或者可省略。亦可根據所需執行額外方法步驟。在另一實施例中,方法2200可對應於圖4-20中所示的方法。25 shows a flow diagram of an exemplary method 2200 of fabricating a 3D semiconductor device according to some embodiments of the present invention. For example, a 3D semiconductor apparatus may include a first semiconductor device and a second semiconductor device. In one embodiment, some of the steps of the illustrated method 2200 may be performed concurrently or in a different order than shown, may be replaced by other method steps, or may be omitted. Additional method steps may also be performed as desired. In another embodiment, method 2200 may correspond to the methods shown in FIGS. 4-20.

在步驟2205,可在基板上依序地形成植入層及第一介電層堆疊。在一實施例中,植入層可為植入層170,第一介電層堆疊可為第一介電層堆疊110,而基板可為基板130,如圖4所示。At step 2205, an implant layer and a first dielectric layer stack may be sequentially formed on the substrate. In one embodiment, the implant layer may be the implant layer 170 , the first dielectric layer stack may be the first dielectric layer stack 110 , and the substrate may be the substrate 130 , as shown in FIG. 4 .

在步驟2210,可在第一介電層堆疊上形成過渡介電層。在一實施例中,過渡介電層可為過渡介電層140,如圖4所示。At step 2210, a transition dielectric layer may be formed on the first dielectric layer stack. In one embodiment, the transition dielectric layer may be the transition dielectric layer 140 , as shown in FIG. 4 .

在步驟2215,可在過渡介電層上形成第二介電層堆疊。在一實施例中,第二介電層堆疊可為第二介電層堆疊120,如圖4所示。At step 2215, a second dielectric layer stack may be formed over the transition dielectric layer. In one embodiment, the second dielectric layer stack may be the second dielectric layer stack 120 , as shown in FIG. 4 .

在步驟2220,可形成至少一開口,其向下穿過第二介電層堆疊、過渡介電層及第一介電層堆疊直到基板130。在一實施例中,該至少一開口可包括開口220及230,如圖 5 所示。At step 2220 , at least one opening may be formed down through the second dielectric layer stack, the transition dielectric layer, and the first dielectric layer stack to the substrate 130 . In one embodiment, the at least one opening may include openings 220 and 230, as shown in FIG. 5 .

在步驟2225,可用通道材料填充開口。在一實施例中,通道材料可包括第一通道材料及第二通道材料。例如,植入層170可摻雜有第一類型摻雜物,而第一通道材料可從植入層170磊晶生長至過渡介電層140並摻雜有第一類型摻雜物以形成第一通道410,如圖 7 所示。作為另一示例,第二通道材料可從過渡介電層140磊晶生長到第二介電層堆疊120之頂部,且可將第二類型摻雜物摻雜至第二通道材料中以形成第二通道420,如圖 7 所示。At step 2225, the openings may be filled with channel material. In one embodiment, the channel material may include a first channel material and a second channel material. For example, the implant layer 170 may be doped with the first type dopant, and the first channel material may be epitaxially grown from the implant layer 170 to the transition dielectric layer 140 and doped with the first type dopant to form the first channel material. A channel 410 is shown in FIG. 7 . As another example, a second channel material may be epitaxially grown from the transition dielectric layer 140 to the top of the second dielectric layer stack 120, and a second type of dopant may be doped into the second channel material to form a second channel material. The second channel 420 is shown in FIG. 7 .

在步驟2230,可蝕刻第二介電層堆疊120、過渡介電層140及第一介電層堆疊110,如圖8所示。At step 2230 , the second dielectric layer stack 120 , the transition dielectric layer 140 , and the first dielectric layer stack 110 may be etched, as shown in FIG. 8 .

在步驟2235,可去除第一與第二介電層堆疊之閘極介電層。在一實施例中,可去除第一介電層堆疊110之閘極介電層112與第二介電層堆疊120之閘極介電層122,以分別顯露第一通道410與第二通道420之側部,如圖9所示。At step 2235, the gate dielectric layer of the first and second dielectric layer stacks may be removed. In one embodiment, the gate dielectric layer 112 of the first dielectric layer stack 110 and the gate dielectric layer 122 of the second dielectric layer stack 120 may be removed to expose the first channel 410 and the second channel 420, respectively side, as shown in Figure 9.

在步驟2240,可沉積高k介電材料。在一實施例中,高k介電材料可為高k介電材料610,亦如圖9所示。At step 2240, a high-k dielectric material can be deposited. In one embodiment, the high-k dielectric material may be high-k dielectric material 610 , also shown in FIG. 9 .

在步驟2245,可形成第一與第二半導體裝置之金屬閘電極。在一實施例中,第一半導體裝置510之金屬閘電極可包括毯覆沉積在介電材料612、下部S/D介電層111及上部S/D介電層113上之外部金屬材料715、以及被外部金屬材料715包圍之內部金屬材料714,如圖10所示。在另一實施例中,第二半導體裝置520之金屬閘電極可包括毯覆沉積在介電材料622、下部S/D介電層121及上部S/D介電層123上之外部金屬材料725、以及被外部金屬材料725包圍之內部金屬材料724,亦如圖10所示。At step 2245, metal gate electrodes of the first and second semiconductor devices may be formed. In one embodiment, the metal gate electrode of the first semiconductor device 510 may include an outer metal material 715 blanket deposited on the dielectric material 612 , the lower S/D dielectric layer 111 and the upper S/D dielectric layer 113 , and an inner metallic material 714 surrounded by an outer metallic material 715 as shown in FIG. 10 . In another embodiment, the metal gate electrode of the second semiconductor device 520 may comprise an outer metal material 725 blanket deposited on the dielectric material 622 , the lower S/D dielectric layer 121 and the upper S/D dielectric layer 123 , and an inner metallic material 724 surrounded by an outer metallic material 725 , also shown in FIG. 10 .

在步驟2250,可形成將第一半導體裝置耦接至第二半導體裝置的矽化物。在一實施例中,矽化物可為將第一半導體裝置510耦接至第二半導體裝置520之矽化物820,如圖11所示。At step 2250, a silicide coupling the first semiconductor device to the second semiconductor device may be formed. In one embodiment, the silicide may be the silicide 820 that couples the first semiconductor device 510 to the second semiconductor device 520, as shown in FIG. 11 .

在步驟2255,可在矽化物上沉積過渡介電材料。在一實施例中,過渡介電材材料可為過渡介電材材料910,其可沉積在矽化物820上並保護矽化物810、第一通道410及第二通道420/將其與用於未來電連接線路之閘電極隔離,如圖12所示。In step 2255, a transition dielectric material may be deposited over the silicide. In one embodiment, the transition dielectric material may be transition dielectric material 910, which may be deposited on the silicide 820 and protect/use the silicide 810, the first channel 410 and the second channel 420 with The gate electrode of the electrical connection line is isolated, as shown in Figure 12.

在步驟2260,可沉積介電材料以覆蓋第一與第二半導體裝置之側壁結構。在一實施例中,介電材料可為覆蓋第一與第二半導體裝置510及520之側壁結構以及植入層170的介電材料 1010,如圖13所示。At step 2260, a dielectric material may be deposited to cover the sidewall structures of the first and second semiconductor devices. In one embodiment, the dielectric material may be a dielectric material 1010 covering the sidewall structures of the first and second semiconductor devices 510 and 520 and the implant layer 170, as shown in FIG. 13 .

在步驟2265,可去除介電材料之一部分。在一實施例中,可將介電材料1010蝕刻到足以顯露第二半導體裝置420的深度,並保持第一半導體裝置410及植入層170被覆蓋,亦如圖13所示。At step 2265, a portion of the dielectric material may be removed. In one embodiment, the dielectric material 1010 may be etched to a depth sufficient to reveal the second semiconductor device 420 while keeping the first semiconductor device 410 and the implant layer 170 covered, as also shown in FIG. 13 .

在步驟2270,可去除未被介電材料覆蓋之第二半導體裝置的內部金屬材料。在一實施例中,內部金屬材料可為內部金屬材料724,其未被蝕刻的介電材料1010覆蓋且可被去除,亦如圖13所示。In step 2270, the inner metal material of the second semiconductor device not covered by the dielectric material may be removed. In one embodiment, the inner metal material may be inner metal material 724, which is not covered by the etched dielectric material 1010 and can be removed, as also shown in FIG. 13 .

在步驟2275,可沉積第三金屬材料以形成內部金屬材料。在一實施例中,第三金屬材料可為第三金屬材料1110,其可被沉積並平坦化以形成內部金屬材料1124,如圖14所示。At step 2275, a third metallic material may be deposited to form an inner metallic material. In one embodiment, the third metal material may be a third metal material 1110, which may be deposited and planarized to form an inner metal material 1124, as shown in FIG. 14 .

在步驟2280,可蝕刻第三金屬材料以形成將第一半導體裝置耦接至第二半導體裝置的金屬線。在一實施例中,可蝕刻第三金屬材料1110以形成將第一半導體裝置510之第一閘極區域(例如,包括內部金屬材料714及外部金屬材料715)耦接至第二半導體裝置520之第二閘極區域(例如,包括內部金屬材料1124及外部金屬材料725)的Vin,如圖15所示。At step 2280, a third metal material may be etched to form metal lines that couple the first semiconductor device to the second semiconductor device. In one embodiment, the third metal material 1110 may be etched to form the first gate region (eg, including the inner metal material 714 and the outer metal material 715 ) of the first semiconductor device 510 that couples to the second semiconductor device 520 . Vin of the second gate region (eg, including inner metal material 1124 and outer metal material 725 ), as shown in FIG. 15 .

在步驟2285,可形成3D半導體設備的Vout(例如,3D CMOS反相器)。在一實施例中,可沉積氧化物1310以填充蝕刻第三金屬材料1110之後所形成的空間,如圖16所示;接著可在氧化物1310及形成於第二半導體裝置520上之矽化物820上沉積硬遮罩1320,亦如圖16所示;可圖案化並形成光阻遮罩141且可透過對未被蝕刻遮罩1410覆蓋之硬遮罩1320及氧化物1310蝕刻到與過渡介電層910及矽化物810之頂部大致齊平的深度來形成開口1420,如圖17所示;可去除光阻遮罩1410,在開口1420之側部上沉積間隔物膜1510,並可蝕刻夾置於第一半導體裝置510與第二半導體裝置520之間的氧化物1310以顯露矽化物 810,如圖18所示;以及可在開口1420中沉積第四金屬材料1610以形成CMOS反相器的Vout,如圖19所示。At step 2285, the Vout of the 3D semiconductor device (eg, a 3D CMOS inverter) may be formed. In one embodiment, oxide 1310 may be deposited to fill the space formed after etching the third metal material 1110, as shown in FIG. 16; then oxide 1310 and silicide 820 may be formed on the second semiconductor device 520 A hard mask 1320 is deposited on top, also as shown in FIG. 16 ; a photoresist mask 141 can be patterned and formed and can be etched to and the transition dielectric by etching the hard mask 1320 and oxide 1310 not covered by the etch mask 1410 The tops of layer 910 and silicide 810 are approximately flush to a depth to form openings 1420, as shown in FIG. 17; photoresist mask 1410 may be removed, spacer films 1510 may be deposited on the sides of openings 1420, and the sandwich may be etched The oxide 1310 between the first semiconductor device 510 and the second semiconductor device 520 to expose the silicide 810, as shown in FIG. 18; and a fourth metal material 1610 can be deposited in the opening 1420 to form the Vout of the CMOS inverter , as shown in Figure 19.

在步驟2290,可形成GND及Vdd。在一實施例中,可在第二半導體裝置520上沉積介電材料1710,可在介電材料1710中形成用於形成Vdd及GND的開口,接著可沉積金屬材料來填充開口以形成Vdd及GND,並可利用化學機械研磨(CMP)去除覆蓋層,如圖20所示。At step 2290, GND and Vdd may be formed. In one embodiment, a dielectric material 1710 may be deposited over the second semiconductor device 520, openings may be formed in the dielectric material 1710 to form Vdd and GND, and then a metal material may be deposited to fill the openings to form Vdd and GND , and the capping layer can be removed by chemical mechanical polishing (CMP), as shown in FIG. 20 .

如先前技術中所述,3D整合(即多個裝置的垂直堆疊) 旨在透過增加體積而非面積上之電晶體密度來克服平面裝置中所遇到的微縮限制。雖然隨著3D NAND的採用,快閃記憶體產業已成功證實並實施裝置堆疊,但應用於隨機邏輯設計實質上更加困難。正追求邏輯晶片(CPU(中央處理單元)、GPU(圖形處理單元)、FPGA(場可程式化閘陣列、SoC(系統單晶片))的3D整合。As described in the prior art, 3D integration (ie, vertical stacking of multiple devices) aims to overcome the scaling limitations encountered in planar devices by increasing the density of transistors in volume rather than area. While the flash memory industry has successfully demonstrated and implemented device stacking with the adoption of 3D NAND, application to random logic designs is substantially more difficult. 3D integration of logic chips (CPU (Central Processing Unit), GPU (Graphics Processing Unit), FPGA (Field Programmable Gate Array, SoC (System On Chip)) is being pursued.

本文技術包括3D裝置的微製造方法,其擴展3D裝置結構設計以增強效能,並使較高密度的電路能夠以較低成本來生產。技術包括垂直定向並磊晶生長之通道以及其他垂直電晶體的金屬繞線方法及設計。The techniques herein include methods of microfabrication of 3D devices that extend the design of 3D device structures to enhance performance and enable higher density circuits to be produced at lower cost. Technologies include vertically oriented and epitaxially grown channels and other metal routing methods and designs for vertical transistors.

此等垂直電晶體之方法及設計描述於2020年5月1日申請且標題為「METHOD OF FORMING 3D DEVICES WITH VERTICAL CHANNELS」之USSN 63/019,015,其整體內容以引用方式併入本文。垂直電晶體之垂直3D磊晶生長允許垂直維度上或垂直於晶圓表面的電流。本文之方法及設計包括製作具有垂直電流的CMOS裝置。本文之垂直3D裝置在z方向上實現另一自由度,其將增強現有3D裝置之佈線選項。因為通道長度係由沉積層或磊晶生長層定義,故實現具有相對短之電晶體長度。透過選擇性去除中間介電層來達成與閘電極的精準對位。本文技術免去對3D奈米堆疊之氧化物隔離的要求。對於閘電極連接及源極與汲極連接將呈現360度觸點連接及佈線。由於本文設計對於垂直裝置結構具有360度對稱,故源極、汲極及閘極電極可沿著垂直結構之任一側設置,以達最佳佈線效率。Methods and designs for these vertical transistors are described in USSN 63/019,015, filed May 1, 2020, and entitled "METHOD OF FORMING 3D DEVICES WITH VERTICAL CHANNELS," the entire contents of which are incorporated herein by reference. Vertical 3D epitaxial growth of vertical transistors allows current flow in the vertical dimension or perpendicular to the wafer surface. The methods and designs herein include fabricating CMOS devices with vertical current flow. The vertical 3D devices herein enable another degree of freedom in the z-direction that will enhance the routing options of existing 3D devices. Since the channel length is defined by the deposited or epitaxially grown layers, relatively short transistor lengths are achieved. Precise alignment with the gate electrode is achieved by selectively removing the intermediate dielectric layer. The techniques herein obviate the need for oxide isolation for 3D nanostacks. A 360 degree contact connection and routing will be presented for the gate connection and the source and drain connections. Since the design here has 360 degree symmetry for the vertical device structure, the source, drain and gate electrodes can be placed along either side of the vertical structure for optimal routing efficiency.

由於本文之閘電極及源極區域具有360度接通,故可將給定觸點置於源極之任一側或閘極之任一側。相較於黃光微影定義之通道長度,透過沉積層來形成可達到相對短之電晶體通道長度。特徵包括對垂直通道裝置提供多個可選之觸點位置。優勢包括有更多選項以減少繞線擁塞以及對於3D繞線及3D觸點有更多用於佈線微縮之選項。亦實現垂直統一堆疊內之多個通道長度。Since the gate and source regions herein have a 360 degree turn-on, a given contact can be placed on either side of the source or either side of the gate. Relatively short transistor channel lengths can be achieved by depositing layers compared to the channel lengths defined by yellow lithography. Features include providing multiple selectable contact positions for vertical channel devices. Advantages include more options to reduce routing congestion and more options for routing scaling for 3D routing and 3D contacts. Multiple channel lengths within a vertically unified stack are also achieved.

圖34為根據本發明示例性實施例之半導體裝置900的剖視圖。圖34 可示出在多個水平面上僅在X方向(平行於基板/晶圓之工作表面)有電流之習知3D奈米片(即,無本文垂直電晶體般之360度旋轉對稱)。半導體裝置900可包括在基板801上方之源極與汲極區域803。半導體裝置900亦可包括在基板801上方之閘極結構815及奈米片805。本文電晶體(具有電流沿垂直方向流動之垂直通道)具有許多通向源極、汲極及閘極區域的接通點。34 is a cross-sectional view of a semiconductor device 900 according to an exemplary embodiment of the present invention. Figure 34 can show a conventional 3D nanochip with current flow only in the X direction (parallel to the working surface of the substrate/wafer) in multiple horizontal planes (ie, without the 360 degree rotational symmetry of the vertical transistors herein). Semiconductor device 900 may include source and drain regions 803 over substrate 801 . The semiconductor device 900 may also include a gate structure 815 and a nanochip 805 over the substrate 801 . The transistors herein (with vertical channels where current flows in a vertical direction) have many turn-on points leading to source, drain and gate regions.

圖26A為根據本發明示例性實施例之半導體裝置100的透視圖。半導體裝置100可包括基板101及基板101上方之墊層130。在一些實施例中,基板101及墊層130可被第一介電層103分開來。墊層130可包括至少一墊結構,例如本示例中之第一墊結構131及第二墊結構132。在一些實施例中,墊結構中之一或更多者可與對應之相鄰墊結構連接。在其他實施例中,墊結構中之一或更多者可與對應之相鄰墊結構分開。在本示例中,第一墊結構131與第二墊結構132透過第二介電材105相互分開來。第二介電材105亦可設置在第一墊結構131與第二墊結構132之一部分上。在一些實施例中,第二介電材105可被設置成完全覆蓋第一墊結構131與第二墊結構132之顯露部分。進一步地,半導體裝置100可包括設置在墊結構(例如,131及132)上方之電晶體(例如,110及120)及垂直互連結構(例如,150a、150b、160a、160b、170a及170b)。儘管在本示例中示為圓柱形,但電晶體(例如,110及120)及垂直互連結構 (例如,150a、150b、160a、160b、170a及170b)亦可具有其他形狀。26A is a perspective view of a semiconductor device 100 according to an exemplary embodiment of the present invention. The semiconductor device 100 may include a substrate 101 and a pad layer 130 over the substrate 101 . In some embodiments, the substrate 101 and the pad layer 130 may be separated by the first dielectric layer 103 . The pad layer 130 may include at least one pad structure, such as the first pad structure 131 and the second pad structure 132 in this example. In some embodiments, one or more of the pad structures may be connected to corresponding adjacent pad structures. In other embodiments, one or more of the pad structures may be separated from corresponding adjacent pad structures. In this example, the first pad structure 131 and the second pad structure 132 are separated from each other through the second dielectric material 105 . The second dielectric material 105 may also be disposed on a portion of the first pad structure 131 and the second pad structure 132 . In some embodiments, the second dielectric material 105 may be disposed to completely cover the exposed portions of the first pad structure 131 and the second pad structure 132 . Further, semiconductor device 100 may include transistors (eg, 110 and 120) and vertical interconnect structures (eg, 150a, 150b, 160a, 160b, 170a, and 170b) disposed over pad structures (eg, 131 and 132) . Although shown as cylindrical in this example, the transistors (eg, 110 and 120) and vertical interconnect structures (eg, 150a, 150b, 160a, 160b, 170a, and 170b) can also have other shapes.

圖26B為根據本發明示例性實施例之沿圖26A中剖線AA’截取的剖面圖。如所示,墊結構可具有被外圍區包圍的核心區。在此示例中,第一墊結構131包括被第一外圍區131b包圍之第一核心區131a,而第二墊結構132包括被第二外圍區132b包圍之第二核心區132a。在一些實施例中,特定的核心區可設置於對應之墊結構的邊緣上。Figure 26B is a cross-sectional view taken along line AA' in Figure 26A, according to an exemplary embodiment of the present invention. As shown, the pad structure may have a core region surrounded by a peripheral region. In this example, the first pad structure 131 includes a first core region 131a surrounded by a first peripheral region 131b, and the second pad structure 132 includes a second core region 132a surrounded by a second peripheral region 132b. In some embodiments, specific core regions may be disposed on the edges of the corresponding pad structures.

進一步地,半導體裝置100可包括設置在墊結構之核心區上方的電晶體。因此,墊結構可水平延伸超出對應電晶體之周邊。如所示,第一電晶體110及第二電晶體120分別設置在第一核心區131a與第二核心區132a上方。在本示例中,第一電晶體110及第二電晶體120分別為NMOS及PMOS。據此,第一墊結構131及第二墊結構132可分別包括n型矽及p型鍺。如所示,第一電晶體110可包括沿垂直方向(或沿Z方向)延伸之第一通道結構111(例如,n型矽)以及在第一通道結構111之側壁部分周圍的第一閘極結構115(例如,TiN及TaN)。第一高k介電材113(例如,HfO2、ZrO2、TiO2、La2O3及Y2O3)可夾置於第一通道結構111與第一閘極結構115之間。第一電晶體110可進一步包括隔離第一閘極結構115之第一間隔物材料117。Further, the semiconductor device 100 may include a transistor disposed over the core region of the pad structure. Therefore, the pad structure can extend horizontally beyond the perimeter of the corresponding transistor. As shown, the first transistor 110 and the second transistor 120 are disposed over the first core region 131a and the second core region 132a, respectively. In this example, the first transistor 110 and the second transistor 120 are NMOS and PMOS, respectively. Accordingly, the first pad structure 131 and the second pad structure 132 may include n-type silicon and p-type germanium, respectively. As shown, the first transistor 110 may include a first channel structure 111 (eg, n-type silicon) extending in the vertical direction (or in the Z direction) and a first gate around the sidewall portion of the first channel structure 111 Structure 115 (eg, TiN and TaN). The first high-k dielectric material 113 (eg, HfO 2 , ZrO 2 , TiO 2 , La 2 O 3 , and Y 2 O 3 ) may be sandwiched between the first channel structure 111 and the first gate structure 115 . The first transistor 110 may further include a first spacer material 117 isolating the first gate structure 115 .

同樣地,第二電晶體120可包括第二通道結構121(例如,p型鍺)、第二閘極結構125、第二高k介電材123(例如,HfO2、ZrO2、TiO2、La2O3及Y2O3)及第二間隔物材料127。第二閘極結構125可具有第一部分125a(例如,TiN及TaN)及第二部分(例如,TiAl)。第一間隔物材料117及第二間隔物材料127可包括任一電絕緣體,且在本示例中包括相同材料,例如氮化矽。同樣地,第一高k介電材113與第二高k介電材123可由相同的高k介電材製成。Likewise, the second transistor 120 may include a second channel structure 121 (eg, p-type germanium), a second gate structure 125, a second high-k dielectric 123 (eg, HfO2, ZrO2, TiO2, La2O3, and Y2O3) ) and the second spacer material 127. The second gate structure 125 may have a first portion 125a (eg, TiN and TaN) and a second portion (eg, TiAl). The first spacer material 117 and the second spacer material 127 may comprise any electrical insulator, and in this example comprise the same material, such as silicon nitride. Likewise, the first high-k dielectric material 113 and the second high-k dielectric material 123 may be made of the same high-k dielectric material.

進一步地,儘管未示出,但通道結構(例如,111及121)可包括垂直通道區域以及在垂直通道區域之相對端(例如,頂部及底部)上的源極區域與汲極區域。垂直通道區域、源極區域與汲極區域可包括相同的半導體材料,但可具有不同的摻雜物及/或不同的摻雜物濃度。由於源極區域與汲極區域的位置可互換,故源極或汲極區域將標為S/D區域。據此,頂部S/D區域及底部S/D區域分別設置在垂直通道區域上方及下方。在操作期間,電流可在Z方向上流動,例如從頂部S/D區域經由垂直通道區域流到底部S/D區域。Further, although not shown, the channel structures (eg, 111 and 121 ) may include vertical channel regions and source and drain regions on opposite ends (eg, top and bottom) of the vertical channel regions. The vertical channel regions, source regions, and drain regions may comprise the same semiconductor material, but may have different dopants and/or different dopant concentrations. Since the positions of the source and drain regions are interchangeable, the source or drain regions will be labeled as S/D regions. Accordingly, the top S/D region and the bottom S/D region are disposed above and below the vertical channel region, respectively. During operation, current may flow in the Z direction, eg, from the top S/D region to the bottom S/D region via the vertical channel region.

仍參考圖26B,設置在墊層130上方之絕緣材料102(例如,氧化矽)可填充空間並覆蓋電晶體(例如,110及120)。半導體裝置100可包括第一垂直互連結構(例如,150a及150b),其延伸穿過絕緣材料102並接觸通道結構(例如,111 及121)之頂表面。因此,第一垂直互連結構150a及150b配置成分別耦接至第一電晶體110之頂部S/D區域及第二電晶體120之頂部S/D區域。半導體裝置100亦可包括第二垂直互連結構 (例如,160a及160b),其延伸穿過絕緣材料102,接觸外圍區(例如,131b及132b),並配置成透過墊結構(例如,131及132)耦接至通道結構(例如,111及121)之底表面。 因此,第二垂直互連結構160a及160b配置成分別耦接至第一電晶體110之底部S/D區域及第二電晶體120之底部S/D區域。半導體裝置100可進一步包括第三垂直互連結構(例如,170a及170b),其設於遠離通道結構(例如,111及121)處並接觸閘極結構(例如,115及125)。因此,第三垂直互連結構170a及170b可分別用作第一閘電極及第二閘電極。Still referring to FIG. 26B, an insulating material 102 (eg, silicon oxide) disposed over the pad layer 130 may fill the space and cover the transistors (eg, 110 and 120). The semiconductor device 100 may include first vertical interconnect structures (eg, 150a and 150b) that extend through the insulating material 102 and contact the top surfaces of the channel structures (eg, 111 and 121). Accordingly, the first vertical interconnect structures 150a and 150b are configured to be coupled to the top S/D region of the first transistor 110 and the top S/D region of the second transistor 120, respectively. The semiconductor device 100 may also include second vertical interconnect structures (eg, 160a and 160b) that extend through the insulating material 102, contact peripheral regions (eg, 131b and 132b), and are configured to pass through the pad structures (eg, 131 and 132b) 132) is coupled to the bottom surface of the channel structures (eg, 111 and 121). Accordingly, the second vertical interconnect structures 160a and 160b are configured to be coupled to the bottom S/D region of the first transistor 110 and the bottom S/D region of the second transistor 120, respectively. The semiconductor device 100 may further include third vertical interconnect structures (eg, 170a and 170b) disposed away from the channel structures (eg, 111 and 121) and contacting the gate structures (eg, 115 and 125). Therefore, the third vertical interconnection structures 170a and 170b may function as the first gate electrode and the second gate electrode, respectively.

如圖26B所示,第一與第二垂直互連結構可包括在底部部分(例如,153a、153b、163a及163b)上方之金屬部分(例如,151a、151b、161a及161b)。 在本示例中,金屬部分151a、151b、161a及161b與第三垂直互連結構170a及170b係由相同金屬材料製成,例如鎢,並可透過化學氣相沉積(CVD)形成。底部部分153a及163a係由相同的矽化物製成,例如矽化鎳。底部部分153b及163b係由相同材料製成,例如鍺化鎳。在一些實施例中,金屬部分151a、151b、161a及161b可包括其他導電材料。在一些實施例中,底部部分153a、153b、163a及163b可包括其他金屬-矽化合物或其他金屬-鍺化合物,而典型金屬可包括Ru、Ti、Co、W、Pt、Pd及類似者。在一些實施例中,金屬部分151a、151b、161a及161b與第三垂直互連結構170a及170b可包括相互不同的導電材料。在一些實施例中,底部部分153a、153b、163a及163b可包括相互不同的材料。在一些實施例中,第三垂直互連結構170a及170b可包括底部部分及頂部部分(未示出)。 進一步地,儘管在本示例中第三垂直互連結構170a及170b係透過第二介電材105與墊結構131及132分開,但在其他實施例中,第三垂直互連結構170a及170b可進一步透過絕緣材料102與第二介電材105分開(未示出)。As shown in FIG. 26B, the first and second vertical interconnect structures may include metal portions (eg, 151a, 151b, 161a, and 161b) over bottom portions (eg, 153a, 153b, 163a, and 163b). In this example, the metal portions 151a, 151b, 161a and 161b and the third vertical interconnect structures 170a and 170b are made of the same metal material, such as tungsten, and may be formed by chemical vapor deposition (CVD). Bottom portions 153a and 163a are made of the same silicide, such as nickel silicide. Bottom portions 153b and 163b are made of the same material, such as nickel germanium. In some embodiments, metal portions 151a, 151b, 161a, and 161b may include other conductive materials. In some embodiments, bottom portions 153a, 153b, 163a, and 163b may include other metal-silicon compounds or other metal-germanium compounds, while typical metals may include Ru, Ti, Co, W, Pt, Pd, and the like. In some embodiments, the metal portions 151a, 151b, 161a, and 161b and the third vertical interconnect structures 170a and 170b may include mutually different conductive materials. In some embodiments, the bottom portions 153a, 153b, 163a, and 163b may comprise mutually different materials. In some embodiments, the third vertical interconnect structures 170a and 170b may include a bottom portion and a top portion (not shown). Further, although the third vertical interconnect structures 170a and 170b are separated from the pad structures 131 and 132 through the second dielectric material 105 in this example, in other embodiments, the third vertical interconnect structures 170a and 170b may be It is further separated from the second dielectric material 105 through the insulating material 102 (not shown).

需注意,儘管在本示例中第一電晶體110及第二電晶體120分別為NMOS及PMOS,但第一電晶體110及第二電晶體120可包括任何種類之電晶體以滿足特定設計要求。在一實施例中,第一電晶體110及第二電晶體120分別為PMOS及NMOS。在另一實施例中,第一電晶體110及第二電晶體120均為PMOS。在另一實施例中,第一電晶體110及第二電晶體120均為NMOS。Note that although the first transistor 110 and the second transistor 120 are NMOS and PMOS, respectively, in this example, the first transistor 110 and the second transistor 120 may include any kind of transistors to meet specific design requirements. In one embodiment, the first transistor 110 and the second transistor 120 are PMOS and NMOS, respectively. In another embodiment, the first transistor 110 and the second transistor 120 are both PMOS. In another embodiment, the first transistor 110 and the second transistor 120 are both NMOS.

圖26C為根據本發明示例性實施例之圖26A中半導體裝置俯視示意圖。在本實施例中,第二垂直互連結構160a及第三垂直互連結構170a設置在第一通道結構111之不同側上,並與第一通道結構111隔相同距離。第二垂直互連結構160b及第三垂直互連結構170b設置在第二通道結構121之同一側上,並與第二通道結構121隔不同距離。應理解,垂直互連結構(例如,150a、150b、160a、160b、170a及170c)相對於對應通道結構(例如,111及121)的位置(包括徑向位置和距離) (例如,150a、150b、160a、160b、170a 和 170c)可基於佈線繞線設計而變化。26C is a schematic top view of the semiconductor device of FIG. 26A according to an exemplary embodiment of the present invention. In this embodiment, the second vertical interconnection structure 160a and the third vertical interconnection structure 170a are disposed on different sides of the first channel structure 111 and are spaced apart from the first channel structure 111 by the same distance. The second vertical interconnection structure 160b and the third vertical interconnection structure 170b are disposed on the same side of the second channel structure 121 and separated from the second channel structure 121 by different distances. It will be appreciated that the position (including radial position and distance) of the vertical interconnect structures (eg, 150a, 150b, 160a, 160b, 170a, and 170c) relative to the corresponding channel structures (eg, 111 and 121) (eg, 150a, 150b) , 160a, 160b, 170a, and 170c) may vary based on the wiring routing design.

圖27A-27E示出根據一些實施例之佈線設計示例(佈線200A、佈線200B、佈線200C、佈線200D及佈線200E)。特別地,圖27A及27B為示出通道結構相對於墊結構之位置的頂視圖。注意,給定的通道結構可位於對應墊結構中心或在任何方向上偏移。例如,在佈線200A中,通道結構211位於墊結構213中心,而在佈線200B中通道結構211偏離墊結構213的中心。27A-27E illustrate examples of wiring designs (wiring 200A, wiring 200B, wiring 200C, wiring 200D, and wiring 200E) in accordance with some embodiments. In particular, Figures 27A and 27B are top views showing the position of the channel structure relative to the pad structure. Note that a given channel structure can be centered on the corresponding pad structure or offset in any direction. For example, in wiring 200A, channel structure 211 is located at the center of pad structure 213 , while in wiring 200B, channel structure 211 is offset from the center of pad structure 213 .

圖27C-27E為示出示例性垂直互連結構設置的頂視圖。在佈線200C中,通道結構211位於墊結構231中心。第一垂直互連結構250設置在墊結構213上方並可用作頂部S/D觸點。可用作底部S/D觸點之第二垂直互連結構260及可用作閘電極之第三垂直互連結構270位於通道結構211的不同側上。進一步地,第一、第二及第三垂直互連結構250、260及270彼此在一直線上。注意,圖26A-26C中的第一電晶體110可對應於佈線200C。27C-27E are top views illustrating exemplary vertical interconnect structure arrangements. In the wiring 200C, the channel structure 211 is located at the center of the pad structure 231 . The first vertical interconnect structure 250 is disposed over the pad structure 213 and can be used as a top S/D contact. A second vertical interconnect structure 260 , which can be used as a bottom S/D contact, and a third vertical interconnect structure 270 , which can be used as a gate electrode, are located on different sides of the channel structure 211 . Further, the first, second and third vertical interconnect structures 250, 260 and 270 are in line with each other. Note that the first transistor 110 in FIGS. 26A-26C may correspond to the wiring 200C.

在佈線200D中,通道結構211偏離墊結構231中心(或墊結構231在X方向上往通道結構211的右側延伸更多)。第二垂直互連結構260及第三垂直互連結構270位於通道結構211的同一側上。 進一步地,第二垂直互連結構260及第三垂直互連結構270可相對於通道結構211設於相同徑向位置,但在不同距離處。在本示例中,第三垂直互連結構270設於通道結構211的周邊位置,而第二垂直互連結構260設於較遠離通道結構211處。注意,圖26A-26C中之第二電晶體120可對應於佈線200D。In the routing 200D, the channel structure 211 is offset from the center of the pad structure 231 (or the pad structure 231 extends more to the right of the channel structure 211 in the X direction). The second vertical interconnection structure 260 and the third vertical interconnection structure 270 are located on the same side of the channel structure 211 . Further, the second vertical interconnect structure 260 and the third vertical interconnect structure 270 may be disposed at the same radial position with respect to the channel structure 211, but at different distances. In this example, the third vertical interconnection structure 270 is disposed at a peripheral position of the channel structure 211 , and the second vertical interconnection structure 260 is disposed at a position farther from the channel structure 211 . Note that the second transistor 120 in FIGS. 26A-26C may correspond to the wiring 200D.

佈線200E類似於佈線200D,除了可在通道結構211之背側上設置第四垂直互連結構240之外。第四垂直互連結構240可接觸閘極結構(未示出)並用作閘電極,類似於第三垂直互連結構270。可替代地,第四垂直互連結構240可接觸墊結構231之外圍區並用作底部S/D觸點,類似於第二垂直互連結構260。The wiring 200E is similar to the wiring 200D, except that a fourth vertical interconnect structure 240 may be provided on the backside of the channel structure 211 . The fourth vertical interconnect structure 240 may contact a gate structure (not shown) and function as a gate electrode, similar to the third vertical interconnect structure 270 . Alternatively, the fourth vertical interconnect structure 240 may contact the peripheral region of the pad structure 231 and serve as a bottom S/D contact, similar to the second vertical interconnect structure 260 .

注意,通道結構211、墊結構231以及第一、第二與第三垂直互連結構250、260及270可分別對應於通道結構111及121、墊結構131及132以及垂直互連結構150a-150b、160a-160b及170a-170b。以上已提供描述而此處將省略以達簡潔目的。進一步地,儘管第一、第二及第三垂直互連結構 250、260及270在圖 27C-27E中示為彼此在一直線上,但可變化第二及第三垂直互連結構 260及270相對於通道結構211的徑向位置,其將於圖28A-28D中詳細解釋。Note that the channel structure 211, the pad structure 231 and the first, second and third vertical interconnect structures 250, 260 and 270 may correspond to the channel structures 111 and 121, the pad structures 131 and 132 and the vertical interconnect structures 150a-150b, respectively , 160a-160b and 170a-170b. The description has been provided above and will be omitted here for brevity. Further, although the first, second and third vertical interconnect structures 250, 260 and 270 are shown in line with each other in FIGS. 27C-27E, it is possible to vary the second and third vertical interconnect structures 260 and 270 relative to each other. In the radial position of the channel structure 211, it will be explained in detail in Figures 28A-28D.

圖28A-28D示出根據一些實施例之佈線設計示例(佈線300A、佈線300B、佈線300C及佈線300D)。顯示圓形及矩形3D垂直裝置。任一形狀的垂直通道皆可視為具有周緣或周邊,觸點可設於其周圍之任何徑向位置及任何距離處。當然,3D垂直裝置亦可具有其他形狀。28A-28D illustrate examples of wiring designs (wiring 300A, wiring 300B, wiring 300C, and wiring 300D) in accordance with some embodiments. Displays circular and rectangular 3D vertical installations. A vertical channel of any shape can be considered to have a perimeter or perimeter around which contacts can be placed at any radial position and at any distance. Of course, the 3D vertical device can also have other shapes.

在佈線300A中,第一垂直互連結構350可在Z方向上形成於通道結構311上方。第二垂直互連結構360及第三垂直互連結構370可透過隔離層304在XY平面中與通道結構311分開,並沿著隔離層304的周邊佈設。在本示例中,第二垂直互連結構360及第三垂直互連結構370與第一垂直互連結構350在一直線上。因此,佈線300A可對應於圖26A-26C中的第一電晶體110及圖27C中的佈線200C。在其他實施例中,第二垂直互連結構360及第三垂直互連結構370可位於遠離通道結構311的任何位置。據此,垂直互連結構350、360及370可無需彼此在一直線上。注意,通道結構311、垂直互連結構350、360及370可分別對應通道結構111及121與垂直互連結構150a-150b、160a-160b及170a-170b。在一些實施例中,隔離層304可對應於絕緣材料102。在其他實施例中,隔離層304可進一步包括第一間隔物材料117或第二間隔物材料127。In the wiring 300A, the first vertical interconnect structure 350 may be formed over the channel structure 311 in the Z direction. The second vertical interconnection structure 360 and the third vertical interconnection structure 370 can be separated from the channel structure 311 in the XY plane through the isolation layer 304 and are arranged along the periphery of the isolation layer 304 . In this example, the second vertical interconnection structure 360 and the third vertical interconnection structure 370 are in line with the first vertical interconnection structure 350 . Thus, wiring 300A may correspond to first transistor 110 in Figures 26A-26C and wiring 200C in Figure 27C. In other embodiments, the second vertical interconnection structure 360 and the third vertical interconnection structure 370 may be located anywhere away from the channel structure 311 . Accordingly, the vertical interconnect structures 350, 360 and 370 may not need to be in line with each other. Note that the channel structure 311, the vertical interconnect structures 350, 360 and 370 may correspond to the channel structures 111 and 121 and the vertical interconnect structures 150a-150b, 160a-160b and 170a-170b, respectively. In some embodiments, isolation layer 304 may correspond to insulating material 102 . In other embodiments, isolation layer 304 may further include first spacer material 117 or second spacer material 127 .

圖28B示出垂直電晶體之360度連接選項示例的頂視圖。垂直連接尺寸可控制若干可選位置。在本示例中,相對於3D垂直裝置之通道結構311有十二個(不同電位)觸點位置306a-306k。在製造期間,觸點位置306a-306k中的兩者可選擇性地用於形成可分別用作底部S/D觸點及閘電極之第二及第三垂直互連結構360及370。注意佈線300B類似於佈線300A,除了有十個未使用的觸點位置306a-306f、306h及306j-306k之外。換言之,在製造期間,只有觸點位置306g及306i會經過對應之蝕刻及沉積製程以形成觸點或互連。亦注意,因為此垂直裝置結構為360度對稱,故第二及第三垂直互連結構360及370可著垂直結構之任一側設置,以達最佳佈線效率。Figure 28B shows a top view of an example of a 360 degree connection option for vertical transistors. The vertical connection size controls several optional positions. In this example, there are twelve (different potential) contact locations 306a-306k relative to the channel structure 311 of the 3D vertical device. During fabrication, two of the contact locations 306a-306k can be selectively used to form second and third vertical interconnect structures 360 and 370, which can serve as bottom S/D contacts and gate electrodes, respectively. Note that wiring 300B is similar to wiring 300A, except that there are ten unused contact locations 306a-306f, 306h, and 306j-306k. In other words, during fabrication, only contact locations 306g and 306i are subjected to corresponding etching and deposition processes to form contacts or interconnects. Also note that because this vertical device structure is 360 degree symmetrical, the second and third vertical interconnect structures 360 and 370 can be placed on either side of the vertical structure for optimal routing efficiency.

在一些實施例中,可使用光遮罩之兩相鄰觸點位置。例如,在圖28C之佈線300C中,第二及第三垂直互連結構360及370形成在觸點位置306a及306b中。應理解,觸點位置306a及306b係透過一或更個電絕緣體相互分開。在一些實施例中,通道結構311可具有不同形狀。例如,圖28D中所示之佈線300D類似於佈線300B及300C,除了通道結構311為矩形且有十三個觸點位置306a-306l之外。在本示例中使用觸點位置306i及306l。進一步地,可在其他實施例中變化尺寸、形狀、相對於通道結構311之徑向位置、與通道結構311的距離以及觸點位置的數量。In some embodiments, two adjacent contact positions of the photomask may be used. For example, in wiring 300C of Figure 28C, second and third vertical interconnect structures 360 and 370 are formed in contact locations 306a and 306b. It should be understood that the contact locations 306a and 306b are separated from each other by one or more electrical insulators. In some embodiments, the channel structures 311 may have different shapes. For example, wiring 300D shown in Figure 28D is similar to wirings 300B and 300C, except that channel structure 311 is rectangular and has thirteen contact locations 306a-306l. Contact locations 306i and 306l are used in this example. Further, the size, shape, radial position relative to the channel structure 311, distance from the channel structure 311, and number of contact locations can be varied in other embodiments.

圖29示出根據本發明實施例製造示例性半導體裝置之示例性製程400的流程圖。製程400開始於步驟S401,其中在基板上方形成墊層。墊層可包括至少一墊結構,其具有被外圍區包圍之核心區。例如,墊層可包括第一墊結構及第二墊結構。在一些實施例中,第一墊結構及第二墊結構可透過介電材相互分開。在可替代實施例中,第一墊結構及第二墊結構可相互連接。29 illustrates a flow diagram of an exemplary process 400 for fabricating an exemplary semiconductor device in accordance with an embodiment of the present invention. Process 400 begins at step S401 in which a pad layer is formed over a substrate. The pad layer may include at least one pad structure having a core region surrounded by a peripheral region. For example, the pad layer may include a first pad structure and a second pad structure. In some embodiments, the first pad structure and the second pad structure can be separated from each other by a dielectric material. In an alternative embodiment, the first pad structure and the second pad structure may be interconnected.

在步驟S402,可在墊結構之核心區上方形成電晶體。電晶體可包括在垂直方向上延伸之通道結構及在通道結構之側壁部分周圍的閘極結構。通道結構可具有垂直通道區域以及在垂直通道區域之相對端上的源極區域及汲極區域。通道結構配置成電耦接至墊結構。在一些實施例中,PMOS裝置及NMOS裝置可形成在兩相鄰墊結構上。在一些實施例中,可在兩相鄰墊結構上形成兩個PMOS裝置或兩個NMOS裝置。In step S402, a transistor may be formed over the core region of the pad structure. The transistor may include a channel structure extending in a vertical direction and a gate structure around sidewall portions of the channel structure. The channel structure may have a vertical channel region and source and drain regions on opposite ends of the vertical channel region. The channel structure is configured to be electrically coupled to the pad structure. In some embodiments, PMOS devices and NMOS devices may be formed on two adjacent pad structures. In some embodiments, two PMOS devices or two NMOS devices may be formed on two adjacent pad structures.

在步驟S403,可形成第一垂直互連結構,其接觸通道結構之頂表面。在一些實施例中,第一垂直互連結構配置成電耦接至電晶體之頂部源極區域或頂部汲極區域。In step S403, a first vertical interconnect structure may be formed that contacts the top surface of the channel structure. In some embodiments, the first vertical interconnect structure is configured to be electrically coupled to a top source region or a top drain region of the transistor.

在步驟S404,可形成第二垂直互連結構,其接觸墊結構之外圍區域並配置成經由墊結構耦接至通道結構的底表面。在一些實施例中,第二垂直互連結構配置成電耦接至電晶體之底部源極區域或底部汲極區域。In step S404, a second vertical interconnect structure may be formed, which contacts the peripheral region of the pad structure and is configured to be coupled to the bottom surface of the channel structure via the pad structure. In some embodiments, the second vertical interconnect structure is configured to be electrically coupled to a bottom source region or a bottom drain region of the transistor.

在步驟S405,可形成第三垂直互連結構,其設於遠離通道結構並接觸電晶體之閘極結構。在一些實施例中,第三垂直互連結構用作電晶體的閘電極。In step S405, a third vertical interconnect structure may be formed, which is disposed away from the channel structure and contacts the gate structure of the transistor. In some embodiments, the third vertical interconnect structure serves as the gate electrode of the transistor.

應當注意,可在製程400之前、期間及之後提供額外步驟,且製程400之額外實施例可替換、刪去或以不同順序執行所描述的一些步驟。例如,在步驟S403之前,可在墊層上方沉積絕緣材料以填充空間並覆蓋電晶體。在一些實施例中,可在步驟S404之前或之後執行步驟S403。在其他實施例中,步驟S403與步驟S404可一起執行。亦即,第一與第二垂直互連結構可在同一製程中形成。進一步地,在一些實施例中,可在步驟S403與步驟S404之中間步驟期間執行步驟S405。It should be noted that additional steps may be provided before, during, and after process 400, and that additional embodiments of process 400 may replace, delete, or perform some of the steps described in a different order. For example, before step S403, an insulating material may be deposited over the pad layer to fill the space and cover the transistor. In some embodiments, step S403 may be performed before or after step S404. In other embodiments, step S403 and step S404 may be performed together. That is, the first and second vertical interconnect structures can be formed in the same process. Further, in some embodiments, step S405 may be performed during an intermediate step between step S403 and step S404.

圖30A-30H為根據本發明示例性實施例在製造製程之諸多中間步驟中的半導體裝置500剖面圖。特別地,圖30A-30H可示出在CMOS GAA垂直電晶體上形成360度VIA(垂直互連接通),其透過先製作貫孔閘極觸點(或閘電極的底部部分),隨後是源極與汲極觸點,其在觸點開孔之後進行矽化。本示例使用CMOS流程,但在其他實施例中可替代為僅NMOS或僅PMOS流程。30A-30H are cross-sectional views of a semiconductor device 500 at various intermediate steps in the fabrication process in accordance with exemplary embodiments of the present invention. In particular, Figures 30A-30H may illustrate the formation of a 360 degree VIA (vertical interconnect on) on a CMOS GAA vertical transistor by first forming a through-hole gate contact (or bottom portion of the gate electrode), followed by Source and drain contacts, which are silicided after contact opening. This example uses a CMOS flow, but in other embodiments an NMOS-only or PMOS-only flow can be substituted.

如圖30A所示,半導體裝置500包括基板501及基板501上方之墊層530。基板501與墊層530可被第一介電層503隔開。墊層530可包括至少一墊結構,例如第一墊結構531及第二墊結構532。在本示例中,第一墊結構531與第二墊結構532被第二介電材505隔開。As shown in FIG. 30A , the semiconductor device 500 includes a substrate 501 and a pad layer 530 above the substrate 501 . The substrate 501 and the pad layer 530 may be separated by the first dielectric layer 503 . The pad layer 530 may include at least one pad structure, such as a first pad structure 531 and a second pad structure 532 . In this example, the first pad structure 531 and the second pad structure 532 are separated by the second dielectric material 505 .

第一墊結構531可具有被第一外圍區531b包圍之第一核心區531a,而第二墊結構532可具有被第二外圍區532b包圍之第二核心區532a。第一電晶體510及第二電晶體520可分別設置在第一核心區531a及第二核心區532a上方。第一電晶體510可具有第一通道結構511及在第一通道結構511之側壁部分周圍的第一閘極結構515。 第一電晶體510亦可包括夾置於第一通道結構511與第一閘極結構515之間的第一高k介電材513,以及隔離第一通道結構511之第一間隔物材料517。同樣地,第二電晶體520可具有第二通道結構521、第二閘極結構525、第二高k介電材523及第二間隔物材料527。在本示例中,第一電晶體510及第二電晶體520分別為NMOS及PMOS。據此,第二閘極結構525可包括第一部分525a及第二部分525b。此外,第一及第二外圍區531b及532b與第一及第二通道結構511及521的一部分可被第二介電材505覆蓋。The first pad structure 531 may have a first core region 531a surrounded by a first peripheral region 531b, and the second pad structure 532 may have a second core region 532a surrounded by a second peripheral region 532b. The first transistor 510 and the second transistor 520 may be disposed above the first core region 531a and the second core region 532a, respectively. The first transistor 510 may have a first channel structure 511 and a first gate structure 515 around a sidewall portion of the first channel structure 511 . The first transistor 510 may also include a first high-k dielectric material 513 sandwiched between the first channel structure 511 and the first gate structure 515 , and a first spacer material 517 isolating the first channel structure 511 . Likewise, the second transistor 520 may have a second channel structure 521 , a second gate structure 525 , a second high-k dielectric material 523 and a second spacer material 527 . In this example, the first transistor 510 and the second transistor 520 are NMOS and PMOS, respectively. Accordingly, the second gate structure 525 may include a first portion 525a and a second portion 525b. In addition, a portion of the first and second peripheral regions 531b and 532b and the first and second channel structures 511 and 521 may be covered by the second dielectric material 505 .

注意,基板501、第一介電層503、墊層530(包括第一墊結構531及第二墊結構532)以及第二介電層505可分別對應於基板101、第一介電層103、墊層130(包括第一墊結構131及第二墊結構132)及第二介電材105。第一電晶體510及第二電晶體520可分別對應於第一電晶體110及第二電晶體120。據此,通道結構511及521、閘極結構515及525、高k介電材513及525與間隔物材料517及527可分別對應於通道結構111及121、閘極結構115及125、高k介電材113及125,與間隔物材料117及127。Note that the substrate 501, the first dielectric layer 503, the pad layer 530 (including the first pad structure 531 and the second pad structure 532), and the second dielectric layer 505 may correspond to the substrate 101, the first dielectric layer 103, The pad layer 130 (including the first pad structure 131 and the second pad structure 132 ) and the second dielectric material 105 . The first transistor 510 and the second transistor 520 may correspond to the first transistor 110 and the second transistor 120, respectively. Accordingly, the channel structures 511 and 521, the gate structures 515 and 525, the high-k dielectric materials 513 and 525, and the spacer materials 517 and 527 may correspond to the channel structures 111 and 121, the gate structures 115 and 125, the high-k dielectric materials, respectively Dielectric materials 113 and 125, and spacer materials 117 and 127.

進一步地,在圖30A中,通道結構511與521中之每一者可具有沉積製程(例如磊晶生長)所定義的長度。定義通道長度的習知技術係透過沉積膜而後使用黃光微影以將膜或鰭部切割成通道或鰭片段來進行。利用本文技術,定義通道位置,接著可透過磊晶生長、原子層沉積或其他精準受控之沉積技術來定義電流傳輸路徑的長度或距離。注意,通道結構511及521可位於可具有P或N植入摻雜物之矽或鍺材料上。在本示例中,NMOS與PMOS隔開且並排佈設。在其他示例中,可連接墊結構(例如,531及532)。此些墊結構可用作S/D連接落點(landing spot)並因此延伸超出通道結構(例如,511及521)之佔據區(footprint)或周邊。Further, in Figure 30A, each of the channel structures 511 and 521 may have a length defined by a deposition process (eg, epitaxial growth). A conventional technique for defining channel lengths is performed by depositing a film and then using yellow light lithography to cut the film or fins into channels or fin segments. Using the techniques herein, the channel locations are defined, and then the length or distance of the current transport path can be defined by epitaxial growth, atomic layer deposition, or other precisely controlled deposition techniques. Note that the channel structures 511 and 521 may be on silicon or germanium material, which may have P or N implanted dopants. In this example, the NMOS and the PMOS are spaced apart and arranged side by side. In other examples, pad structures (eg, 531 and 532) may be connected. Such pad structures may serve as S/D connection landing spots and thus extend beyond the footprint or perimeter of the channel structures (eg, 511 and 521).

在圖30B中,可在墊層530上方沉積導電材料573以填充空間,且可在導電材料573上方沉積第二介電材505。例如,導電材料573可包括金屬,例如鎢(W)。據此,可透過CVD執行鎢的沉積,隨後是平坦化步驟(例如,CMP)以去除W覆蓋層,接著在其上沉積第二介電材505。注意,第二介電材505與第一介電材503可為相同材料或是相對於彼此可被選擇性蝕刻之不同介電材料。In FIG. 30B , a conductive material 573 may be deposited over the pad layer 530 to fill the spaces, and a second dielectric material 505 may be deposited over the conductive material 573 . For example, the conductive material 573 may include a metal such as tungsten (W). Accordingly, deposition of tungsten may be performed by CVD, followed by a planarization step (eg, CMP) to remove the W capping layer, followed by deposition of a second dielectric material 505 thereon. Note that the second dielectric material 505 and the first dielectric material 503 can be the same material or different dielectric materials that can be selectively etched relative to each other.

在圖30C中,使用第一蝕刻遮罩509a以蝕刻並定義未來的金屬閘極連接。因此,可形成第三垂直互連結構573a及573b的底部部分,其分別連接至第一與第二通道結構511及521之側壁部分。第一蝕刻遮罩509a可由光阻或硬遮罩材料製成。在圖30D中,去除第一蝕刻遮罩509a,隨後進行介電材沉積及平坦化。因此,在墊層530上方形成填充空間並覆蓋電晶體510及520之絕緣材料502。In Figure 30C, a first etch mask 509a is used to etch and define future metal gate connections. Accordingly, bottom portions of the third vertical interconnect structures 573a and 573b can be formed, which are connected to the sidewall portions of the first and second channel structures 511 and 521, respectively. The first etch mask 509a may be made of photoresist or hard mask material. In Figure 30D, the first etch mask 509a is removed, followed by dielectric deposition and planarization. Accordingly, insulating material 502 is formed over pad layer 530 to fill space and cover transistors 510 and 520 .

在圖30E中,使用第二蝕刻遮罩509b以定義S/D區域或形成通向S/D區域之觸點開口。如所示,第一開口555a及555b延伸穿過絕緣材料502及第二介電材505,並分別落在第一與第二通道結構511及521之頂表面上。第二開口565a及565b延伸穿過絕緣材料502及第二介電材505,接著分別落在第一與第二外圍區531b及532b之頂表面上。注意,墊結構531及532分別與通道結構511及521接觸並延伸超出通道結構511及521之周緣或周邊。墊結構531及532可分別在所有方向(或一些方向)上延伸超過通道結構511及521,以在任何徑向位置及偏離通道結構511及521中心點之任何距離處提供可用的連接點。此外,儘管在本示例中第一開口555a及555b與第二開口565a及565b係在同一步驟中形成,但在其他實施例中第一開口555a及555b與第二開口565a及565b可在分開的步驟中形成。In Figure 30E, a second etch mask 509b is used to define the S/D regions or to form contact openings to the S/D regions. As shown, first openings 555a and 555b extend through insulating material 502 and second dielectric material 505 and land on top surfaces of first and second channel structures 511 and 521, respectively. The second openings 565a and 565b extend through the insulating material 502 and the second dielectric material 505 and then fall on the top surfaces of the first and second peripheral regions 531b and 532b, respectively. Note that the pad structures 531 and 532 are in contact with the channel structures 511 and 521 and extend beyond the perimeter or periphery of the channel structures 511 and 521, respectively. Pad structures 531 and 532 may extend beyond channel structures 511 and 521 in all directions (or some directions), respectively, to provide usable connection points at any radial location and any distance from the center point of channel structures 511 and 521 . In addition, although the first openings 555a and 555b and the second openings 565a and 565b are formed in the same step in this example, in other embodiments the first openings 555a and 555b and the second openings 565a and 565b may be separated formed in the step.

在圖30F中,去除第二遮罩509b,接著在第一與第二通道結構511及521之顯露部分上的第一開口555a及555b底部處以及在第一與第二外圍區531b及532b之顯露部分上的第二開口565a及565b底部處沉積金屬材料(未示出),隨後矽化以形成底部部分553a、553b、563a及563b。矽化可例如透過退火使金屬材料與墊結構531及532反應以形成矽化物層來完成,並可去除任何未反應的金屬材料。在本示例中,金屬材料為Ni。底部部分553a、553b、563a及563b可包括矽化鎳及/或鍺化鎳,其取決於第一與第二墊結構531及532的化學組成。其他金屬(例如Ru、Ti、Co、W、Pt、Pd等)亦可用於形成底部部分553a、553b、563a及563b。底部部分553a、553b、563a及563b可由相同材料或不同材料製成,其取決於第一與第二墊結構531及532的化學組成。進一步地,底部部分553a、553b、563a及563b之厚度可透過金屬沉積及矽化來控制。30F, the second mask 509b is removed, followed by the bottoms of the first openings 555a and 555b on the exposed portions of the first and second channel structures 511 and 521 and between the first and second peripheral regions 531b and 532b A metal material (not shown) is deposited at the bottom of the second openings 565a and 565b on the exposed portions, followed by silicidation to form bottom portions 553a, 553b, 563a and 563b. The silicide can be accomplished, for example, by annealing the metal material to react with the pad structures 531 and 532 to form a silicide layer, and can remove any unreacted metal material. In this example, the metal material is Ni. The bottom portions 553a, 553b, 563a and 563b may include nickel silicide and/or nickel germanium, depending on the chemical composition of the first and second pad structures 531 and 532. Other metals (eg, Ru, Ti, Co, W, Pt, Pd, etc.) may also be used to form bottom portions 553a, 553b, 563a, and 563b. The bottom portions 553a, 553b, 563a and 563b can be made of the same material or different materials, depending on the chemical composition of the first and second pad structures 531 and 532. Further, the thickness of the bottom portions 553a, 553b, 563a and 563b can be controlled by metal deposition and silicidation.

在一些實施例中,製造製程接著進行到圖30G,其中形成金屬部分551a、551b、561a及561b,從而完成第一垂直互連結構550a及550b與第二垂直互連結構560a及560b。例如,可執行W的沉積(例如透過CVD),接著去除覆蓋層。注意,第一垂直互連結構550a及550b與第二垂直互連結構560a及560b可分別對應於第一垂直互連結構150a及150b與第二垂直互連結構160a及160b。In some embodiments, the fabrication process then proceeds to FIG. 30G, where metal portions 551a, 551b, 561a, and 561b are formed, thereby completing the first vertical interconnect structures 550a and 550b and the second vertical interconnect structures 560a and 560b. For example, deposition of W (eg, by CVD) may be performed, followed by removal of the capping layer. Note that the first vertical interconnect structures 550a and 550b and the second vertical interconnect structures 560a and 560b may correspond to the first vertical interconnect structures 150a and 150b and the second vertical interconnect structures 160a and 160b, respectively.

隨後,在圖30H中,完成第三垂直互連結構570a及570b,使得半導體裝置500可對應於圖26A-26C中之半導體裝置100。為了完成第三垂直互連結構570a及570b,形成第三蝕刻遮罩(未示出)以形成第三開口(未示出),其顯露第三垂直互連結構573a及537b之底部部分,接著可透過沉積導電材料573來填充第三開口以在其上形成第三垂直互連結構的頂部部分(未示出)。在其他實施例中,第三垂直互連結構之頂部部分可與第三垂直互連結構573a及573b之底部部分在化學上不同。此外,第三垂直互連結構573a及537b可位於通道結構511及521之周邊周圍的任何徑向位置。Subsequently, in FIG. 30H, third vertical interconnect structures 570a and 570b are completed, so that the semiconductor device 500 may correspond to the semiconductor device 100 in FIGS. 26A-26C. To complete the third vertical interconnect structures 570a and 570b, a third etch mask (not shown) is formed to form a third opening (not shown) that exposes bottom portions of the third vertical interconnect structures 573a and 537b, and then The third opening may be filled by depositing conductive material 573 to form a top portion (not shown) of the third vertical interconnect structure thereon. In other embodiments, the top portion of the third vertical interconnect structure may be chemically different from the bottom portion of the third vertical interconnect structures 573a and 573b. Furthermore, the third vertical interconnect structures 573a and 537b can be located at any radial position around the perimeter of the channel structures 511 and 521 .

在可替代實施例中,在圖30F中之矽化之後,製造製程進行到圖30G’,其中在形成第一與第二垂直互連結構550a、550b、560a及560b之前形成上述第三開口575a及575b。首先,可沉積絕緣材料502以填充第一開口555a及555b與第二開口565a及565b(未示出)。接著,形成第四蝕刻遮罩509c,其重新定義第一及第二開口555a、555b、565a及565b並定義顯露第三垂直互連結構573a及573b之底部部分的第三開口575a及575b。在一些實施例中,第一開口555a及555b與第二開口565a及565b可無需被填充及重新定義,而第三開口575a及575b可直接用不同的第四蝕刻遮罩509c來形成。In an alternative embodiment, after the silicidation in FIG. 30F, the fabrication process proceeds to FIG. 30G', in which the third opening 575a and 575b. First, insulating material 502 may be deposited to fill first openings 555a and 555b and second openings 565a and 565b (not shown). Next, a fourth etch mask 509c is formed which redefines the first and second openings 555a, 555b, 565a and 565b and defines third openings 575a and 575b that expose bottom portions of the third vertical interconnect structures 573a and 573b. In some embodiments, the first openings 555a and 555b and the second openings 565a and 565b do not need to be filled and redefined, and the third openings 575a and 575b can be directly formed with different fourth etch masks 509c.

隨後,在圖30H中,執行金屬沉積以填充第一、第二及第三開口555a、555b、565a、565b、575a及575b,隨後進行CMP平坦化以去除覆蓋層。因此,半導體裝置500可對應於圖26A-26C中之半導體裝置100。Subsequently, in FIG. 30H, metal deposition is performed to fill the first, second, and third openings 555a, 555b, 565a, 565b, 575a, and 575b, followed by CMP planarization to remove the capping layer. Thus, the semiconductor device 500 may correspond to the semiconductor device 100 in FIGS. 26A-26C.

圖31A-31C為根據本發明示例性實施例在可替代製造製程之諸多中間步驟中的半導體裝置600剖面圖。特別地,圖31A-31C可示出在CMOS GAA垂直電晶體上提供360度貫孔形成之可替代實施例,其製作源極與汲極觸點(在S/D觸點開孔之後矽化), 隨後是用於閘電極區域之貫孔觸點。圖示呈現CMOS 裝置,但本文技術亦可應用於並排 PMOS裝置及並排NMOS裝置。31A-31C are cross-sectional views of a semiconductor device 600 at various intermediate steps in an alternate fabrication process according to an exemplary embodiment of the present invention. In particular, Figures 31A-31C may illustrate an alternative embodiment providing 360 degree via formation on a CMOS GAA vertical transistor that fabricates source and drain contacts (silicided after S/D contact openings) , followed by through-hole contacts for the gate electrode area. The illustration shows a CMOS device, but the techniques herein can also be applied to side-by-side PMOS devices and side-by-side NMOS devices.

由於圖31A中之半導體裝置600的示例性實施例類似於圖31A中之半導體裝置500的示例性實施例,因此將著重於對差異處作解釋。半導體裝置600可包括在墊層630上方之絕緣材料602。絕緣材料602可填充空間並覆蓋第一電晶體610及第二電晶體620。Since the exemplary embodiment of the semiconductor device 600 in FIG. 31A is similar to the exemplary embodiment of the semiconductor device 500 in FIG. 31A , explanation of the differences will be emphasized. Semiconductor device 600 may include insulating material 602 over pad layer 630 . The insulating material 602 may fill the space and cover the first transistor 610 and the second transistor 620 .

在圖31B中,可形成第一垂直互連結構650a及650b與第二垂直互連結構660a及660b,其分別對應於圖30H中之第一垂直互連結構550a及550b與第二垂直互連結構560a及560b。第一垂直互連結構650a及650b與第二垂直互連結構660a及660b之形成可在類似於圖30E-30G中所示之製程中完成。具體地,可形成一遮罩(未示出),其定義用於垂直互連結構650a、650b、660a及660b之第一及第二開口(未示出)。接著,可透過金屬沉積及矽化形成底部部分653a、653b、663a及663b。接下來,可形成金屬部分651a、651b、661a及661b。進一步地,蝕刻停止層608(例如,氮化矽)可視情況地沉積在絕緣材料602與垂直互連結構650a、650b、660a及660b上方。In FIG. 31B, first vertical interconnect structures 650a and 650b and second vertical interconnect structures 660a and 660b may be formed, which correspond to the first vertical interconnect structures 550a and 550b and the second vertical interconnect in FIG. 30H, respectively Structures 560a and 560b. The formation of the first vertical interconnect structures 650a and 650b and the second vertical interconnect structures 660a and 660b can be accomplished in a process similar to that shown in Figures 30E-30G. Specifically, a mask (not shown) can be formed that defines first and second openings (not shown) for the vertical interconnect structures 650a, 650b, 660a, and 660b. Next, bottom portions 653a, 653b, 663a, and 663b may be formed by metal deposition and silicidation. Next, metal portions 651a, 651b, 661a, and 661b may be formed. Further, an etch stop layer 608 (eg, silicon nitride) is optionally deposited over insulating material 602 and vertical interconnect structures 650a, 650b, 660a, and 660b.

在圖31C中,形成第三垂直互連結構670a及670b。因此,半導體裝置600可對應於圖30H中之半導體裝置500。在本實施例中,閘電極開口形成有單獨的遮罩(未示出),利用該遮罩執行定向蝕刻,隨後進行遮罩去除及金屬填充。可執行後續CMP製程,其透過使用蝕刻停止層608而停在絕緣材料602上,以確定CMP製程的終點。注意,定向蝕刻可包括蝕刻鄰近第一閘極615之絕緣材料602的一部分以顯露第一閘極615。進一步,第三垂直互連結構670a及670b可分別對應於圖30H中之第三垂直互連結構570a及570b, 除了第三垂直互連結構670a及670b可在單一蝕刻及沉積製程中形成而第三垂直互連結構570a及570b可在兩個蝕刻及沉積製程中形成之外。此外,第三垂直互連結構670a及670b可透過絕緣材料602與第二介電材605分隔。In FIG. 31C, third vertical interconnect structures 670a and 670b are formed. Thus, the semiconductor device 600 may correspond to the semiconductor device 500 in FIG. 30H. In this embodiment, the gate electrode opening is formed with a separate mask (not shown), with which a directional etch is performed, followed by mask removal and metal filling. A subsequent CMP process may be performed, which stops on insulating material 602 using etch stop layer 608 to determine the endpoint of the CMP process. Note that the directional etch may include etching a portion of the insulating material 602 adjacent the first gate 615 to expose the first gate 615 . Further, the third vertical interconnect structures 670a and 670b may correspond to the third vertical interconnect structures 570a and 570b in FIG. 30H, respectively, except that the third vertical interconnect structures 670a and 670b may be formed in a single etching and deposition process and the third Three vertical interconnect structures 570a and 570b may be formed in addition to the two etch and deposition processes. In addition, the third vertical interconnect structures 670a and 670b can be separated from the second dielectric material 605 through the insulating material 602 .

雖然未示出,但在一些實施例中,第一及第二開口可形成在圖31A中之絕緣材料602中,隨後進行矽化以形成底部部分653a、653b、663a及663b。接著,可形成第三開口,隨後進行金屬沉積以填充第一、第二及第三開口,從而形成圖31C中之半導體裝置600。Although not shown, in some embodiments, the first and second openings may be formed in insulating material 602 in FIG. 31A, followed by silicidation to form bottom portions 653a, 653b, 663a, and 663b. Next, a third opening can be formed, followed by metal deposition to fill the first, second, and third openings, thereby forming the semiconductor device 600 of FIG. 31C.

圖32A為根據本發明示例性實施例之半導體裝置700A俯視示意圖。如所示,半導體裝置700A可包括分別設置在第一通道結構711及第二通道結構721上方之第一垂直互連結構750a及750b。半導體裝置700A亦可包括第一共同垂直互連結構780及第二共同垂直互連結構790。絕緣材料702可填充空間並將第一共同垂直互連結構780與第二共同垂直互連結構790分隔。儘管未示出,但複數半導體裝置700A可形成為陣列。32A is a schematic top view of a semiconductor device 700A according to an exemplary embodiment of the present invention. As shown, the semiconductor device 700A may include first vertical interconnect structures 750a and 750b disposed over the first channel structure 711 and the second channel structure 721, respectively. The semiconductor device 700A may also include a first common vertical interconnect structure 780 and a second common vertical interconnect structure 790 . The insulating material 702 may fill the space and separate the first common vertical interconnect structure 780 from the second common vertical interconnect structure 790 . Although not shown, the plurality of semiconductor devices 700A may be formed in an array.

圖32B示出沿圖32A中Z方向之剖線BB’所截取的剖面圖。由於圖32B中之半導體裝置700A的示例性實施例類似於圖31C中之半導體裝置600的示例性實施例,因此將著重於對差異處作解釋。該本示例中,第二垂直互連結構(將在圖31C中示出並解釋)與第三垂直互連結構770a及770b佈設於不同徑向位置處。 特別地,第三垂直互連結構770a及770b彼此相鄰並接觸。進一步地,第三垂直互連結構770a及770b可在化學上相同並因此一體成型地形成第一共同垂直互連結構780。在本示例中,第三垂直互連結構770a及770b可包括頂部部分771a及771b與底部部分773a及773b。頂部部分771a及771b可在化學上相同並一體成型地形成第一共同垂直互連結構781的頂部部分。底部部分773a及773b可在化學上相同並一體成型地形成連接至第一閘極結構715及第二閘極結構725之第一共同垂直互連結構783的底部部分。因此,第一共同垂直互連結構780可用作第一電晶體710與第二電晶體720之共同閘電極。在本示例中,第一共同垂直互連結構781及783之頂部與底部部分係由不同材料製成。可替代地,第一共同垂直互連結構781及783之頂部與底部部分可由相同材料製成,例如鎢。Fig. 32B shows a cross-sectional view taken along the line BB' in the Z direction in Fig. 32A. Since the exemplary embodiment of the semiconductor device 700A in FIG. 32B is similar to the exemplary embodiment of the semiconductor device 600 in FIG. 31C , explanation of the differences will be emphasized. In this present example, the second vertical interconnect structure (shown and explained in FIG. 31C ) and the third vertical interconnect structures 770a and 770b are arranged at different radial positions. In particular, the third vertical interconnect structures 770a and 770b are adjacent to and in contact with each other. Further, the third vertical interconnect structures 770a and 770b may be chemically identical and thus integrally form the first common vertical interconnect structure 780 . In this example, the third vertical interconnect structures 770a and 770b may include top portions 771a and 771b and bottom portions 773a and 773b. The top portions 771a and 771b may be chemically identical and integrally formed to form the top portion of the first common vertical interconnect structure 781 . The bottom portions 773a and 773b may be chemically identical and integrally formed to form the bottom portion of the first common vertical interconnect structure 783 connected to the first gate structure 715 and the second gate structure 725 . Therefore, the first common vertical interconnect structure 780 can be used as a common gate electrode of the first transistor 710 and the second transistor 720 . In this example, the top and bottom portions of the first common vertical interconnect structures 781 and 783 are made of different materials. Alternatively, the top and bottom portions of the first common vertical interconnect structures 781 and 783 may be made of the same material, such as tungsten.

進一步地,在圖32B中,第一墊結構731及第二墊結構732彼此接觸。可設置第一水平接觸結構741,其接觸第一墊結構731與第二墊結構732兩者。第一水平接觸結構741可降低第一墊結構731與第二墊結構732之間的電阻。第一水平接觸結構741可佈設於如本示例中所示之第一墊結構731及第二墊結構732的凹部中,或者在其他實施例中佈設於第一墊結構731及第二墊結構732上方。第二介電材705可設置於第一水平接觸結構741上方,以將第一水平接觸結構741與第一共同垂直互連結構780分隔。在本示例中,第一水平接觸結構741為矽化物,例如矽化鎳,而由相同矽化物製成之水平結構741'可設置於外圍區731b及732b上方。Further, in FIG. 32B , the first pad structure 731 and the second pad structure 732 are in contact with each other. A first horizontal contact structure 741 may be provided that contacts both the first pad structure 731 and the second pad structure 732 . The first horizontal contact structure 741 can reduce the resistance between the first pad structure 731 and the second pad structure 732 . The first horizontal contact structure 741 can be arranged in the recesses of the first pad structure 731 and the second pad structure 732 as shown in this example, or in other embodiments, in the first pad structure 731 and the second pad structure 732 above. The second dielectric material 705 may be disposed over the first horizontal contact structure 741 to separate the first horizontal contact structure 741 from the first common vertical interconnect structure 780 . In this example, the first horizontal contact structure 741 is a silicide, such as nickel silicide, and a horizontal structure 741' made of the same silicide can be disposed over the peripheral regions 731b and 732b.

圖32C為沿圖32A中Z方向之剖線CC'截取的剖面圖。第二垂直互連結構760a及760b彼此相鄰並接觸。進一步地,第二垂直互連結構760a及760b可在化學上相同並因此一體成型地形成第二共同垂直互連結構790。亦即,第二垂直互連結構760a及760b可包括金屬部分761a及761b與底部部分763a及763b。金屬部分761a及761b可在化學上相同並一體成型地形成第二共同垂直互連結構791之金屬部分。底部部分763a及763b可在化學上相同並一體成型地形成第一共同垂直互連結構793之底部部分(亦稱為第二水平接觸結構),其連接至第一墊結構731及第二墊結構732。 因此,第二共同垂直互連結構790可用作共同底部S/D觸點,其經由墊結構731及732連接至電晶體710及720之底部S/D區域(未示出)。FIG. 32C is a cross-sectional view taken along the line CC' in the Z direction in FIG. 32A. The second vertical interconnect structures 760a and 760b are adjacent to and in contact with each other. Further, the second vertical interconnect structures 760a and 760b may be chemically identical and thus integrally form the second common vertical interconnect structure 790 . That is, the second vertical interconnect structures 760a and 760b may include metal portions 761a and 761b and bottom portions 763a and 763b. The metal portions 761a and 761b may be chemically identical and integrally formed to form the metal portion of the second common vertical interconnect structure 791 . Bottom portions 763a and 763b may be chemically identical and integrally formed to form the bottom portion (also referred to as the second horizontal contact structure) of the first common vertical interconnect structure 793, which is connected to the first pad structure 731 and the second pad structure 732. Therefore, the second common vertical interconnect structure 790 can be used as a common bottom S/D contact, which is connected to the bottom S/D regions (not shown) of the transistors 710 and 720 via the pad structures 731 and 732 .

圖32D為圖32A-32C中之半導體裝置700A(在電晶體710及720形成CMOS裝置之實施例中)的等效電路圖700B。電路圖700B示出半導體裝置700A可用作包括接地電壓Vss、供應電壓Vdd、輸入電壓Vin及輸出電壓Vout之CMOS反相器。接地電壓Vss及供應電壓Vdd可對應於第一垂直互連結構750a及750b。輸入電壓Vin及輸出電壓Vout可分別對應於第一共同垂直互連結構780及第二共同垂直互連結構790。32D is an equivalent circuit diagram 700B of the semiconductor device 700A of FIGS. 32A-32C (in an embodiment in which transistors 710 and 720 form a CMOS device). The circuit diagram 700B shows that the semiconductor device 700A can be used as a CMOS inverter including a ground voltage Vss, a supply voltage Vdd, an input voltage Vin, and an output voltage Vout. The ground voltage Vss and the supply voltage Vdd may correspond to the first vertical interconnect structures 750a and 750b. The input voltage Vin and the output voltage Vout may correspond to the first common vertical interconnection structure 780 and the second common vertical interconnection structure 790, respectively.

圖33A-33H為根據本發明示例性實施例在製造之諸多中間步驟中的半導體裝置800剖面圖。特別地,圖33A-33H可示出用於製造CMOS GAA反相器之示例性製程。可知悉,亦可使用本文之技術來建立其他邏輯裝置類型。33A-33H are cross-sectional views of a semiconductor device 800 at various intermediate steps of fabrication in accordance with an exemplary embodiment of the present invention. In particular, Figures 33A-33H may illustrate exemplary processes for fabricating CMOS GAA inverters. It is understood that other logic device types may also be created using the techniques herein.

圖33A中之半導體裝置800類似於圖30A中之半導體裝置500,除了第一墊結構831及第二墊結構832彼此接觸之外。 第一水平接觸結構841及第二水平接觸結構(未示出)可設置為接觸第一墊結構831與第二墊結構832兩者。第一垂直互連結構853a及853b之底部部分可設置於第一通道結構811及第二通道結構812上方。第一水平接觸結構841可降低第一墊結構831與第二墊結構832之間的電阻。第一水平接觸結構841可佈設於如本示例中所示之第一墊結構831及第二墊結構832的凹部中,或者在其他實施例中佈設於第一墊結構831及第二墊結構832上方。在本示例中,第一垂直互連結構853a及853b之底部部份以及第一水平接觸結構841係由一或更多矽化物製成,例如矽化鎳及鍺化鎳,亦由矽化物製成之水平結構841'可設置於外圍區831b及832b上方。The semiconductor device 800 in FIG. 33A is similar to the semiconductor device 500 in FIG. 30A except that the first pad structure 831 and the second pad structure 832 are in contact with each other. The first horizontal contact structure 841 and the second horizontal contact structure (not shown) may be arranged to contact both the first pad structure 831 and the second pad structure 832 . Bottom portions of the first vertical interconnect structures 853a and 853b may be disposed over the first channel structure 811 and the second channel structure 812 . The first horizontal contact structure 841 can reduce the resistance between the first pad structure 831 and the second pad structure 832 . The first horizontal contact structure 841 can be arranged in the recesses of the first pad structure 831 and the second pad structure 832 as shown in this example, or in other embodiments in the first pad structure 831 and the second pad structure 832 above. In this example, the bottom portions of the first vertical interconnect structures 853a and 853b and the first horizontal contact structure 841 are made of one or more silicides, such as nickel silicide and nickel germanium, also made of silicides The horizontal structure 841' may be disposed above the peripheral regions 831b and 832b.

在圖33B中,第二介電材805可設置於第一水平接觸結構841及水平結構841'上方。在圖33C中,第二介電材805可被蝕刻至預定厚度。在圖33D中,可在第二介電材805上方沉積第一導電材料883' (例如,鎢),隨後進行第二介電材805之平坦化及沉積。In FIG. 33B, the second dielectric material 805 may be disposed above the first horizontal contact structure 841 and the horizontal structure 841'. In Figure 33C, the second dielectric material 805 may be etched to a predetermined thickness. In FIG. 33D, a first conductive material 883' (eg, tungsten) may be deposited over the second dielectric material 805, followed by planarization and deposition of the second dielectric material 805.

在圖33E中,形成遮罩809,其定義未來閘極金屬連接。去除第二介電材805之一部分及第一導電材料883'之一部分。剩餘之第一導電材料883'可形成第三垂直互連結構883的底部部分,其接觸第一電晶體810之第一閘極結構815及第二電晶體820之第二閘極結構。在本示例中,第一電晶體810及第二電晶體820分別為NMOS及PMOS。因此,第三垂直互連結構883之底部部分連接於NMOS與PMOS之間。In Figure 33E, a mask 809 is formed, which defines the future gate metal connection. A portion of the second dielectric material 805 and a portion of the first conductive material 883' are removed. The remaining first conductive material 883 ′ may form the bottom portion of the third vertical interconnect structure 883 , which contacts the first gate structure 815 of the first transistor 810 and the second gate structure of the second transistor 820 . In this example, the first transistor 810 and the second transistor 820 are NMOS and PMOS, respectively. Therefore, the bottom portion of the third vertical interconnection structure 883 is connected between the NMOS and the PMOS.

在圖33F中,去除遮罩809及第二介電材805之顯露部分,使得第一垂直互連結構853a及853b之底部部分顯露。In FIG. 33F, the exposed portions of the mask 809 and the second dielectric material 805 are removed, so that the bottom portions of the first vertical interconnect structures 853a and 853b are exposed.

在圖33G中,沉積絕緣材料802(例如,氧化矽)以填充空間並覆蓋第一電晶體810及第二電晶體820。隨後,可定義並蝕刻開口(例如,855a、855b及885)以用於反相器連接線路的觸點。注意,需要至少四個觸點,而圖33G示出三個開口855a、855b及885。第四開口可在圖33G之此剖面視圖後方。In FIG. 33G, an insulating material 802 (eg, silicon oxide) is deposited to fill the space and cover the first transistor 810 and the second transistor 820. Subsequently, openings (eg, 855a, 855b, and 885) may be defined and etched for contacts of the inverter connection lines. Note that at least four contacts are required, whereas Figure 33G shows three openings 855a, 855b, and 885. A fourth opening may be behind this cross-sectional view of Figure 33G.

在圖33H中,可沉積第二導電材料並可透過平坦化去除任何覆蓋層。因此,完成第一垂直互連結構850a及850b與第一共同垂直互連結構880。半導體裝置800可對應於圖32A-32C中之半導體裝置700A。據此,第二共同垂直互連結構可設置於圖33H之剖面視圖後方。In Figure 33H, a second conductive material can be deposited and any capping layers can be removed by planarization. Thus, the first vertical interconnect structures 850a and 850b and the first common vertical interconnect structure 880 are completed. Semiconductor device 800 may correspond to semiconductor device 700A in FIGS. 32A-32C. Accordingly, a second common vertical interconnect structure may be disposed behind the cross-sectional view of Figure 33H.

本文所述之諸多實施例提供數個優點。例如,垂直電晶體之垂直 3D磊晶生長允許垂直維度中或垂直於晶圓表面的電流。本文之此等方法及設計包括製作具有垂直電流的CMOS裝置,亦即,一方向上的電流。本文之垂直3裝置在Z方向上實現另一自由度,其將增強現有3D裝置之佈線選項。因為通道長度係由沉積層或磊晶生長層定義,故實現具有相對較短之電晶體長度。透過選擇性去除中間介電層來實現與閘電極的精確對位。本文技術免去對3D奈米堆疊之氧化物隔離的要求。對於具有特定基板條件之閘極全環(GAA)裝置,垂直電晶體可具有無限的寬度。The various embodiments described herein provide several advantages. For example, vertical 3D epitaxial growth of vertical transistors allows current flow in the vertical dimension or perpendicular to the wafer surface. The methods and designs herein include fabricating CMOS devices with vertical current flow, ie, current flow in one direction. The vertical 3 device herein enables another degree of freedom in the Z-direction that will enhance the routing options of existing 3D devices. Since the channel length is defined by the deposited or epitaxially grown layers, relatively short transistor lengths are achieved. Precise alignment with the gate electrode is achieved by selectively removing the intermediate dielectric layer. The techniques herein obviate the need for oxide isolation for 3D nanostacks. For gate full loop (GAA) devices with specific substrate conditions, vertical transistors can have infinite widths.

因為閘電極與源極區具有360度接通,故可將接觸置於源極之任一側或閘極之任一側。源極與汲極可互換,因為每一通道均可與其他通道隔離。360度接通係裝置結構之一項顯著優勢,以達最大佈線連接及佈線。給定之通道區域可取決於電路要求集中在墊結構或偏移以達最大佈線效率。垂直3D結構提供接通 (360度觸點及繞線接通至通道、源極與汲極),因而增加電路密度。將描述並說明具有金屬佈線之觸點佈線的幾個示例性實施例,包括帶有具3D連接之GAA通道的反相器流程。Because the gate and source regions have a 360 degree connection, contacts can be placed on either side of the source or either side of the gate. The source and drain are interchangeable because each channel can be isolated from the others. The 360 degree connection is a significant advantage of the device structure for maximum wiring connection and wiring. A given channel area can be focused on pad structure or offset depending on circuit requirements for maximum routing efficiency. The vertical 3D structure provides turn-on (360-degree contacts and routing to channel, source, and drain), thereby increasing circuit density. Several exemplary embodiments of contact routing with metal routing will be described and illustrated, including inverter flows with GAA channels with 3D connections.

在前文描述中,已闡述具體細節,例如處理系統之特定幾何形狀以及其中所使用之諸多構件與製程的描述。然而,應當理解,本文技術可在悖離此些具體細節之其他實施例中實行,且此等細節是出於解釋而非限制目的。本文所揭示之實施例已參考附圖進行描述。同樣地,出於解釋目的,已給出具體數字、材料及配置以提供透徹的理解。然而,實施例可在沒有此等具體細節下實行。具有實質上相同功能構造之構件係以相同參考符號表示,因此可省略任何贅述。In the foregoing description, specific details have been set forth, such as a description of the particular geometry of the processing system and the various components and processes used therein. It should be understood, however, that the techniques herein may be practiced in other embodiments that depart from these specific details, which are presented for purposes of explanation and not limitation. The embodiments disclosed herein have been described with reference to the accompanying drawings. Likewise, for purposes of explanation, specific numbers, materials and configurations have been given to provide a thorough understanding. However, embodiments may be practiced without these specific details. Components having substantially the same functional configuration are denoted by the same reference numerals, and thus any redundant descriptions may be omitted.

已將諸多技術描述為多個離散操作以助於理解諸多實施例。描述的順序不應解釋為暗示此些操作必定與順序有關。實際上,此些操作無需按照呈現順序執行。所述操作可以不同於所述實施例的順序來執行。可在額外實施例中執行諸多額外操作及/或可省略所述操作。Various techniques have been described as discrete operations to facilitate understanding of the various embodiments. The order of description should not be construed to imply that such operations are necessarily order dependent. In fact, such operations need not be performed in the order presented. The described operations may be performed in a different order than the described embodiments. Numerous additional operations may be performed in additional embodiments and/or such operations may be omitted.

本文所使用之「基板」或「目標基板」總體上意指將根據本發明處理之一物件。該基板可包含一裝置(尤其是半導體或其他電子裝置)之任何材料部分或結構,且可例如為一基礎基板結構,例如半導體晶圓、光罩、或基礎基板結構上或覆蓋基礎基板結構之一層(例如薄膜)。因此,基板並不限於任何特定基礎結構、底層或上覆層、圖案化或未圖案化,反而可考慮包含任何此等層或基礎結構、以及層及/或基礎結構之任何組合。該描述可參考特定類型之基板,但此僅為了說明目的。As used herein, "substrate" or "target substrate" generally means an object to be processed in accordance with the present invention. The substrate may comprise any material portion or structure of a device, especially a semiconductor or other electronic device, and may, for example, be a base substrate structure such as a semiconductor wafer, a photomask, or on or overlying a base substrate structure a layer (eg a film). Thus, the substrate is not limited to any particular base structure, underlying or overlying layer, patterned or unpatterned, but rather is contemplated to include any such layer or base structure, and any combination of layers and/or base structures. The description may refer to a particular type of substrate, but this is for illustration purposes only.

本領域技術人員亦將理解,在仍得以達到本發明之相同目的時,可對上述技術之操作進行許多變化。此等變化旨在被本發明之範圍所涵蓋。如此,本發明之實施例的前文描述並非旨在限制性。反而,對本發明之實施例的任何限制呈現於以下請求項中。Those skilled in the art will also appreciate that many variations can be made in the operation of the above-described techniques while still achieving the same objectives of the present invention. Such variations are intended to be encompassed by the scope of the present invention. As such, the foregoing description of embodiments of the present invention is not intended to be limiting. Rather, any limitations to embodiments of the invention are presented in the following claims.

在前文描述中,已闡述具體細節,例如處理系統之特定幾何形狀以及其中所使用之諸多構件與製程的描述。然而,應當理解,本文技術可在悖離此些具體細節之其他實施例中實行,且此等細節是出於解釋而非限制目的。本文所揭示之實施例已參考附圖進行描述。同樣地,出於解釋目的,已給出具體數字、材料及配置以提供透徹的理解。然而,實施例可在沒有此等具體細節下實行。具有實質上相同功能構造之構件係以相同參考符號表示,因此可省略任何贅述。In the foregoing description, specific details have been set forth, such as a description of the particular geometry of the processing system and the various components and processes used therein. It should be understood, however, that the techniques herein may be practiced in other embodiments that depart from these specific details, which are presented for purposes of explanation and not limitation. The embodiments disclosed herein have been described with reference to the accompanying drawings. Likewise, for purposes of explanation, specific numbers, materials and configurations have been given to provide a thorough understanding. However, embodiments may be practiced without these specific details. Components having substantially the same functional configuration are denoted by the same reference numerals, and thus any redundant descriptions may be omitted.

已將諸多技術描述為多個離散操作以助於理解諸多實施例。描述的順序不應解釋為暗示此些操作必定與順序有關。實際上,此些操作無需按照呈現順序執行。所述操作可以不同於所述實施例的順序來執行。可在額外實施例中執行諸多額外操作及/或可省略所述操作。Various techniques have been described as discrete operations to facilitate understanding of the various embodiments. The order of description should not be construed to imply that such operations are necessarily order dependent. In fact, such operations need not be performed in the order presented. The described operations may be performed in a different order than the described embodiments. Numerous additional operations may be performed in additional embodiments and/or such operations may be omitted.

本文所使用之「基板」或「目標基板」總體上意指將根據本發明處理之一物件。該基板可包含一裝置(尤其是半導體或其他電子裝置)之任何材料部分或結構,且可例如為一基礎基板結構,例如半導體晶圓、光罩、或基礎基板結構上或覆蓋基礎基板結構之一層(例如薄膜)。因此,基板並不限於任何特定基礎結構、底層或上覆層、圖案化或未圖案化,反而可考慮包含任何此等層或基礎結構、以及層及/或基礎結構之任何組合。該描述可參考特定類型之基板,但此僅為了說明目的。As used herein, "substrate" or "target substrate" generally means an object to be processed in accordance with the present invention. The substrate may comprise any material portion or structure of a device, especially a semiconductor or other electronic device, and may, for example, be a base substrate structure such as a semiconductor wafer, a photomask, or on or overlying a base substrate structure a layer (eg a film). Thus, the substrate is not limited to any particular base structure, underlying or overlying layer, patterned or unpatterned, but rather is contemplated to include any such layer or base structure, and any combination of layers and/or base structures. The description may refer to a particular type of substrate, but this is for illustration purposes only.

本領域技術人員亦將理解,在仍得以達到本發明之相同目的時,可對上述技術之操作進行許多變化。此等變化旨在被本發明之範圍所涵蓋。如此,本發明之實施例的前文描述並非旨在限制性。反而,對本發明之實施例的任何限制呈現於以下請求項中。Those skilled in the art will also appreciate that many variations can be made in the operation of the above-described techniques while still achieving the same objectives of the present invention. Such variations are intended to be encompassed by the scope of the present invention. As such, the foregoing description of embodiments of the present invention is not intended to be limiting. Rather, any limitations to embodiments of the invention are presented in the following claims.

顯然,鑒於上述教示,本發明之許多修改及變化是可能的。因此當理解,在隨附請求相之範圍內,本發明可以不同於本文具體描述的方式實施。Obviously, many modifications and variations of the present invention are possible in light of the above teachings. It is therefore to be understood that within the scope of the appended claims, the present invention may be practiced otherwise than as specifically described herein.

100:半導體裝置 101:基板 102:基板、絕緣材料 103:第一介電層 104:第一介電材 105:第二介電材 106:第一層半導體材料 108:第二介電材 110:光阻、遮罩層、第一介電層堆疊、第一電晶體 111:下部源極/汲極介電層 112:N+通道材料、垂直通道、閘極介電層 113:上部源極/汲極介電層 114:第三介電材 115:第一閘極結構 116:沉積選擇性高K層 117:第一間隔物材料 118:界面氧化物生長 120:金屬閘電極堆疊、閘極堆疊材料、第二介電層堆疊、第二電晶體 121:下部源極/汲極介電層 122:P摻雜之矽或鍺層、閘極介電層 123:上部源極/汲極介電層、第二高k介電材 125:第二閘極結構、高k介電材 125a:第一部分 125b:第二部分 127:第二間隔物材料 130:基板、墊層 131:開口、第一墊結構 131a:第一核心區 131b:第一外圍區 132:金屬連接、第二墊結構 132a:第二核心區 132b:第二外圍區 134:金屬連接 136:金屬連接 140:顯露部分、過渡介電層 150:硬遮罩 150a:垂直互連結構 150b:垂直互連結構 151a:金屬部分 151b:金屬部分 153a:底部部分 153b:底部部分 160:介電層 160a:垂直互連結構 160b:垂直互連結構 161a:金屬部分 161b:金屬部分 163a:底部部分 163b:底部部分 170:植入層 170a:垂直互連結構 170b:垂直互連結構 200A:佈線 200B:佈線 200C:佈線 200D:佈線 200E:佈線 202:基板 204:介電氧化物、第一介電材 208:第二介電材 210:光阻、遮罩 211:通道結構 214:第三介電材 216:選擇性高K層 218:界面氧化物生長 220:金屬閘電極堆疊、開口 222:第一層半導體材料 224:垂直通道、P+通道材料 230:開口 231:開口、墊結構 232:金屬連接 234:金屬連接 236:金屬連接 240:第四垂直互連結構 242:通道區域 250:第一垂直互連結構 260:第二垂直互連結構 270:第三垂直互連結構 300A:佈線 300B:佈線 300C:佈線 300D:佈線 302:矽 304:介電層、介電材、隔離層 305:單晶矽 306:N+層 306a:觸點位置 306b:觸點位置 306c:觸點位置 306d:觸點位置 306e:觸點位置 306f:觸點位置 306g:觸點位置 306h:觸點位置 306i:觸點位置 306j:觸點位置 306k:觸點位置 306l:觸點位置 308:介電層/介電材 310:光遮罩、光阻、光阻遮罩 311:通道結構 312:N+通道區域 314:第三介電材 316:高K沉積、閘極堆疊材料 318:界面氧化物生長、閘極堆疊材料 322:P+層 324:P+垂直通道材料 326:保護膜、介電材 328:閘極金屬層、閘極堆疊材料 330:閘極金屬層、閘極堆疊材料 331:分隔處 332N:電極 332P:電極 334:NMOS堆疊閘電極區域 334N:電極 334P:電極 336N:閘電極 336P:閘電極 340:NMOS閘極堆疊 342:開口、PMOS閘極堆疊 350:第一垂直互連結構 360:第二垂直互連結構 370:第三垂直互連結構 400:半導體裝置、製程 410:第一通道、第一半導體裝置 420:第二通道 500:半導體裝置 501:基板 502:絕緣材料 503:第一介電層、第一介電材 505:第二介電層、第二介電材 509a:第一蝕刻遮罩 509b:第二蝕刻遮罩 509c:第四蝕刻遮罩 510:第一半導體裝置、NMOS、PMOS 511:第一通道結構 513:第一高k介電材 515:第一閘極結構 517:第一間隔物材料 520:第二半導體裝置、PMOS、NMOS 521:第二通道結構 523:第二高k介電材 525:第二閘極結構 525a:第一部分 525b:第二部分 527:第二間隔物材料 530:遮罩、光阻遮罩、墊層 531:墊結構 531a:第一核心區 531b:第一外圍區 532:第二墊結構 532a:第二核心區 532b:第二外圍區 550a:第一垂直互連結構 550b:第一垂直互連結構 551a:金屬部分 551b:金屬部分 553a:底部部分 553b:底部部分 555a:第一開口 555b:第一開口 560a:第二垂直互連結構 560b:第二垂直互連結構 561a:金屬部分 561b:金屬部分 563a:底部部分 563b:底部部分 565a:第二開口 565b:第二開口 570a:第三垂直互連結構 570b:第三垂直互連結構 573:導電材料 573a:第三垂直互連結構 573b:第三垂直互連結構 575a:第三開口 575b:第三開口 600:半導體裝置 601:基板 602:絕緣材料 603:第一介電材 605:第二介電材 608:蝕刻停止層 610:高k介電材料 611:第一通道結構 612:閘極介電材料 613:第一高k介電材 615:第一閘極 617:第一間隔物材料 620:第二電晶體 621:第二通道結構 622:閘極介電材料 623:第二高k介電材 625:第二閘極 625a:第一部分 625b:第二部分 627:第二間隔物材料 630:墊層 631:第一墊結構 631a:第一核心區 631b:第一外圍區 632:第二墊結構 632a:第二核心區 632b:第二外圍區 650a:第一垂直互連結構 650b:第一垂直互連結構 651a:金屬部分 651b:金屬部分 653a:底部部分 653b:底部部分 660a:第二垂直互連結構 660b:第二垂直互連結構 661a:金屬部分 661b:金屬部分 663a:底部部分 663b:底部部分 670a:第三垂直互連結構 670b:第三垂直互連結構 700A:半導體裝置 700B:電路圖 701:基板 702:絕緣材料 703:第一介電材 705:第二介電材 711:第一通道結構 713:第一高k介電材 714:內部金屬材料 715:外部金屬材料、第一閘極結構 717:第一間隔物材料 720:第二電晶體 721:第二通道結構 723:第二高k介電材 724:內部金屬材料 725:外部金屬材料、第二閘極結構 725a:第一部分 725b:第二部分 727:第二間隔物材料 730:墊層 731:第一墊結構 731a:第一核心區 731b:第一外圍區 732:第二墊結構 732a:第二核心區 732b:第二外圍區 741:第一水平接觸結構 741’:水平結構 750a:第一垂直互連結構 750b:第一垂直互連結構 751a:金屬部分 751b:金屬部分 753a:底部部分 753b:底部部分 760a:第二垂直互連結構 760b:第二垂直互連結構 761a:金屬部分 761b:金屬部分 763a:底部部分 763b:底部部分 770a:第三垂直互連結構 770b:第三垂直互連結構 771a:頂部部分 771b:頂部部分 773a:底部部分 773b:底部部分 780:第一共同垂直互連結構 781:第一共同垂直互連結構 783:第一共同垂直互連結構 790:第二共同垂直互連結構 791:第二共同垂直互連結構 793:第一共同垂直互連結構 800:半導體裝置 801:基板 802:沉積絕緣材料 803:源極與汲極區域 805:奈米片、第二介電材 809:遮罩 810:矽化物、第一電晶體 811:第一通道結構 813:第一高k介電材 815:第一閘極結構 817:第一間隔物材料 820:矽化物、第二電晶體 821:第二通道結構 823:第二高k介電材 825:第二閘極結構 825a:第一部分 825b:第二部分 827:第二間隔物材料 830:墊層 831:第一墊結構 831a:第一核心區 831b:第一外圍區 832:第二墊結構 832a:第二核心區 832b:第二外圍區 841:第一水平接觸結構 841’:水平結構 850a:第一垂直互連結構 850b:第一垂直互連結構 851a:金屬部分 851b:金屬部分 853a:第一垂直互連結構 853b:第一垂直互連結構 855a:開口 855b:開口 870a:第三垂直互連結構 870b:第三垂直互連結構 871a:金屬部分 871b:金屬部分 873a:第三垂直互連結構 873b:第三垂直互連結構 880:垂直互連結構 881:第一共同垂直互連結構 883:第三垂直互連結構 883’:第一導電材料 885 :開口 900:半導體裝置 910:過渡介電材料 1010:介電材料 1110:第三金屬材料 1124:金屬材料 1310:氧化物 1320:硬遮罩 1410:蝕刻遮罩、光阻遮罩 1420:開口 1510:間隔物膜 1520:開口 1710:介電材料 1800:3D半導體設備、CMOS反相器 1900:3D半導體設備、CMOS反相器 2000:3D半導體設備、CMOS反相器 2100:3D半導體設備、CMOS反相器 2200:方法 2205:步驟 2210:步驟 2215:步驟 2220:步驟 2225:步驟 2230:步驟 2235:步驟 2240:步驟 2245:步驟 2250:步驟 2255:步驟 2260:步驟 2265:步驟 2270:步驟 2275:步驟 2280:步驟 2285:步驟 2290:步驟 A:剖線 A’:剖線 B:剖線 B’:剖線 C:剖線 C’:剖線 S401:步驟 S402:步驟 S403:步驟 S404:步驟 S405:步驟 Vdd:供應電壓 Vin:輸入電壓 Vout:輸出電壓 Vss:接地電壓 X:方向 Y:方向 Z:方向100: Semiconductor Devices 101: Substrate 102: Substrate, insulating material 103: first dielectric layer 104: The first dielectric material 105: The second dielectric material 106: The first layer of semiconductor materials 108: The second dielectric material 110: photoresist, mask layer, first dielectric layer stack, first transistor 111: Lower source/drain dielectric layer 112: N+ channel material, vertical channel, gate dielectric layer 113: Upper source/drain dielectric layer 114: The third dielectric material 115: The first gate structure 116: Deposition of Selective High-K Layers 117: First Spacer Material 118: Interfacial oxide growth 120: metal gate electrode stack, gate stack material, second dielectric layer stack, second transistor 121: Lower source/drain dielectric layer 122: P-doped silicon or germanium layer, gate dielectric layer 123: Upper source/drain dielectric layer, second high-k dielectric material 125: Second gate structure, high-k dielectric material 125a: Part 1 125b: Part II 127: Second Spacer Material 130: substrate, cushion 131: Opening, first pad structure 131a: First Core Area 131b: First Peripheral Area 132: Metal connection, second pad structure 132a: Second core area 132b: Second peripheral area 134: Metal connection 136: Metal connection 140: exposed part, transition dielectric layer 150: hard mask 150a: Vertical Interconnect Structure 150b: Vertical Interconnect Structure 151a: Metal parts 151b: Metal parts 153a: Bottom part 153b: Bottom part 160: Dielectric layer 160a: Vertical Interconnect Architecture 160b: Vertical Interconnect Structure 161a: Metal parts 161b: Metal parts 163a: Bottom part 163b: Bottom part 170: Implant Layer 170a: Vertical Interconnect Structure 170b: Vertical Interconnect Structure 200A: Wiring 200B: Wiring 200C: Wiring 200D: Wiring 200E: Wiring 202: Substrate 204: Dielectric oxide, first dielectric material 208: Second Dielectric Material 210: photoresist, mask 211: Channel Structure 214: The third dielectric material 216: Selective High-K Layer 218: Interfacial oxide growth 220: Metal gate electrode stack, opening 222: First Layer Semiconductor Materials 224: Vertical channel, P+ channel material 230: Opening 231: Opening, pad structure 232: Metal connection 234: Metal connection 236: Metal connection 240: Fourth Vertical Interconnect Structure 242: Channel area 250: First Vertical Interconnect Structure 260: Second Vertical Interconnect Structure 270: Third Vertical Interconnect Structure 300A: Wiring 300B: Wiring 300C: Wiring 300D: Wiring 302: Silicon 304: Dielectric layer, dielectric material, isolation layer 305: Monocrystalline silicon 306: N+ Layer 306a: Contact position 306b: Contact position 306c: Contact position 306d: Contact position 306e: Contact position 306f: Contact position 306g: Contact position 306h: Contact position 306i: Contact position 306j: Contact position 306k: Contact position 306l: Contact position 308: Dielectric Layer/Dielectric Material 310: Photomask, photoresist, photoresist mask 311: Channel Structure 312: N+ channel area 314: The third dielectric material 316: High-K Deposition, Gate Stacking Materials 318: Interface Oxide Growth, Gate Stack Materials 322:P+layer 324:P+ vertical channel material 326: protective film, dielectric material 328: Gate metal layer, gate stack material 330: Gate metal layer, gate stack material 331: Separation 332N: Electrode 332P: Electrode 334: NMOS stack gate electrode area 334N: Electrode 334P: Electrode 336N: Gate electrode 336P: Gate electrode 340:NMOS gate stack 342: Open, PMOS gate stack 350: First Vertical Interconnect Structure 360: Second Vertical Interconnect Structure 370: Third Vertical Interconnect Structure 400: Semiconductor devices and processes 410: first channel, first semiconductor device 420: Second channel 500: Semiconductor Devices 501: Substrate 502: Insulation material 503: first dielectric layer, first dielectric material 505: the second dielectric layer, the second dielectric material 509a: First etch mask 509b: Second etch mask 509c: Fourth etch mask 510: First semiconductor device, NMOS, PMOS 511: First channel structure 513: The first high-k dielectric material 515: The first gate structure 517: First Spacer Material 520: Second semiconductor device, PMOS, NMOS 521: Second channel structure 523: Second High-k Dielectric Material 525: Second gate structure 525a: Part 1 525b: Part II 527: Second Spacer Material 530: mask, photoresist mask, cushion 531: Pad Structure 531a: First Core Area 531b: First Peripheral Area 532: Second Pad Structure 532a: Second core area 532b: Second Peripheral Area 550a: First Vertical Interconnect Structure 550b: First Vertical Interconnect Structure 551a: Metal parts 551b: Metal parts 553a: Bottom part 553b: Bottom part 555a: first opening 555b: First opening 560a: Second Vertical Interconnect Structure 560b: Second Vertical Interconnect Structure 561a: Metal parts 561b: Metal parts 563a: Bottom part 563b: Bottom part 565a: Second opening 565b: Second opening 570a: Third Vertical Interconnect Structure 570b: Third Vertical Interconnect Structure 573: Conductive Materials 573a: Third Vertical Interconnect Structure 573b: Third Vertical Interconnect Structure 575a: Third Opening 575b: Third opening 600: Semiconductor Devices 601: Substrate 602: Insulation material 603: The first dielectric material 605: Second Dielectric Material 608: Etch stop layer 610: High-k Dielectric Materials 611: First channel structure 612: Gate Dielectric Material 613: The first high-k dielectric material 615: first gate 617: First Spacer Material 620: Second transistor 621: Second channel structure 622: Gate Dielectric Material 623: Second High-k Dielectric 625: second gate 625a: Part 1 625b: Part II 627: Second Spacer Material 630: Cushion 631: First Pad Structure 631a: First Core Area 631b: First Peripheral Area 632: Second Pad Structure 632a: Second Core Area 632b: Second Peripheral Area 650a: First Vertical Interconnect Structure 650b: First Vertical Interconnect Structure 651a: Metal parts 651b: Metal parts 653a: Bottom part 653b: Bottom part 660a: Second Vertical Interconnect Structure 660b: Second Vertical Interconnect Structure 661a: Metal parts 661b: Metal parts 663a: Bottom part 663b: Bottom part 670a: Third Vertical Interconnect Structure 670b: Third vertical interconnect structure 700A: Semiconductor Devices 700B: Circuit Diagram 701: Substrate 702: Insulation material 703: The first dielectric material 705: Second Dielectric Material 711: First channel structure 713: The first high-k dielectric material 714: Internal Metal Materials 715: External metal material, first gate structure 717: First Spacer Material 720: Second transistor 721: Second channel structure 723: Second High-k Dielectric 724: Internal Metal Materials 725: External metal material, second gate structure 725a: Part 1 725b: Part II 727: Second Spacer Material 730: Cushion 731: First Pad Structure 731a: First Core Area 731b: First Peripheral Area 732: Second Pad Structure 732a: Second Core Area 732b: Second Peripheral Area 741: First Horizontal Contact Structure 741’: Horizontal Structure 750a: First Vertical Interconnect Structure 750b: First Vertical Interconnect Structure 751a: Metal parts 751b: Metal Parts 753a: Bottom section 753b: Bottom section 760a: Second Vertical Interconnect Structure 760b: Second Vertical Interconnect Structure 761a: Metal parts 761b: Metal Parts 763a: Bottom section 763b: Bottom section 770a: Third Vertical Interconnect Structure 770b: Third Vertical Interconnect Structure 771a: Top section 771b: Top section 773a: Bottom section 773b: Bottom section 780: First Common Vertical Interconnect Structure 781: First Common Vertical Interconnect Structure 783: First Common Vertical Interconnect Structure 790: Second Common Vertical Interconnect Structure 791: Second Common Vertical Interconnect Structure 793: First Common Vertical Interconnect Structure 800: Semiconductor Devices 801: Substrate 802: Deposition of insulating material 803: source and drain regions 805: Nanosheet, Second Dielectric Material 809:Mask 810: silicide, first transistor 811: First channel structure 813: The first high-k dielectric material 815: First gate structure 817: First Spacer Material 820: silicide, second transistor 821: Second channel structure 823: Second High-k Dielectric 825: Second gate structure 825a: Part 1 825b: Part II 827: Second Spacer Material 830: Cushion 831: First Pad Structure 831a: First Core Area 831b: First Peripheral Area 832: Second Pad Structure 832a: Second Core Area 832b: Second Peripheral Area 841: First Horizontal Contact Structure 841’: Horizontal Structure 850a: First Vertical Interconnect Structure 850b: First Vertical Interconnect Structure 851a: Metal parts 851b: Metal parts 853a: First Vertical Interconnect Structure 853b: First Vertical Interconnect Structure 855a: Opening 855b: Opening 870a: Third Vertical Interconnect Structure 870b: Third Vertical Interconnect Structure 871a: Metal parts 871b: Metal parts 873a: Third Vertical Interconnect Structure 873b: Third Vertical Interconnect Structure 880: Vertical Interconnect Structure 881: First Common Vertical Interconnect Structure 883: Third Vertical Interconnect Structure 883': first conductive material 885: Opening 900: Semiconductor Devices 910: Transition Dielectric Materials 1010: Dielectric Materials 1110: The third metal material 1124: Metal Materials 1310: Oxide 1320:Hard Mask 1410: etching mask, photoresist mask 1420: Opening 1510: Spacer Film 1520: Opening 1710: Dielectric Materials 1800: 3D semiconductor devices, CMOS inverters 1900: 3D semiconductor devices, CMOS inverters 2000: 3D semiconductor devices, CMOS inverters 2100: 3D Semiconductor Devices, CMOS Inverters 2200: Methods 2205: Steps 2210: Steps 2215: Steps 2220: Steps 2225: Steps 2230: Steps 2235: Steps 2240: Steps 2245: Steps 2250: Steps 2255: Steps 2260: Steps 2265: Steps 2270: Steps 2275: Steps 2280: Steps 2285: Steps 2290: Steps A: Section line A': section line B: Section line B': section line C: section line C': section line S401: Steps S402: Step S403: Step S404: Step S405: Step Vdd: Supply voltage Vin: input voltage Vout: output voltage Vss: ground voltage X: direction Y: direction Z: direction

當結合附圖考慮時,透過參考以下詳細描述將因變得更好理解而更易獲得對本發明及其許多伴隨優點之更完整瞭解,其中 :A more complete understanding of the present invention and its many attendant advantages will become more readily obtained by reference to the following detailed description when considered in conjunction with the accompanying drawings, in which:

圖1A是根據本發明實施例示出不同介電類型之沉積層的橫截面基板部分。1A is a cross-sectional substrate portion showing deposited layers of different dielectric types in accordance with an embodiment of the present invention.

圖1B是根據本發明實施例示出形成蝕刻遮罩以在層堆中定義開口之橫截面基板部分。1B is a cross-sectional substrate portion illustrating the formation of an etch mask to define openings in a layer stack, in accordance with an embodiment of the present invention.

圖1C是根據本發明實施例示出在開口中磊晶生長N+通道材料之橫截面基板部分。1C is a cross-sectional substrate portion showing epitaxial growth of N+ channel material in an opening, in accordance with an embodiment of the present invention.

圖1D是根據本發明實施例示出形成第二蝕刻遮罩以定義磊晶生長之垂直通道周圍側壁結構的橫截面基板部分。1D is a cross-sectional substrate portion illustrating formation of a second etch mask to define sidewall structures around vertical channels for epitaxial growth, in accordance with an embodiment of the present invention.

圖1E是根據本發明實施例示出穿過N+矽層以隔離裝置之可選蝕刻的橫截面基板部分。1E is a cross-sectional substrate portion showing an optional etch through an N+ silicon layer to isolate a device, in accordance with an embodiment of the present invention.

圖1F是根據本發明實施例示出在開放之基板區域及N+區域上生長或選擇性沉積第三介電材的橫截面基板部分。1F is a cross-sectional substrate portion showing growth or selective deposition of a third dielectric material on open substrate regions and N+ regions, in accordance with an embodiment of the present invention.

圖1G是根據本發明實施例示出去除第二介電材之橫截面基板部分。1G is a cross-sectional substrate portion showing removal of the second dielectric material according to an embodiment of the present invention.

圖1H是根據本發明實施例示出在閘極區域中沉積選擇性高K層之橫截面基板部分。1H is a cross-sectional substrate portion illustrating deposition of a selective high-K layer in the gate region in accordance with an embodiment of the present invention.

圖1I是根據本發明實施例示出在閘極區域中形成界面氧化物生長之選擇性高K退火步驟的橫截面基板部分。1I is a cross-sectional substrate portion showing a selective high-K annealing step to form interfacial oxide growth in the gate region in accordance with an embodiment of the present invention.

圖1J是根據本發明實施例示出形成金屬閘電極堆疊之橫截面基板部分。FIG. 1J is a cross-sectional substrate portion illustrating forming a metal gate electrode stack in accordance with an embodiment of the present invention.

圖1K是根據本發明實施例示出將閘極堆疊材料從基板上定向蝕刻去除,留下圍繞垂直通道之閘極堆疊材料以形成GAA裝置的橫截面基板部分。1K is a cross-sectional substrate portion illustrating the directionally etched removal of gate stack material from the substrate, leaving gate stack material surrounding vertical channels to form a GAA device, in accordance with an embodiment of the present invention.

圖1L是根據本發明實施例之NMOS GAA裝置的透視圖,其閘極、源極與汲極連接至金屬觸點。1L is a perspective view of an NMOS GAA device with gate, source and drain connected to metal contacts according to an embodiment of the present invention.

圖2A是根據本發明實施例示出不同介電類型之沉積層的橫截面基板部分。2A is a cross-sectional substrate portion showing deposited layers of different dielectric types in accordance with an embodiment of the present invention.

圖2B是根據本發明實施例示出形成蝕刻遮罩以在層堆中定義開口之橫截面基板部分。2B is a cross-sectional substrate portion illustrating the formation of an etch mask to define openings in a layer stack, in accordance with an embodiment of the present invention.

圖2C是根據本發明實施例示出在開口中磊晶生長P+通道材料之橫截面基板部分。2C is a cross-sectional substrate portion showing epitaxial growth of P+ channel material in an opening, in accordance with an embodiment of the present invention.

圖2D是根據本發明實施例示出形成第二蝕刻遮罩以定義磊晶生長之垂直通道周圍側壁結構的橫截面基板部分。2D is a cross-sectional substrate portion illustrating formation of a second etch mask to define sidewall structures surrounding vertical channels for epitaxial growth, in accordance with an embodiment of the present invention.

圖2E是根據本發明實施例示出穿過P+矽層以隔離裝置之可選蝕刻的橫截面基板部分。2E is a cross-sectional substrate portion showing an optional etch through the P+ silicon layer to isolate the device, in accordance with an embodiment of the present invention.

圖2F是根據本發明實施例示出在開放之基板區域及P+區域上生長或選擇性沉積第三介電材的橫截面基板部分。2F is a cross-sectional substrate portion showing growth or selective deposition of a third dielectric material on open substrate regions and P+ regions in accordance with an embodiment of the present invention.

圖2G是根據本發明實施例示出去除第二介電材之橫截面基板部分。2G is a cross-sectional substrate portion showing removal of the second dielectric material according to an embodiment of the present invention.

圖2H是根據本發明實施例示出在閘極區域中沉積選擇性高K層之橫截面基板部分。2H is a cross-sectional substrate portion illustrating deposition of a selective high-K layer in the gate region in accordance with an embodiment of the present invention.

圖2I是根據本發明實施例示出在閘極區域中形成界面氧化物生長之選擇性高K退火步驟的橫截面基板部分。2I is a cross-sectional substrate portion illustrating a selective high-K annealing step to form interfacial oxide growth in the gate region in accordance with an embodiment of the present invention.

圖2J是根據本發明實施例示出形成金屬閘電極堆疊之橫截面基板部分。2J is a cross-sectional substrate portion illustrating forming a metal gate electrode stack in accordance with an embodiment of the present invention.

圖2K是根據本發明實施例示出將閘極堆疊材料從基板上定向蝕刻去除,留下圍繞垂直通道之閘極堆疊材料以形成GAA裝置的橫截面基板部分。2K is a cross-sectional substrate portion illustrating the directionally etched removal of gate stack material from the substrate, leaving gate stack material surrounding vertical channels to form a GAA device, in accordance with an embodiment of the present invention.

圖2L是根據本發明實施例之PMOS GAA裝置的透視圖,其閘極、源極與汲極連接至金屬觸點。2L is a perspective view of a PMOS GAA device with gate, source, and drain connected to metal contacts according to an embodiment of the present invention.

圖3A是根據本發明實施例示出在矽上之氧化物上有單晶矽的基板一側上用光遮罩圖案化並用N+摻雜在另一側上植入的橫截面基板部分。3A is a cross-sectional substrate portion showing monocrystalline silicon on oxide-on-silicon substrate patterned on one side with a photomask and implanted with N+ doping on the other side, in accordance with an embodiment of the present invention.

圖3B是根據本發明實施例示出在基板層之另一側上進行遮擋及P+植入摻雜的橫截面基板部分。3B is a cross-sectional substrate portion showing shading and P+ implant doping on the other side of the substrate layer in accordance with an embodiment of the present invention.

圖3C是根據本發明實施例示出透過遮擋及向下蝕刻至介電氧化物層來隔離摻雜半導體區域之可選步驟的橫截面基板部分。3C is a cross-sectional substrate portion showing an optional step of isolating doped semiconductor regions by masking and etching down to a dielectric oxide layer in accordance with an embodiment of the present invention.

圖3D是根據本發明實施例示出植入區域退火接著沉積第一介電層、第二介電層及一層第一介電材的橫截面基板部分。3D is a cross-sectional substrate portion showing annealing of the implanted region followed by deposition of a first dielectric layer, a second dielectric layer, and a layer of a first dielectric material, in accordance with an embodiment of the present invention.

圖3E是根據本發明實施例示出對光阻圖案化以定義向下蝕刻到摻雜層之開口的橫截面基板部分。3E is a cross-sectional substrate portion showing patterning of a photoresist to define openings etched down to a doped layer in accordance with an embodiment of the present invention.

圖3F是根據本發明實施例示出形成在開口中形成第三介電材之橫截面基板部分。3F is a cross-sectional view of a portion of a substrate showing the formation of a third dielectric material in an opening, in accordance with an embodiment of the present invention.

圖3G是根據本發明實施例示出將第三介電材從NMOS區域去除之橫截面基板部分。3G is a cross-sectional substrate portion showing removal of a third dielectric material from an NMOS region in accordance with an embodiment of the present invention.

圖3H是根據本發明實施例示出磊晶生長N+通道區域並保護PMOS區域之橫截面基板部分。3H is a cross-sectional substrate portion showing epitaxial growth of N+ channel regions and protection of PMOS regions in accordance with an embodiment of the present invention.

圖3I是根據本發明實施例示出沉積在基板上沉積保護膜之橫截面基板部分。3I is a cross-sectional substrate portion illustrating deposition of a protective film on the substrate in accordance with an embodiment of the present invention.

圖3J是根據本發明實施例示出將保護膜從PMOS區域去除之橫截面基板部分。3J is a cross-sectional substrate portion showing removal of the protective film from the PMOS region in accordance with an embodiment of the present invention.

圖3K是根據本發明實施例示出磊晶生長P+垂直通道材料之橫截面基板部分。3K is a cross-sectional substrate portion illustrating epitaxial growth of P+ vertical channel material in accordance with an embodiment of the present invention.

圖3L是根據本發明實施例示出遮擋PMOS及NMOS區域並蝕刻介電堆疊以在垂直通道周圍形成側壁結構的橫截面基板部分。3L is a cross-sectional substrate portion showing masking the PMOS and NMOS regions and etching the dielectric stack to form sidewall structures around vertical channels in accordance with an embodiment of the present invention.

圖3M是根據本發明實施例示出在開放之基板區域及N+與P+區域上生長或選擇性沉積第三介電材之橫截面基板部分。3M is a cross-sectional substrate portion showing growth or selective deposition of a third dielectric material on open substrate regions and N+ and P+ regions, according to an embodiment of the present invention.

圖3N是根據本發明實施例示出在不去除第一介電材或第三介電材下去除第二介電材以顯露未來閘電極區域的橫截面基板部分。3N is a cross-sectional substrate portion showing removal of the second dielectric material without removing the first dielectric material or the third dielectric material to reveal a future gate electrode region, in accordance with an embodiment of the present invention.

圖3O是根據本發明實施例示出沉積高K介電材、退火並在高K沉積物與垂直通道之間形成界面氧化物生長的橫截面基板部分。3O is a cross-sectional substrate portion illustrating deposition of a high-K dielectric, annealing, and formation of interfacial oxide growth between the high-K deposit and vertical channels, in accordance with an embodiment of the present invention.

圖3P是根據本發明實施例示出形成金屬閘電極堆疊之橫截面基板部分。3P is a cross-sectional substrate portion showing forming a metal gate electrode stack in accordance with an embodiment of the present invention.

圖3Q是根據本發明實施例示出執行定向蝕刻以從基板上去除閘極堆疊材料,留下圍繞垂直通道之閘極堆疊材料的橫截面基板部分。3Q is a cross-sectional substrate portion illustrating performing a directional etch to remove gate stack material from the substrate, leaving gate stack material surrounding vertical channels, in accordance with an embodiment of the present invention.

圖3R是根據本發明實施例之橫截面基板部分。3R is a cross-sectional substrate portion according to an embodiment of the present invention.

圖3S是根據本發明實施例示出將TiAl層從PMOS區域去除之橫截面基板部分。3S is a cross-sectional substrate portion showing removal of a TiAl layer from a PMOS region in accordance with an embodiment of the present invention.

圖3T是根據本發明實施例示出在摻雜矽層中蝕刻出分隔處以隔離下部源極/汲極區域的橫截面基板部分。FIG. 3T is a cross-sectional substrate portion showing separations etched in the doped silicon layer to isolate lower source/drain regions in accordance with an embodiment of the present invention.

圖3U是根據本發明實施例示出沉積介電覆蓋層之橫截面基板部分。3U is a cross-sectional substrate portion showing deposition of a dielectric capping layer in accordance with an embodiment of the present invention.

圖3V是根據本發明實施例之緊鄰PMOS裝置之NMOS裝置的透視圖,其閘極、源極與汲極連接至金屬觸點。3V is a perspective view of an NMOS device next to a PMOS device with gate, source, and drain connected to metal contacts in accordance with an embodiment of the present invention.

圖4-12A及13-20示出說明根據本發明一些實施例製造3D半導體設備之示例性方法的剖面圖;4-12A and 13-20 show cross-sectional views illustrating exemplary methods of fabricating 3D semiconductor devices in accordance with some embodiments of the present invention;

圖12B示出圖9A之3D半導體設備的示意圖;FIG. 12B shows a schematic diagram of the 3D semiconductor device of FIG. 9A;

圖21示出根據本發明一些實施例之3D半導體設備的頂視圖;Figure 21 shows a top view of a 3D semiconductor device according to some embodiments of the present invention;

圖22-24示出透過根據本發明諸多實施例之方法所製成之三個不同3D半導體設備的頂視圖;以及22-24 illustrate top views of three different 3D semiconductor devices fabricated by methods according to various embodiments of the present invention; and

圖25示出根據本發明一些實施例製造3D半導體設備之示例性方法的流程圖。25 shows a flowchart of an exemplary method of fabricating a 3D semiconductor device in accordance with some embodiments of the present invention.

圖26A是根據本發明示例性實施例之半導體裝置的透視圖。26A is a perspective view of a semiconductor device according to an exemplary embodiment of the present invention.

圖26B是根據本發明示例性實施例之沿圖26A中剖線AA’截取的剖面圖。Figure 26B is a cross-sectional view taken along line AA' in Figure 26A, according to an exemplary embodiment of the present invention.

圖26C是根據本發明示例性實施例之圖26A中半導體裝置的俯視示意圖。26C is a schematic top view of the semiconductor device of FIG. 26A according to an exemplary embodiment of the present invention.

圖27A、27B、27C、27D及27E示出根據一些實施例之佈線設計示例。27A, 27B, 27C, 27D, and 27E illustrate examples of routing designs according to some embodiments.

圖28A、28B、28C及28D示出根據一些實施例之佈線設計示例。28A, 28B, 28C, and 28D illustrate examples of routing designs according to some embodiments.

圖29示出根據本發明實施例製造示例性半導體裝置之示例性製程的流程圖。29 shows a flowchart of an exemplary process for fabricating an exemplary semiconductor device in accordance with an embodiment of the present invention.

圖30A、30B、30C、30D、30E、30F、30G、30G'及30H是根據本發明示例性實施例在製造之諸多中間步驟中的半導體裝置剖面圖。30A, 30B, 30C, 30D, 30E, 30F, 30G, 30G', and 30H are cross-sectional views of semiconductor devices at various intermediate steps of fabrication in accordance with exemplary embodiments of the present invention.

圖31A、31B及31C是根據本發明示例性實施例在製造之諸多中間步驟中的半導體裝置剖面圖。31A, 31B, and 31C are cross-sectional views of a semiconductor device at various intermediate steps of fabrication in accordance with exemplary embodiments of the present invention.

圖32A是根據本發明示例性實施例之半導體裝置的俯視示意圖。32A is a schematic top view of a semiconductor device according to an exemplary embodiment of the present invention.

圖32B及32C分別是根據本發明示例性實施例之沿圖32A中剖線BB’及CC’截取的剖面圖。32B and 32C are cross-sectional views taken along line BB' and CC' in FIG. 32A, respectively, according to an exemplary embodiment of the present invention.

圖32D是根據本發明示例性實施例之圖32A、32B及32C中半導體裝置的等效電路圖。32D is an equivalent circuit diagram of the semiconductor device of FIGS. 32A, 32B, and 32C according to an exemplary embodiment of the present invention.

圖33A、33B、33C、33D、33E、33F、33G及33H是根據本發明示例性實施例在製造之諸多中間步驟中的半導體裝置剖面圖。33A, 33B, 33C, 33D, 33E, 33F, 33G, and 33H are cross-sectional views of semiconductor devices at various intermediate steps of fabrication in accordance with exemplary embodiments of the present invention.

圖34是根據本發明示例性實施例之半導體裝置的剖面圖。34 is a cross-sectional view of a semiconductor device according to an exemplary embodiment of the present invention.

302:矽 302: Silicon

304:介電層、介電材、隔離層 304: Dielectric layer, dielectric material, isolation layer

306:N+層 306: N+ Layer

322:P+層 322:P+layer

331:分隔處 331: Separation

332N:電極 332N: Electrode

332P:電極 332P: Electrode

334N:電極 334N: Electrode

334P:電極 334P: Electrode

336N:閘電極 336N: Gate electrode

336P:閘電極 336P: Gate electrode

340:NMOS閘極堆疊 340:NMOS gate stack

342:開口、PMOS閘極堆疊 342: Open, PMOS gate stack

Claims (62)

一種微製造的方法,包括 : 在一第一層之半導體材料上形成一介電層堆疊,該介電層堆疊具有至少三層,其中一第一介電材料位於一第二介電材料之下方及上方,該第一介電材料不同於該第二介電材料,而可在不去除該第一介電材料的情況下去除該第二介電材料; 在該介電層堆疊中形成開口,使得該第一層之半導體材料顯露; 在顯露開口內磊晶生長通道材料以形成垂直通道; 去除該介電層堆疊之一部分,使得側壁結構留在該等垂直通道上; 從該等側壁結構上去除該第二介電材料,使該等垂直通道之側壁表面顯露;以及 在該等垂直通道之顯露側壁表面上形成一閘極結構。A method of microfabrication comprising: A dielectric layer stack is formed on a first layer of semiconductor material, the dielectric layer stack has at least three layers, wherein a first dielectric material is located below and above a second dielectric material, the first dielectric a material different from the second dielectric material such that the second dielectric material can be removed without removing the first dielectric material; forming openings in the dielectric layer stack such that the semiconductor material of the first layer is exposed; epitaxially growing channel material within the exposed openings to form vertical channels; removing a portion of the dielectric layer stack, leaving sidewall structures on the vertical channels; removing the second dielectric material from the sidewall structures to expose the sidewall surfaces of the vertical channels; and A gate structure is formed on the exposed sidewall surfaces of the vertical channels. 如請求項1所述之微製造的方法,其中該第一層之半導體材料為N摻雜矽或N摻雜鍺。The microfabrication method as claimed in claim 1, wherein the semiconductor material of the first layer is N-doped silicon or N-doped germanium. 如請求項1所述之微製造的方法,其中該第一層之半導體材料為P摻雜矽或P摻雜鍺。The microfabrication method as claimed in claim 1, wherein the semiconductor material of the first layer is P-doped silicon or P-doped germanium. 如請求項1所述之微製造的方法,其中該第一層之半導體材料包括鄰近N摻雜區域之P摻雜區域,其中N摻雜垂直通道在該N摻雜區域上方之第一顯露開口中生長,且其中P摻雜垂直通道在該P摻雜區域上方之第二顯露開口中生長。The method of microfabrication of claim 1, wherein the semiconductor material of the first layer comprises a P-doped region adjacent to an N-doped region, wherein the N-doped vertical channel is above the N-doped region with a first exposed opening grown in the P-doped region, and wherein a P-doped vertical channel is grown in the second exposed opening over the P-doped region. 如請求項4所述之微製造的方法,進一步包括: 在該第一與第二顯露開口之該第一層上沉積一第三介電材; 用一第一光阻遮罩遮擋該第一層之該P摻雜區域; 將該第三介電材從該第一層之該N摻雜區域去除; 在該N摻雜區域上方磊晶生長N+摻雜材料; 去除該第一光阻遮罩; 在該介電層堆疊、該N+摻雜材料及該第三介電材上方沉積一保護膜; 從該第三介電材上去除該保護膜;以及 在該第三介電材上方之該第二顯露開口中磊晶生長P+摻雜材料。The method for microfabrication as claimed in claim 4, further comprising: depositing a third dielectric material on the first layer of the first and second exposed openings; shielding the P-doped region of the first layer with a first photoresist mask; removing the third dielectric material from the N-doped region of the first layer; epitaxially growing N+ doped material over the N-doped region; removing the first photoresist mask; depositing a protective film over the dielectric layer stack, the N+ doped material and the third dielectric material; removing the protective film from the third dielectric material; and P+ doped material is epitaxially grown in the second exposed opening over the third dielectric material. 如請求項1所述之微製造的方法,進一步包括形成連接至每一垂直通道之該閘極結構的局部互連,該等垂直通道配置成傳導垂直於該第一層之半導體材料之一工作表面的電流。The method of microfabrication of claim 1, further comprising forming a local interconnect to the gate structure of each vertical channel configured to conduct operation perpendicular to one of the semiconductor materials of the first layer surface current. 如請求項1所述之微製造的方法,進一步包括去除該等垂直通道之間之該第一層之半導體材料的一部分。The method of microfabrication of claim 1, further comprising removing a portion of the semiconductor material of the first layer between the vertical channels. 如請求項1所述之微製造的方法,其中形成在該介電層堆疊中之該等開口具有圓形或矩形橫截面。The method of microfabrication of claim 1, wherein the openings formed in the dielectric layer stack have circular or rectangular cross-sections. 如請求項1所述之微製造的方法,其中該第一層之半導體材料形成在一底層介電層上。The method of microfabrication of claim 1, wherein the semiconductor material of the first layer is formed on an underlying dielectric layer. 如請求項1所述之微製造的方法,其中去除該介電層堆疊之一部分包括 : 遮擋該等垂直通道及每一垂直通道周圍之一側壁區域的一頂部;以及 對該介電層堆疊向下蝕穿到該第一層之半導體材料。The method of microfabrication of claim 1, wherein removing a portion of the dielectric layer stack comprises: shielding the vertical channels and a top of a sidewall area around each vertical channel; and The dielectric layer stack is etched down to the semiconductor material of the first layer. 如請求項1所述之微製造的方法,進一步包括 : 在一底層介電層上形成該第一層; 遮擋該介電層堆疊;以及 在該堆疊中形成開口以使得該第一層之半導體材料顯露之前,透過對該堆疊之一部分向下蝕刻到該底層介電層以分隔該堆疊。The method for microfabrication as claimed in claim 1, further comprising: forming the first layer on an underlying dielectric layer; shielding the dielectric layer stack; and The stack is separated by etching a portion of the stack down to the underlying dielectric layer before forming openings in the stack to expose the semiconductor material of the first layer. 如請求項1所述之微製造的方法,進一步包括透過以下方式形成該閘極結構 : 在該等垂直通道之該等顯露側壁表面內沉積一選擇性高K材料; 退火; 在該選擇性高K材料與該等垂直通道之間形成界面氧化物; 在該等垂直通道、側壁表面及選擇性高K材料上沉積一金屬閘電極堆疊;以及 從該等垂直通道及側壁表面上去除該金屬閘電極堆疊。The method of microfabrication as claimed in claim 1, further comprising forming the gate structure in the following manner: depositing a selective high-K material within the exposed sidewall surfaces of the vertical channels; annealing; forming an interface oxide between the selective high-K material and the vertical channels; depositing a metal gate electrode stack on the vertical channels, sidewall surfaces and selective high-K material; and The metal gate electrode stack is removed from the vertical channels and sidewall surfaces. 如請求項12所述之微製造的方法,其中沉積一金屬閘電極堆疊包括 : 在該選擇性高K材料上沉積一第一金屬材料; 在該第一金屬材料上沉積一第二金屬材料;以及 在該第二金屬材料上沉積一第三金屬材料。The method of microfabrication of claim 12, wherein depositing a metal gate electrode stack comprises: depositing a first metal material on the selective high-K material; depositing a second metal material on the first metal material; and A third metal material is deposited on the second metal material. 如請求項13所述之微製造的方法,其中該第一、第二及第三金屬材料為不同金屬。The method of microfabrication of claim 13, wherein the first, second and third metal materials are different metals. 如請求項14所述之微製造的方法,其中該第一金屬材料為氮化鈦,該第二金屬材料為氮化鉭,而該第三金屬材料為鋁化鈦。The microfabrication method of claim 14, wherein the first metal material is titanium nitride, the second metal material is tantalum nitride, and the third metal material is titanium aluminide. 如請求項12所述之微製造的方法,其中沉積一金屬閘電極堆疊包括 : 在該高K材料上沉積一第一層第一金屬材料; 在該第一層金屬材料上沉積一第二層第二金屬材料; 在該第二層第二金屬材料上沉積一第三層第一金屬材料; 在該第三層第一金屬材料上沉積一第四層第二金屬材料;以及 在該第四層第二金屬材料上沉積一第三金屬材料。The method of microfabrication of claim 12, wherein depositing a metal gate electrode stack comprises: depositing a first layer of a first metal material on the high-K material; depositing a second layer of second metal material on the first layer of metal material; depositing a third layer of first metal material on the second layer of second metal material; depositing a fourth layer of second metal material on the third layer of first metal material; and A third metal material is deposited on the fourth layer of the second metal material. 一種半導體裝置,包括 : 一基板層; 一層第一介電材料; 一層半導體材料; 具有至少三層之一介電層堆疊,其中該第一介電材料位於一第二介電材料之下方及上方,該第一介電材料不同於該第二介電材料,而可在不去除該第一介電材料的情況下去除該第二介電材料; 該介電層堆疊中的第一開口,其中顯露該層半導體材料; 垂直通道,其在該等第一開口中具有磊晶生長的摻雜材料; 側壁結構,其係由該等垂直通道之間之該介電層堆疊中的第二開口形成; 該等側壁結構中之第三開口,其係透過去除該第二介電材料直到該垂直通道而形成; 該等第三開口中之閘極結構;以及 局部互連,其連接至每一垂直通道之該閘極結構,該等垂直通道配置成傳導垂直於該基板層之一工作表面的電流。A semiconductor device comprising: a substrate layer; a layer of first dielectric material; a layer of semiconductor material; A dielectric layer stack having at least three layers, wherein the first dielectric material is located below and above a second dielectric material, the first dielectric material is different from the second dielectric material and can be removed without removing the second dielectric material in the presence of the first dielectric material; a first opening in the dielectric layer stack in which the layer of semiconductor material is exposed; vertical channels having epitaxially grown dopant material in the first openings; sidewall structures formed by second openings in the dielectric layer stack between the vertical channels; a third opening in the sidewall structures formed by removing the second dielectric material up to the vertical channel; gate structures in the third openings; and Local interconnects connected to the gate structure of each vertical channel configured to conduct current perpendicular to a working surface of the substrate layer. 如請求項17所述之半導體裝置,其中該層半導體材料為N摻雜矽或N摻雜鍺。The semiconductor device of claim 17, wherein the layer of semiconductor material is N-doped silicon or N-doped germanium. 如請求項17所述之半導體裝置,其中該層半導體材料為P摻雜矽或P摻雜鍺。The semiconductor device of claim 17, wherein the layer of semiconductor material is P-doped silicon or P-doped germanium. 如請求項17所述之半導體裝置,其中該層半導體材料包括鄰近N摻雜區域之P摻雜區域,其中N摻雜垂直通道設置在該N摻雜區域上方之第一顯露開口中,以及其中P摻雜垂直通道設置在該P摻雜區域上方之第二顯露開口中。The semiconductor device of claim 17, wherein the layer of semiconductor material includes a P-doped region adjacent to an N-doped region, wherein an N-doped vertical channel is disposed in the first exposed opening over the N-doped region, and wherein A P-doped vertical channel is disposed in the second exposed opening above the P-doped region. 一種製造半導體設備的方法,包括 : 在一基板上形成一多層堆疊,該多層堆疊包括複數層能夠相對於彼此被選擇性蝕刻之至少三個不同介電材料; 形成向下穿過該多層堆疊到該基板之至少一開口,使得該基板顯露; 在開口中從該顯露之基板垂直地形成該半導體設備之一第一半導體裝置的一第一通道以及從該第一通道垂直地形成該半導體設備之一第二半導體裝置的一第二通道; 去除該多層堆疊之一過渡介電層,使得在該過渡介電層處交界之該第一通道與該第二通道的一部分顯露;以及 在該顯露部分處形成矽化物以將該第一通道耦接至該第二通道。A method of manufacturing a semiconductor device, comprising: forming a multi-layer stack on a substrate, the multi-layer stack including a plurality of layers of at least three different dielectric materials capable of being selectively etched relative to each other; forming at least one opening down through the multi-layer stack to the substrate such that the substrate is exposed; forming a first channel of a first semiconductor device of the semiconductor device vertically from the exposed substrate and a second channel of a second semiconductor device of the semiconductor device vertically formed from the first channel in the opening; removing a transition dielectric layer of the multilayer stack such that a portion of the first channel and the second channel that interface at the transition dielectric layer is exposed; and A silicide is formed at the exposed portion to couple the first channel to the second channel. 如請求項21所述之製造半導體設備的方法,其中該第一及第二半導體裝置為不同類型。The method of manufacturing a semiconductor device of claim 21, wherein the first and second semiconductor devices are of different types. 如請求項21所述之製造半導體設備的方法,其中該矽化物係透過以下形成 : 在該第一通道及該第二通道之該顯露部分上沉積矽化物金屬;以及 使該矽化物金屬與該第一通道及該第二通道之該顯露部分進行矽化。The method of manufacturing a semiconductor device of claim 21, wherein the silicide is formed by: depositing silicide metal on the exposed portions of the first channel and the second channel; and The exposed portion of the first channel and the second channel is silicided with the silicide metal. 如請求項23所述之製造半導體設備的方法,其中矽化物金屬選自由釕(Ru)、鈷(Co)、鈦(Ti)、鎢(W)、鈀(Pd)、鉑(Pt)及鎳(Ni)所組成之群組。The method of manufacturing a semiconductor device as claimed in claim 23, wherein the silicide metal is selected from the group consisting of ruthenium (Ru), cobalt (Co), titanium (Ti), tungsten (W), palladium (Pd), platinum (Pt) and nickel The group formed by (Ni). 如請求項21所述之製造半導體設備的方法,其中該開口具有矩形或圓形橫截面。The method of manufacturing a semiconductor device of claim 21, wherein the opening has a rectangular or circular cross-section. 如請求項21所述之製造半導體設備的方法,進一步包括 : 去除該多層堆疊之該等層的閘極介電層並用一閘極材料取代以形成該第一半導體裝置之一第一閘極區域及該第二半導體裝置之一第二閘極區域。The method for manufacturing a semiconductor device as claimed in claim 21, further comprising: The gate dielectric layers of the layers of the multilayer stack are removed and replaced with a gate material to form a first gate region of the first semiconductor device and a second gate region of the second semiconductor device. 如請求項26所述之製造半導體設備的方法,其中該第一與第二閘極區域係透過以下形成 : 去除該等閘極介電層以顯露該第一與第二通道; 在該顯露之第一與第二通道上形成一閘極介電材料; 在該閘極介電材料上形成一第一金屬材料; 形成一第二金屬材料,其被鄰近該第一通道之該第一金屬材料所包圍;以及 形成一第三金屬材料,其被鄰近該第二通道之該第一金屬材料所包圍。The method of manufacturing a semiconductor device of claim 26, wherein the first and second gate regions are formed by: removing the gate dielectric layers to reveal the first and second channels; forming a gate dielectric material on the exposed first and second channels; forming a first metal material on the gate dielectric material; forming a second metal material surrounded by the first metal material adjacent to the first channel; and A third metal material is formed surrounded by the first metal material adjacent to the second channel. 如請求項27所述之製造半導體設備的方法,其中該第一與第二金屬材料中之至少一者包括至少兩個不同金屬材料。The method of manufacturing a semiconductor device of claim 27, wherein at least one of the first and second metal materials comprises at least two different metal materials. 如請求項27所述之製造半導體設備的方法,其中該第二與第三金屬材料包括相同金屬材料。The method of manufacturing a semiconductor device of claim 27, wherein the second and third metal materials comprise the same metal material. 如請求項27所述之製造半導體設備的方法,其中形成一閘極介電材料包括在該第一與第二通道及該多層堆疊上形成一閘極介電材料。The method of fabricating a semiconductor device of claim 27, wherein forming a gate dielectric material includes forming a gate dielectric material over the first and second channels and the multilayer stack. 如請求項21所述之製造半導體設備的方法,進一步包括 : 在該基板上形成一植入層, 其中該多層堆疊形成於該植入層上。The method for manufacturing a semiconductor device as claimed in claim 21, further comprising: forming an implant layer on the substrate, wherein the multi-layer stack is formed on the implant layer. 如請求項31所述之製造半導體設備的方法,其中從該顯露之基板垂直形成該半導體設備之一第一半導體裝置的一第一通道以及從該第一通道垂直形成該半導體設備之一第二半導體裝置的一第二通道包括 : 在該開口內從該植入層磊晶生長一第一通道材料至該過渡介電層以形成該第一通道;以及 在該開口內從該過渡介電層磊晶生長一第二通道材料至該多層堆疊之頂部以形成該第二通道。The method of manufacturing a semiconductor device of claim 31, wherein a first channel of a first semiconductor device of the semiconductor device is vertically formed from the exposed substrate and a second channel of the semiconductor device is vertically formed from the first channel A second channel of the semiconductor device includes: epitaxially growing a first channel material from the implant layer to the transition dielectric layer within the opening to form the first channel; and A second channel material is epitaxially grown from the transition dielectric layer within the opening to the top of the multilayer stack to form the second channel. 如請求項32所述之製造半導體設備的方法,其中該第一通道材料與該植入層摻雜有相同類型的摻雜物。The method of manufacturing a semiconductor device of claim 32, wherein the first channel material and the implant layer are doped with the same type of dopant. 如請求項21所述之製造半導體設備的方法,進一步包括 : 在該矽化物上形成一第四金屬材料。The method for manufacturing a semiconductor device as claimed in claim 21, further comprising: A fourth metal material is formed on the silicide. 如請求項21所述之製造半導體設備的方法,進一步包括 : 在該多層堆疊上形成矽化物;以及 在該矽化物上形成一第五金屬材料。The method for manufacturing a semiconductor device as claimed in claim 21, further comprising: forming a silicide on the multilayer stack; and A fifth metal material is formed on the silicide. 如請求項21所述之製造半導體設備的方法,進一步包括 : 去除該多層堆疊之該等層的第二源極/汲極(S/D)介電層並用一第二S/D材料取代以形成該第二半導體裝置之第二S/D區域;以及 去除該多層堆疊之該等層的第一源極/汲極(S/D)介電層並用一第一S/D材料取代以形成該第一半導體裝置之第一S/D區域。The method for manufacturing a semiconductor device as claimed in claim 21, further comprising: removing the second source/drain (S/D) dielectric layer of the layers of the multi-layer stack and replacing it with a second S/D material to form a second S/D region of the second semiconductor device; and The first source/drain (S/D) dielectric layer of the layers of the multi-layer stack is removed and replaced with a first S/D material to form a first S/D region of the first semiconductor device. 如請求項36所述之製造半導體設備的方法,其中該等第二S/D介電層能夠相對於該第一S/D介電層而被選擇性地蝕刻。The method of fabricating a semiconductor device of claim 36, wherein the second S/D dielectric layers are selectively etchable relative to the first S/D dielectric layer. 如請求項21所述之製造半導體設備的方法,進一步包括 : 蝕刻該多層堆疊以定義圍繞該第一與第二通道之該多層堆疊的側壁結構, 其中去除該多層堆疊之該等層之一過渡介電層包括去除該多層堆疊之該等層之該等側壁結構之一過渡介電層。The method for manufacturing a semiconductor device as claimed in claim 21, further comprising: etching the multi-layer stack to define sidewall structures of the multi-layer stack surrounding the first and second channels, wherein removing one of the transition dielectric layers of the layers of the multi-layer stack includes removing one of the transition dielectric layers of the sidewall structures of the layers of the multi-layer stack. 一種半導體設備,包括 : 一第一半導體裝置,其包括第一S/D區域、夾置於該等第一S/D區域之間的一第一閘極區域、以及被該等第一S/D區域及該第一閘極區域包圍之一第一通道; 堆疊在該第一半導體裝置上之一第二半導體裝置,其包括第二S/D區域、夾置於該等第二S/D區域之間的一第二閘極區域、以及被該等第二S/D區域及該第二閘極區域包圍且原位垂直形成於該第一通道上之一第二通道;以及 形成在該第一半導體裝置與該第二半導體裝置之間的矽化物,在其中該第一通道與該第二通道交界,且該矽化物耦接至該第一半導體裝置之該等第一S/D區域的較上者以及該第二半導體裝置之該等第二S/D區域的較下者。A semiconductor device comprising: A first semiconductor device including first S/D regions, a first gate region sandwiched between the first S/D regions, and a first S/D region and the first the gate region surrounds a first channel; a second semiconductor device stacked on the first semiconductor device, comprising a second S/D region, a second gate region sandwiched between the second S/D regions, and Two S/D regions and the second gate region surround and in-situ form a second channel vertically on the first channel; and A silicide formed between the first semiconductor device and the second semiconductor device, where the first channel and the second channel interface, and the silicide is coupled to the first S of the first semiconductor device The upper of the /D region and the lower of the second S/D regions of the second semiconductor device. 如請求項39所述之半導體設備,其中該第一半導體裝置與該第二半導體裝置為不同類型。The semiconductor apparatus of claim 39, wherein the first semiconductor device and the second semiconductor device are of different types. 如請求項39所述之半導體設備,其中該第一閘極區域包括一第一金屬材料以及被該第一金屬材料包圍之一第二金屬材料,且該第二閘極區域包括該第一金屬材料以及被該第一金屬材料包圍之一第三金屬材料。The semiconductor device of claim 39, wherein the first gate region includes a first metal material and a second metal material surrounded by the first metal material, and the second gate region includes the first metal material and a third metal material surrounded by the first metal material. 如請求項41所述之半導體設備,其中該第二與第三金屬材料包括相同金屬材料。The semiconductor device of claim 41, wherein the second and third metal materials comprise the same metal material. 一種微製造的方法,該方法包括 : 在一基板上方形成一墊層,該墊層包括至少一墊結構,其具有被一外圍區包圍之一核心區; 在該墊結構之該核心區上方形成一電晶體,該電晶體包括在垂直方向上延伸之一通道結構以及在該通道結構之一側壁部分周圍的一閘極結構,該通道結構具有一垂直通道區域以及在該垂直通道區域之相對端上的一源極區域與一汲極區域,該通道結構配置成電耦接至該墊結構; 形成一第一垂直互連結構,其接觸該通道結構之一頂表面; 形成一第二垂直互連結構,其接觸該墊結構之該外圍區,並配置成透過該墊結構耦接至該通道結構之一底表面;以及 形成一第三垂直互連結構,其設於遠離該通道結構處並接觸該電晶體之該閘極結構。A method of microfabrication comprising: forming a pad layer over a substrate, the pad layer including at least one pad structure having a core region surrounded by a peripheral region; A transistor is formed over the core region of the pad structure, the transistor including a channel structure extending in a vertical direction and a gate structure around a sidewall portion of the channel structure, the channel structure having a vertical channel region and a source region and a drain region on opposite ends of the vertical channel region, the channel structure configured to be electrically coupled to the pad structure; forming a first vertical interconnect structure that contacts a top surface of the channel structure; forming a second vertical interconnect structure that contacts the peripheral region of the pad structure and is configured to couple to a bottom surface of the channel structure through the pad structure; and A third vertical interconnect structure is formed, which is disposed away from the channel structure and contacts the gate structure of the transistor. 如請求項43所述之微製造的方法,其中,在該墊結構之該核心區上方形成該電晶體之後,該方法進一步包括 : 在該墊層上方沉積一絕緣材料以填充空間並覆蓋該電晶體。The method for microfabrication as claimed in claim 43, wherein after forming the transistor above the core region of the pad structure, the method further comprises: An insulating material is deposited over the pad to fill the space and cover the transistor. 如請求項44所述之微製造的方法,其中形成該第一垂直互連結構包括 : 在該絕緣材料中形成一開口,該開口顯露該通道結構之該頂表面;以及 用一導電材料填充該開口。The method of microfabrication of claim 44, wherein forming the first vertical interconnect structure comprises: forming an opening in the insulating material, the opening exposing the top surface of the channel structure; and The opening is filled with a conductive material. 如請求項44所述之微製造的方法,其中形成該第二垂直互連結構包括 : 在該絕緣材料中形成一開口,該開口顯露該墊結構之該外圍區;以及 用一導電材料填充該開口。The method of microfabrication of claim 44, wherein forming the second vertical interconnect structure comprises: forming an opening in the insulating material, the opening exposing the peripheral region of the pad structure; and The opening is filled with a conductive material. 如請求項44所述之微製造的方法,其中形成該第三垂直互連結構包括 : 在該絕緣材料中形成一開口,該開口顯露該閘極結構;以及 用一導電材料填充該開口。The method of microfabrication of claim 44, wherein forming the third vertical interconnect structure comprises: forming an opening in the insulating material, the opening exposing the gate structure; and The opening is filled with a conductive material. 如請求項44所述之微製造的方法,其中在該墊層上方沉積該絕緣材料之前,該方法進一步包括 : 沉積一第一導電材料,其包圍該電晶體並接觸該閘極結構;以及 基於一遮罩,蝕刻該第一導電材料,使得該第一導電材料覆蓋該電晶體之一側壁部分並接觸該閘極結構之一側壁部分。The method of microfabrication as claimed in claim 44, wherein before depositing the insulating material above the underlayer, the method further comprises: depositing a first conductive material surrounding the transistor and contacting the gate structure; and Based on a mask, the first conductive material is etched so that the first conductive material covers a sidewall portion of the transistor and contacts a sidewall portion of the gate structure. 如請求項48所述之微製造的方法,其中形成該第三垂直互連結構進一步包括 : 在該絕緣材料中形成一開口,該開口顯露該第一導電材料;以及 用一第二導電材料填充該開口,該第二導電材料設置於該第一導電材料上方。The method of microfabrication of claim 48, wherein forming the third vertical interconnect structure further comprises: forming an opening in the insulating material, the opening exposing the first conductive material; and The opening is filled with a second conductive material disposed above the first conductive material. 如請求項43所述之微製造的方法,進一步包括形成一第四垂直互連結構,其接觸該墊結構之該外圍區或該電晶體之該閘極結構。The method of microfabrication of claim 43, further comprising forming a fourth vertical interconnect structure contacting the peripheral region of the pad structure or the gate structure of the transistor. 一種微製造的方法,該方法包括 : 在一基板上方形成一墊層,該墊層包括一第一墊結構以及與該第一墊結構鄰近並接觸之一第二墊結構; 在該等第一與第二墊結構上方形成電晶體,該電晶體包括在垂直方向上延伸之一通道結構以及在該通道結構之一側壁部分周圍的一閘極結構,該通道結構具有一垂直通道區域以及在該垂直通道區域之相對端上的一源極區域與一汲極區域,該通道結構配置成電耦接至一對應墊結構,其佈設於該通道結構下方並水平延伸超過該通道結構之一周邊; 形成一第一垂直互連結構,其接觸設置於該第一墊結構上方之一第一電晶體之一第一通道結構的一第一頂表面; 形成一第二垂直互連結構,其接觸設置於該第二墊結構上方之一第二電晶體之一第二通道結構的一第二頂表面; 形成一第一共同垂直互連結構,其配置成耦接至該第一電晶體之一第一閘極結構及該第二電晶體之一第二閘極結構;以及 形成一第二共同垂直互連結構,其接觸該第一墊結構及該第二墊結構。A method of microfabrication comprising: forming a pad layer over a substrate, the pad layer comprising a first pad structure and a second pad structure adjacent to and in contact with the first pad structure; A transistor is formed over the first and second pad structures, the transistor including a channel structure extending in a vertical direction and a gate structure around a sidewall portion of the channel structure, the channel structure having a vertical a channel region and a source region and a drain region on opposite ends of the vertical channel region, the channel structure configured to be electrically coupled to a corresponding pad structure disposed below the channel structure and extending horizontally beyond the channel the periphery of one of the structures; forming a first vertical interconnect structure contacting a first top surface of a first channel structure of a first transistor disposed above the first pad structure; forming a second vertical interconnect structure contacting a second top surface of a second channel structure of a second transistor disposed above the second pad structure; forming a first common vertical interconnect structure configured to couple to a first gate structure of the first transistor and a second gate structure of the second transistor; and A second common vertical interconnect structure is formed in contact with the first pad structure and the second pad structure. 如請求項51所述之微製造的方法,其中,在該等第一與第二墊結構上方形成該等電晶體後,該方法進一步包括 : 形成一第一水平接觸結構及一第二水平接觸結構,該第一水平接觸結構與該第二水平接觸結構兩者接觸該第一墊結構及該第二墊結構; 在該第一水平接觸結構上方沉積一介電材; 在該介電材上方沉積一第一導電材料以連接該第一閘極結構與該第二閘極結構;以及 在該墊層上方沉積一絕緣材料以填充空間並覆蓋該等電晶體。The method of microfabrication of claim 51, wherein after forming the transistors over the first and second pad structures, the method further comprises: forming a first horizontal contact structure and a second horizontal contact structure, the first horizontal contact structure and the second horizontal contact structure both contact the first pad structure and the second pad structure; depositing a dielectric material over the first horizontal contact structure; depositing a first conductive material over the dielectric material to connect the first gate structure and the second gate structure; and An insulating material is deposited over the pad to fill the spaces and cover the transistors. 如請求項52所述之微製造的方法,其中 : 形成該第一垂直互連結構係透過在該絕緣材料中形成一第一開口並用一第二導電材料填充該第一開口來完成,該第一開口顯露該第一通道結構之該第一頂表面, 形成該第二垂直互連結構係透過在該絕緣材料中形成一第二開口並用一第三導電材料填充該第二開口來完成,該第二開口顯露該第二通道結構之該第二頂表面, 形成該第一共同垂直互連結構係透過在該絕緣材料中形成一第三開口並用一第四導電材料填充該第三開口來完成,該第三開口顯露該第一導電材料,以及 形成該第二共同垂直互連結構係透過在該絕緣材料中形成一第四開口並用一第五導電材料填充該第四開口來完成,該第四開口顯露該第二水平接觸結構。The method of microfabrication of claim 52, wherein: Forming the first vertical interconnect structure is accomplished by forming a first opening in the insulating material and filling the first opening with a second conductive material, the first opening exposing the first top surface of the first channel structure , Forming the second vertical interconnect structure is accomplished by forming a second opening in the insulating material and filling the second opening with a third conductive material, the second opening exposing the second top surface of the second channel structure , forming the first common vertical interconnect structure is accomplished by forming a third opening in the insulating material and filling the third opening with a fourth conductive material, the third opening exposing the first conductive material, and Forming the second common vertical interconnect structure is accomplished by forming a fourth opening in the insulating material and filling the fourth opening with a fifth conductive material, the fourth opening exposing the second horizontal contact structure. 一種半導體裝置,包括 : 一墊層,包括具有一核心區以及包圍該核心區之一外圍區的至少一墊結構; 一電晶體,於該墊結構之該核心區上方,該電晶體包括在垂直方向上延伸之一通道結構以及在該通道結構之一側壁部分周圍的一閘極結構,該通道結構具有一垂直通道區域以及在該垂直通道區域之相對端上的一源極區域與一汲極區域,該通道結構配置成電耦接至該墊結構; 一第一垂直互連結構,其接觸該通道結構之一頂表面; 一第二垂直互連結構,其接觸該外圍區,並配置成透過該墊結構耦接至該通道結構之一底表面;以及 一第三垂直互連結構,其設於遠離該通道結構處並接觸該電晶體之該閘極結構。A semiconductor device comprising: a cushion layer including at least one cushion structure having a core region and a peripheral region surrounding the core region; a transistor, above the core region of the pad structure, the transistor including a channel structure extending in a vertical direction and a gate structure around a sidewall portion of the channel structure, the channel structure having a vertical channel region and a source region and a drain region on opposite ends of the vertical channel region, the channel structure configured to be electrically coupled to the pad structure; a first vertical interconnect structure contacting a top surface of the channel structure; a second vertical interconnect structure contacting the peripheral region and configured to couple to a bottom surface of the channel structure through the pad structure; and A third vertical interconnect structure is disposed away from the channel structure and contacts the gate structure of the transistor. 如請求項54所述之半導體裝置,進一步包括一第四垂直互連結構,其接觸該墊結構之該外圍區或該電晶體之該閘極結構。The semiconductor device of claim 54, further comprising a fourth vertical interconnect structure contacting the peripheral region of the pad structure or the gate structure of the transistor. 如請求項54所述之半導體裝置,其中該通道結構位於該墊結構之一中心或偏離該墊結構之該中心。The semiconductor device of claim 54, wherein the channel structure is located at a center of the pad structure or is offset from the center of the pad structure. 如請求項54所述之半導體裝置,其中 : 該第二垂直互連結構及該第三垂直互連結構位於距該通道結構之相同徑向位置或不同徑向位置處,以及 該第二垂直互連結構及該第三垂直互連結構位於距該通道結構之相同距離或不同距離處。The semiconductor device of claim 54, wherein: The second vertical interconnect structure and the third vertical interconnect structure are located at the same radial position or at different radial positions from the channel structure, and The second vertical interconnect structure and the third vertical interconnect structure are located at the same distance or different distances from the channel structure. 如請求項54所述之半導體裝置,其中一特定墊結構上方之一特定電晶體與一相鄰墊結構上方之一相鄰電晶體相同或不同。The semiconductor device of claim 54, wherein a particular transistor over a particular pad structure is the same or different from an adjacent transistor over an adjacent pad structure. 如請求項54所述之半導體裝置,其中該至少一墊結構之一或更多者透過一介電材彼此分隔。The semiconductor device of claim 54, wherein one or more of the at least one pad structures are separated from each other by a dielectric material. 如請求項54所述之半導體裝置,其中 : 該至少一墊結構包括一第一墊結構以及與該第一墊結構鄰近且接觸之一第二墊結構, 該第一墊結構上方之該第三垂直互連結構與該第二墊結構上方之該第三垂直互連結構為化學上相同並彼此接觸以整體地形成一第一共同垂直互連結構,以及 該第一墊結構上方之該第二垂直互連結構與該第二墊結構上方之該第二垂直互連結構為化學上相同並彼此接觸以整體地形成一第二共同垂直互連結構。The semiconductor device of claim 54, wherein: The at least one pad structure includes a first pad structure and a second pad structure adjacent to and in contact with the first pad structure, The third vertical interconnect structure over the first pad structure and the third vertical interconnect structure over the second pad structure are chemically identical and contact each other to integrally form a first common vertical interconnect structure, and The second vertical interconnect structure over the first pad structure and the second vertical interconnect structure over the second pad structure are chemically identical and contact each other to integrally form a second common vertical interconnect structure. 如請求項60所述之半導體裝置,其中 : 該第一共同垂直互連結構接觸設置於該第一墊結構上方之一第一電晶體的一第一閘極結構與設置於該第二墊結構上方之一第二電晶體的一第二閘極結構,以及 該第二共同垂直互連結構接觸該第一墊結構及該第二墊結構。The semiconductor device of claim 60, wherein: The first common vertical interconnect structure contacts a first gate structure of a first transistor disposed above the first pad structure and a second gate structure of a second transistor disposed above the second pad structure pole structure, and The second common vertical interconnect structure contacts the first pad structure and the second pad structure. 如請求項61所述之半導體裝置,進一步包括 : 一水平接觸結構,其接觸該第一墊結構及該第二墊結構,該水平接觸結構佈設於該第一共同垂直互連結構下方;以及 一介電材,其將該水平接觸結構與該第一共同垂直互連結構分開。The semiconductor device of claim 61, further comprising: a horizontal contact structure contacting the first pad structure and the second pad structure, the horizontal contact structure disposed below the first common vertical interconnect structure; and A dielectric material separating the horizontal contact structure from the first common vertical interconnect structure.
TW110115741A 2020-05-01 2021-04-30 Method of expanding 3d device architectural designs for enhanced performance TW202209485A (en)

Applications Claiming Priority (12)

Application Number Priority Date Filing Date Title
US202063019015P 2020-05-01 2020-05-01
US63/019,015 2020-05-01
US202063028618P 2020-05-22 2020-05-22
US202063028620P 2020-05-22 2020-05-22
US63/028,618 2020-05-22
US63/028,620 2020-05-22
US17/113,736 US11393813B2 (en) 2020-05-22 2020-12-07 Method of architecture design for enhanced 3D device performance
US17/113,736 2020-12-07
US17/115,122 2020-12-08
US17/115,122 US11626329B2 (en) 2020-05-22 2020-12-08 Metal connections and routing for advanced 3D layout designs
US17/189,674 US11695058B2 (en) 2020-05-01 2021-03-02 Method of expanding 3D device architectural designs for enhanced performance
US17/189,674 2021-03-02

Publications (1)

Publication Number Publication Date
TW202209485A true TW202209485A (en) 2022-03-01

Family

ID=78332165

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110115741A TW202209485A (en) 2020-05-01 2021-04-30 Method of expanding 3d device architectural designs for enhanced performance

Country Status (2)

Country Link
TW (1) TW202209485A (en)
WO (1) WO2021222247A1 (en)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102983171B (en) * 2012-12-11 2015-10-28 哈尔滨工程大学 The vertical structure without knot surrounding-gate MOSFET device and manufacture method thereof
JP5938529B1 (en) * 2015-01-08 2016-06-22 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. Columnar semiconductor device and manufacturing method thereof
US10170616B2 (en) * 2016-09-19 2019-01-01 Globalfoundries Inc. Methods of forming a vertical transistor device
CN106298778A (en) * 2016-09-30 2017-01-04 中国科学院微电子研究所 Semiconductor device and manufacture method thereof and include the electronic equipment of this device
WO2018118097A1 (en) * 2016-12-24 2018-06-28 Intel Corporation Vertical transistor devices and techniques

Also Published As

Publication number Publication date
WO2021222247A1 (en) 2021-11-04

Similar Documents

Publication Publication Date Title
TWI738381B (en) 3d memory device with back source contact
WO2021237881A1 (en) Methods for forming three-dimensional memory devices
TW202023038A (en) Contact structure for a three-dimensional memory device
KR20200049574A (en) Dielectric fins with different dielectric constants and sizes in different regions of a semiconductor device
TW202027180A (en) Method for fabricating semiconductor device
TW202023029A (en) Step structure and contact structure utilized in three dimensional storage device
TW202018783A (en) Semiconductor devices and methods for manufacturing the same
TW202139365A (en) 3d complementary metal oxide semiconductor (cmos) device and method of forming the same
TW201903859A (en) Semiconductor device
TWI776329B (en) Semiconductor device structure
TW202020948A (en) Method of forming gate all around field effect transistor
TW202230626A (en) Three-dimensional universal cmos device
TWI829845B (en) Self-aligned local interconnects
TW202147583A (en) Three-dimensional memory device with drain select gate notch and its forming method
TW202125752A (en) Integrated circuit structure
US11695058B2 (en) Method of expanding 3D device architectural designs for enhanced performance
US20220254925A1 (en) 3d devices with 3d diffusion breaks and method of forming the same
TWI785491B (en) Semiconductor device and method for forming the same
CN114639637A (en) Isolation structure and method of forming the same in a field effect transistor
TW202228211A (en) High performance floating body vfet with dielectric core
KR20220044145A (en) Method of making a plurality of high density logic elements with advanced cmos device layout
TW202211371A (en) Method of making 3d isolation
TW202213522A (en) Semiconductor device structure
TW202209485A (en) Method of expanding 3d device architectural designs for enhanced performance
US11508625B2 (en) Method of making a continuous channel between 3D CMOS