TW202145463A - A non-volatile programmable logic device based on multi-chip package - Google Patents

A non-volatile programmable logic device based on multi-chip package Download PDF

Info

Publication number
TW202145463A
TW202145463A TW110107198A TW110107198A TW202145463A TW 202145463 A TW202145463 A TW 202145463A TW 110107198 A TW110107198 A TW 110107198A TW 110107198 A TW110107198 A TW 110107198A TW 202145463 A TW202145463 A TW 202145463A
Authority
TW
Taiwan
Prior art keywords
chip
metal
layer
chips
logic
Prior art date
Application number
TW110107198A
Other languages
Chinese (zh)
Inventor
林茂雄
李進源
Original Assignee
成真股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 成真股份有限公司 filed Critical 成真股份有限公司
Publication of TW202145463A publication Critical patent/TW202145463A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • H01L2224/82001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI] involving a temporary auxiliary member not forming part of the bonding apparatus

Abstract

A chip package used as a logic drive, includes: multiple semiconductor chips, a polymer layer horizontally between the semiconductor chips; multiple metal layers over the semiconductor chips and polymer layer, wherein the metal layers are connected to the semiconductor chips and extend across edges of the semiconductor chips, wherein one of the metal layers has a thickness between 0.5 and 5 micrometers and a trace width between 0.5 and 5 micrometers; multiple dielectric layers each between neighboring two of the metal layers and over the semiconductor chips and polymer layer, wherein the dielectric layers extend across the edges of the semiconductor chips, wherein one of the dielectric layers has a thickness between 0.5 and 5 micrometers; and multiple metal bumps on a top one of the metal layers, wherein one of the semiconductor chips is a FPGA IC chip, and another one of the semiconductor chips is a NVMIC chip.

Description

依據多晶片封裝結構所建構之非揮發性可編程邏輯驅動器Non-volatile Programmable Logic Driver Based on Multi-Chip Package Structure

本申請案主張於2020年2月29日申請之美國暫時申請案案號62/983,634,該案的發明名稱為”依據多晶片封裝結構所建構之非揮發性可編程邏輯驅動器”,本申請案另外是2019年10月15日申請之美國申請案案號16/601,834的部分延續申請案(continuation-in-part),美國申請案案號16/601,834係2017年12月14日申請之美國申請案案號15/841,326的延續申請案(continuation),該美國申請案案號15/841,326的美國專利號為US10,489,544,該美國申請案案號15/841,326主張2016年12月14日申請之美國暫時申請案案號62/433,806,該案的發明名稱為”邏輯驅動器”,該美國申請案案號15/841,326主張2017年1月20日申請之美國暫時申請案案號62/448,924,該案的發明名稱為”形成邏輯驅動器及記憶體驅動器的方法”,該美國申請案案號15/841,326主張2017年7月18日申請之美國暫時申請案案號62/533,788,該案的發明名稱為”依據標準商業化FPGAIC晶片建構之邏輯驅動器”,以及該美國申請案案號15/841,326主張2017年8月15日申請之美國暫時申請案案號62/545,556,該案的發明名稱為”依據標準商業化FPGAIC晶片建構之邏輯驅動器”。This application claims U.S. Provisional Application No. 62/983,634, filed on February 29, 2020, entitled "Non-volatile Programmable Logic Driver Based on Multi-Chip Package Structure". In addition, it is a continuation-in-part of US Application No. 16/601,834 filed on October 15, 2019, and US Application No. 16/601,834 is a US application filed on December 14, 2017 A continuation of case No. 15/841,326, which has US Patent No. 10,489,544, which claims US Patent No. 15/841,326 filed on December 14, 2016 U.S. Provisional Application No. 62/433,806, the invention of which is entitled "Logical Drive", and U.S. Provisional Application No. 15/841,326 asserts that U.S. Provisional Application No. 62/448,924, filed on January 20, 2017, which The title of the invention of the case is "Method of Forming a Logical Drive and a Memory Drive", and the US Application No. 15/841,326 claims the US Provisional Application No. 62/533,788 filed on July 18, 2017, the title of the invention of the case For "Logic Drivers Constructed on Standard Commercialized FPGAIC Chips" and that U.S. Application No. 15/841,326 claims U.S. Provisional Application No. 62/545,556, filed on August 15, 2017, whose invention is entitled " Logic Drivers Based on Standard Commercialized FPGAIC Chips".

本發明係有關一邏輯運算晶片封裝、一邏輯驅動器封裝、一邏輯運算晶片裝置、一邏輯運算晶片模組、一邏輯驅動器、一邏輯運算硬碟、一邏輯驅動器硬碟、一邏輯驅動器固態硬碟、一現場可編程邏輯閘陣列(FieldProgrammableGateArray(FPGA))邏輯運算硬碟或一現場可編程邏輯閘陣列邏輯驅動器(以下簡稱邏輯驅動器,意即是以下說明書提到邏輯運算晶片封裝、一邏輯驅動器封裝、一邏輯運算晶片裝置、一邏輯運算晶片模組、一邏輯運算硬碟、一邏輯驅動器硬碟、一邏輯驅動器固態硬碟、一現場可編程邏輯閘陣列(FieldProgrammableGateArray(FPGA))邏輯運算硬碟或一現場可編程邏輯閘陣列邏輯驅動器,皆簡稱邏輯驅動器),本發明之邏輯驅動器包括複數FPGA積體電路(IC)晶片、用於現場程式編程為目的之一或多個非揮發性記憶體IC晶片,更具體而言,使用複數商業化標準FPGAIC晶片及複數非揮發性記憶體IC晶片組成一商業化標準邏輯驅動器,當現場程式編程時,此商業化標準邏輯驅動器可被使用在不同應用上。The present invention relates to a logic operation chip package, a logic driver package, a logic operation chip device, a logic operation chip module, a logic driver, a logic operation hard disk, a logic driver hard disk, a logic driver solid state hard disk , a Field Programmable Gate Array (FPGA) logic operation hard disk or a Field Programmable Gate Array logic driver (hereinafter referred to as a logic driver, which means that the following specification refers to a logic operation chip package, a logic driver package , a logic operation chip device, a logic operation chip module, a logic operation hard disk, a logic driver hard disk, a logic driver solid state hard disk, a Field Programmable Gate Array (FPGA) logic operation hard disk Or a field programmable logic gate array logic driver, all referred to as logic driver), the logic driver of the present invention includes a plurality of FPGA integrated circuit (IC) chips, one or more non-volatile memories for the purpose of field programming IC chips, more specifically, a plurality of commercial standard FPGA IC chips and a plurality of non-volatile memory IC chips are used to form a commercial standard logic driver, when field programming, the commercial standard logic driver can be used in different applications superior.

FPGA半導體IC晶片己被用來發展一創新的應用或一小批量應用或業務需求。當一應用或業務需求擴展至一定數量或一段時間時,半導體IC供應商通常會將此應用視為一特殊應用IC晶片(ApplicationSpecificIC(ASIC)chip)或視為一客戶自有工具IC晶片(Customer-OwnedTooling(COT)IC晶片),從FPGA晶片設計轉換為ASIC晶片或COT晶片,是因現有的FPGAIC晶片己有一特定應用,以及現有的FPGAIC晶片相較於一ASIC晶片或COT晶片是(1)需較大尺寸的半導體晶片、較低的製造良率及較高製造成本;(2)需消耗較高的功率;(3)較低的性能。當半導體技術依照摩爾定律(Moore’sLaw)發展至下一製程世代技術時(例如發展至小於30奈米(nm)或20奈米(nm)),針對設計一ASIC晶片或一COT晶片的一次性工程費用(Non-RecurringEngineering(NRE))的成本是十分昂貴的(例如大於5百萬元美金,或甚至超過1千萬元美金、2千萬元美金、5千萬元美金或1億元美金)。如此昂貴的NRE成本,降低或甚至停止先進IC技術或新一製程世代技術應用在創新或應用上,因此為了能輕易實現在半導體創新進步,需要發展一持續的創新及低製造成本的一新製造方法或技術。FPGA semiconductor IC chips have been used to develop an innovative application or low volume application or business requirement. When an application or business requirement expands to a certain amount or a certain period of time, semiconductor IC suppliers usually regard the application as an Application Specific IC (ASIC) chip or a Customer Owned Tool IC chip (Customer). -OwnedTooling (COT) IC chip), the conversion from FPGA chip design to ASIC chip or COT chip, is because the existing FPGAIC chip has a specific application, and the existing FPGAIC chip is compared to an ASIC chip or COT chip is (1) Requires larger size semiconductor wafers, lower manufacturing yield and higher manufacturing costs; (2) higher power consumption; (3) lower performance. As semiconductor technology evolves to the next process generation technology (eg, to less than 30 nanometers (nm) or 20 nanometers (nm)) in accordance with Moore's Law, it is necessary to design an ASIC chip or a COT chip once. The cost of non-recurring engineering (NRE) is very expensive (eg more than 5 million US dollars, or even more than 10 million US dollars, 20 million US dollars, 50 million US dollars or 100 million US dollars) US dollars). Such an expensive NRE cost reduces or even stops the application of advanced IC technology or new process generation technology in innovation or application. Therefore, in order to easily realize the progress in semiconductor innovation, it is necessary to develop a new manufacturing with continuous innovation and low manufacturing cost. method or technique.

本發明揭露一商業化標準邏輯驅動器,此商業化標準邏輯驅動器為一多晶片封裝用經由現場編程(fieldprogramming)方式達到計算及(或)處理功能,此晶片封裝包括數FPGAIC晶片及一或複數可應用在不同邏輯運算的非揮發性記憶體IC晶片,此二者不同點在於前者是一具有邏輯運算功能的計算/處理器,而後者為一具有記憶體功能的資料儲存器,此商業化標準邏輯驅動器所使用的非揮發性記憶體IC晶片是類似使用一商業化標準固態儲存硬碟(或驅動器)、一資料儲存硬碟、一資料儲存軟碟、一通用序列匯流排(UniversalSerialBus(USB))快閃記憶體碟(或驅動器)、一USB驅動器、一USB記憶棒、一快閃記憶碟或一USB記憶體。The present invention discloses a commercial standard logic driver. The commercial standard logic driver is a multi-chip package used to achieve computing and/or processing functions through field programming. The chip package includes several FPGA IC chips and one or more programmable chips. Non-volatile memory IC chips used in different logic operations. The difference between the two is that the former is a computing/processor with logic operation function, while the latter is a data storage device with memory function. This commercial standard The non-volatile memory IC chip used in the logical drive is similar to using a commercial standard solid state storage hard disk (or drive), a data storage hard disk, a data storage floppy disk, a universal serial bus (Universal Serial Bus (USB) ) flash memory disk (or drive), a USB drive, a USB memory stick, a flash memory disk or a USB memory.

本發明更揭露一降低NRE成本方法,此方法係經由商業化標準邏輯驅動器實現在半導體IC晶片上的創新及應用。具有創新想法或創新應用的人、使用者或開發者需購買此商業化標準邏輯驅動器及可寫入(或載入)此商業化標準邏輯驅動器的一開發或撰寫軟體原始碼或程式,用以實現他/她的創新想法或創新應用。此實現的方法與經由開發一ASIC晶片或COTIC晶片實現的方法相比較,本發明所提供實現的方法可降低NRE成本大於2.5倍或10倍以上。對於先進半導體技術或下一製程世代技術時(例如發展至小於30奈米(nm)或20奈米(nm)),對於ASIC晶片或COT晶片的NRE成本大幅地增加,例如增加超過美金5百萬元,甚至超過美金1千萬元、2千萬元、5千萬元或1億元。如ASIC晶片或COTIC晶片的16奈米技術或製程世代所需的光罩的成本就超過美金2百萬元、美金5百萬元或美金1千萬元,若使用邏輯驅動器實現相同或相似的創新或應用可將此NRE成本費用降低小於美金1仟萬元,甚至可小於美金5百萬元、美金3百萬元、美金2百萬元或美金1百萬元。本發明可激勵創新及降低實現IC晶片設計在創新上的障礙以及使用先進IC製程或下一製程世代上的障礙,例如使用比30奈米、20奈米或10奈米更先進的IC製程技術,如第36圖所示。The present invention further discloses a method for reducing the cost of NRE, and the method realizes innovation and application on semiconductor IC chips through commercialized standard logic drivers. Persons, users or developers with innovative ideas or innovative applications need to purchase this commercial standard logical drive and a development or writing software source code or program that can write (or load) this commercial standard logical drive for Realize his/her innovative idea or innovative application. Compared with the method realized by developing an ASIC chip or COTIC chip, the realized method provided by the present invention can reduce the NRE cost by more than 2.5 times or more than 10 times. For advanced semiconductor technologies or next-generation technologies (eg, to less than 30 nanometers (nm) or 20 nanometers (nm)), the cost of NRE for ASIC wafers or COT wafers increases substantially, for example, by more than US$500 10,000 yuan, even more than 10 million yuan, 20 million yuan, 50 million yuan or 100 million yuan. 16nm technology or process generations such as ASIC chips or COTIC chips require masks in excess of $2 million, $5 million or $10 million if logic drivers are used to achieve the same or similar Innovations or applications can reduce this NRE cost by less than $10 million, or even less than $5 million, $3 million, $2 million, or $1 million. The present invention stimulates innovation and reduces barriers to innovation in implementing IC chip designs and barriers to using advanced IC processes or next process generations, such as using more advanced IC process technologies than 30nm, 20nm or 10nm , as shown in Figure 36.

本發明另一方面再提供一個”公開創新平台”,此平台可使創作者經由本發明中的邏輯驅動器輕易地且低成本下在半導體晶片上使用先進於20nm的IC技術世代之技術,並經由使用邏輯驅動器執行或實現他們的創意或發明,其先進的技術世代例如是先進於16nm、10nm、7nm、5nm或3nm的技術世代,,其中該創意或發明包括:(i)創新的演算法或計算、處理、學習及/或推論架構,(ii)在1990年代時,創作者或發明人可經由設計IC晶片並在幾十萬美元的成本之下,在半導體製造代工廠使用1µm、0.8µm、0.5µm、0.35µm、0.18µm或0.13µm的技術世代之技術實現他們的創意或發明,半導體製造公司是產品較少的公司並且擁有半導體製造工廠,半導體製造公司提供製造服務給他們的客戶,他們的客戶是沒有工廠的公司,其包括:(i)IC設計公司,設計及擁有IC晶片;(ii)系統公司設計及擁有系統,(iii)IC晶片設計獨立的設計及自己擁有IC晶片,該IC製造工廠在當時是所謂的”公共創新平台”,然而,當技術世代遷移並進步至比20nm或10nm更先進的技術世代時,例如是先進於16nm、10nm、7nm、5nm或3nm的IC製造技術世代之技術,只有少數大的系統商或IC設計公司(非公共的創新者或發明人)可以負擔得起半導體IC製造代工廠所需的開發費用,其中使用這些先進世代的開發及實現的費用成本大約是高於5佰萬美元,現今的半導體IC代工廠現在己不是”公共創新平台”,而只變成俱樂部創新者或發明人的”俱樂部創新平台”,而本發明所提出的邏輯驅動器(包括標準商業化現場可編程邏輯閘陣列(FPGA)積體電路晶片(標準商業化FPGAIC晶片s))可提供公共創作者再次的回到1990年代一樣的半導體IC產業的”公共創新平台”,創作者可經由使用本發明之標準商業化邏輯驅動器及使用常見的編程語言來撰寫軟體程式執行或實現他們的創作或發明,其成本係低於500K或300K美元,其中常見的編程語言,例如是C,Java,C++,C#,Scala,Swift,Matlab,AssemblyLanguage,Pascal,Python,VisualBasic,PL/SQL或JavaScript等程式語言,其中創作者可使用他們自己的標準邏輯驅動器或他們可以經由網路在資料中心或雲端租用標準商業化邏輯驅動器進行開發或實現他們的創作或發明,如第36圖所示。Another aspect of the present invention provides an "open innovation platform", which enables creators to easily and cost-effectively use technologies from the IC technology generation advanced in 20nm on semiconductor wafers through the logic driver of the present invention, and through the logic driver of the present invention. use logical drives to execute or realize their ideas or inventions in advanced technology generations such as those of 16nm, 10nm, 7nm, 5nm or 3nm, where such ideas or inventions include: (i) innovative algorithms or Computational, processing, learning and/or inferencing architectures, (ii) in the 1990s, creators or inventors could design IC chips and use 1µm, 0.8µm, 1µm, 0.8µm in semiconductor foundries for hundreds of thousands of dollars , 0.5µm, 0.35µm, 0.18µm or 0.13µm technology generation technology to realize their ideas or inventions, semiconductor manufacturing companies are companies with fewer products and own semiconductor manufacturing plants, semiconductor manufacturing companies provide manufacturing services to their customers, Their clients are companies without factories, which include: (i) IC design companies that design and own IC chips; (ii) systems companies that design and own systems, (iii) IC chip design independent designs and own IC chips, The IC manufacturing facility was what was called a "common innovation platform" at the time, however, when technology generations migrated and progressed to more advanced technology generations than 20nm or 10nm, such as ICs that advanced 16nm, 10nm, 7nm, 5nm or 3nm The technology of the manufacturing technology generation, only a few large system vendors or IC design companies (non-public innovators or inventors) can afford the development costs required by semiconductor IC manufacturing foundries, which use these advanced generations of development and implementation The cost is about more than 5 million US dollars. Today's semiconductor IC foundries are no longer a "public innovation platform", but only become a "club innovation platform" for club innovators or inventors, and the logic proposed by the present invention Drivers (including standard commercial field programmable gate array (FPGA) integrated circuit chips (standard commercial FPGA IC chips)) can provide public creators with a return to the same "common innovation platform" for the semiconductor IC industry in the 1990s , creators can execute or implement their creations or inventions by writing software programs using the standard commercial logic drivers of the present invention and using common programming languages at a cost of less than $500K or $300K, where common programming languages such as is a programming language such as C, Java, C++, C#, Scala, Swift, Matlab, AssemblyLanguage, Pascal, Python, VisualBasic, PL/SQL or JavaScript, where creators can use their own standard logic drivers or they can The data center or cloud rents standard commercial logical drives for development or to implement their creations or inventions, as shown in Figure 36 .

本發明另一方面提供發明人的一創新平台,該創新平台包括(a)在一資料中心或雲端內的複數邏輯驅動器,其中該些邏輯驅動器包括使用先進行20nm技術節點的半導體IC製程技術節點所製造的複數標準商業化FPGAIC晶片(位在FPGA/HBMCSPs封裝中)。(b)與網際網路或互連網在資料中心或雲端上通訊溝通之創新者的裝置及複數使用者的裝置,其中該創新者可經由網際網路或互連網且使用常用編程語言在資料中心或雲端上編程複數邏輯驅動器,用以發展及寫入軟體程式以實現他的創新(發明)(包括演法、架構及/或應用),其中常用的編程語言包括C,Java,C++,C#,Scala,Swift,Matlab,AssemblyLanguage,Pascal,Python,VisualBasic,PL/SQL或JavaScript等程式語言,(c)在編程些邏輯驅動器之後,該創新者或複數使用者可經由網際網路或互連網使用己編程完成的邏輯驅動器用於他們的創新(包括演算法、架構及/或應用)中,其中該些創新包括:(i)計算上、運算上、學習上及/或推理上的創新的演算法或架構,及/或(ii)創新及/或具體的應用。Another aspect of the present invention provides an innovative platform of the inventors, the innovative platform includes (a) a plurality of logic drivers in a data center or cloud, wherein the logic drivers include semiconductor IC process technology nodes using the first 20nm technology node Manufactured multiple standard commercial FPGAIC chips (in FPGA/HBMCSPs packages). (b) The innovator's device and the plurality of users' devices that communicate with the Internet or the Internet on a data center or cloud, where the innovator can use a common programming language in the data center or cloud via the Internet or the Internet To program complex logic drivers to develop and write software programs to realize his innovations (inventions) (including algorithms, architectures and/or applications), commonly used programming languages include C, Java, C++, C#, Scala, Swift, Matlab, AssemblyLanguage, Pascal, Python, VisualBasic, PL/SQL or JavaScript and other programming languages, (c) after programming some logic drivers, the innovator or multiple users can use the programmed software via the Internet or the Internet Logic drives are used in their innovations (including algorithms, architectures and/or applications), where such innovations include: (i) computationally, computationally, learning and/or inferentially innovative algorithms or architectures, and/or (ii) innovative and/or specific applications.

本發明揭露一種現有邏輯ASIC晶片或COT晶片的產業模式改變成進入一商業化邏輯IC晶片產業模式的方法,例如像是現有商業化的動態隨機存取記憶體(DynamicRandomAccessMemory,DRAM)晶片產業模式或是商業快閃記憶體IC晶片產業模式,經由標準化商業邏輯驅動器。對一相同的創新或新應用而言,標準商業邏輯驅動器可作為ASIC晶片或COTIC晶片的一替代方案,標準商業邏輯驅動器從效能、功耗、工程及製造成本應可比現有的ASIC晶片或COTIC晶片好或相同。現有的邏輯ASIC晶片或COTIC晶片設計、製造及(或)生產的公司(包括無廠IC晶片設計及生產公司、IC晶圓廠或接單製造(可無產品)、公司及(或)、垂直整合IC晶片設計、製造及生產的公司)可變成類似現有商業化DRAM的公司、快閃記憶體IC晶片設計、製造及生產的公司、快閃USB棒或驅動公司、快閃固態驅動器或硬碟設計、製造及生產的公司。現有的邏輯運算ASIC晶片或COTIC晶片設計公司及(或)製造公司(包括無廠IC晶片設計及生產公司、IC晶圓廠或接單製造(可無產品)公司、垂直整合IC晶片設計、製造及生產的公司)可改變公司的生意模式為如以下方式:(1)設計、製造及(或)販售標準商業FPGAIC晶片;及(或)(2)設計、製造及(或)販售標準商業邏輯驅動器。個人、使用者、客戶、軟體開發者應用程序開發人員可購買此商業化標準邏輯驅動器及撰寫軟體之原始碼,進行針對他/她所期待的應用進行程序編寫,例如,在人工智能(ArtificialIntelligence,AI)、機器學習、深度學習、大數據資料庫儲存或分析、物聯網(InternetOfThings,IOT)、虛擬實境(VR)、擴增實境(AR)、車用電子圖形處理(GP)。此邏輯驅動器可編寫執行例如是圖形晶片、基頻晶片、以太網路晶片、無線晶片(例如是802.11ac)或人工智能晶片等功能的晶片。此邏輯驅動器或者可編寫執行人工智能、機器學習、深度學習、大數據資料庫儲存或分析、物聯網(InternetOfThings,IOT)、虛擬實境(VR)、擴增實境(AR)、車用電子圖形處理(GP)、數位訊號處理(DSP)、微控制器(MC)或中央處理器(CP)等功能或其中的任一種組合之功能。The present invention discloses a method for changing the industrial model of an existing logic ASIC chip or COT chip into a commercialized logic IC chip industry model, such as the existing commercialized Dynamic Random Access Memory (DRAM) chip industry model or Is the commercial flash memory IC chip industry model, through standardized commercial logic drivers. For the same innovation or new application, standard commercial logic drivers can be used as an alternative to ASIC chips or COTIC chips. Standard commercial logic drivers should be comparable to existing ASIC chips or COTIC chips in terms of performance, power consumption, engineering and manufacturing costs. good or the same. Existing logic ASIC chip or COTIC chip design, manufacture and/or production companies (including fabless IC chip design and production companies, IC fabs or build-to-order (can be product-free), companies and/or), vertical Companies that integrate IC chip design, manufacture and production) can become similar to existing commercial DRAM companies, flash memory IC chip design, manufacture and production companies, flash USB stick or drive companies, flash solid state drives or hard disks A company that designs, manufactures and produces. Existing logic computing ASIC chip or COTIC chip design companies and/or manufacturing companies (including fabless IC chip design and production companies, IC fabs or build-to-order manufacturing (can be no product) companies, vertically integrated IC chip design, manufacturing companies and production companies) may change the company's business model to: (1) design, manufacture and/or sell standard commercial FPGAIC chips; and/or (2) design, manufacture and/or sell standard Commercial logical drives. Individuals, users, clients, software developers The application developer can purchase this commercial standard logic driver and write the source code of the software for programming his/her desired application, for example, in artificial intelligence (Artificial Intelligence, AI), machine learning, deep learning, big data database storage or analysis, Internet of Things (Internet Of Things, IOT), virtual reality (VR), augmented reality (AR), automotive electronic graphics processing (GP). The logic driver can write chips that perform functions such as graphics chips, baseband chips, Ethernet chips, wireless chips (eg, 802.11ac), or artificial intelligence chips. This logic driver can alternatively be programmed to perform artificial intelligence, machine learning, deep learning, big data database storage or analysis, Internet of Things (IOT), virtual reality (VR), augmented reality (AR), automotive electronics Graphics processing (GP), digital signal processing (DSP), microcontroller (MC) or central processing unit (CP) functions or any combination of them.

本發明另外揭露一種將現有邏輯ASIC晶片或COT晶片硬體產業模式經由商業化標準邏輯驅動器改變成一軟體產業模式。在同一創新及應用上,標準商業邏輯驅動器從效能、功耗、工程及製造成本應可比現有的ASIC晶片或COTIC晶片好或相同,因此商業化標準邏輯驅動器可作為設計ASIC晶片或COTIC晶片的替代方案。現有的ASIC晶片或COTIC晶片的設計公司或供應商可變成軟體開發商或供應商,及變成以下的產業模式:(1)變成軟體公司針對自有的創新及應用進行軟體研發或軟體販售,進而讓客戶或使用者安裝軟體在客戶或使用者自己擁有的商業化標準邏輯驅動器中;及/或(2)仍是販賣硬體的硬體公司而沒有進行ASIC晶片或COTIC晶片的設計及生產。針對創新或新應用可安裝自我研發的軟體可安裝在販賣的標準商業邏輯驅動器內的一或複數非揮發性記憶體IC晶片內,然後再賣給他們的客戶或使用者。他們也可針對所期望寫軟體原始碼在標準商業邏輯驅動器內(也就是將軟體原始碼安裝在標準商業邏輯驅動器內的非揮發性記憶體IC晶片內),例如在人工智能(ArtificialIntelligence,AI)、機器學習、深度學習、大數據資料庫儲存或分析、物聯網(InternetOfThings,IOT)、虛擬實境(VR)、擴增實境(AR)、車用電子圖形處理(GP)。此邏輯驅動器可編寫執行例如是圖形晶片、基頻晶片、以太網路晶片、無線晶片(例如是802.11ac)或人工智能晶片等功能的晶片。此邏輯驅動器或者可編寫執行人工智能、機器學習、深度學習、大數據資料庫儲存或分析、物聯網(InternetOfThings,IOT)、虛擬實境(VR)、擴增實境(AR)、車用電子圖形處理(GP)、數位訊號處理(DSP)、微控制器(MC)或中央處理器(CP)等功能或其中的任一種組合之功能。The present invention further discloses a way to change the existing logic ASIC chip or COT chip hardware industry model to a software industry model through commercialized standard logic drivers. On the same innovation and application, the standard commercial logic driver should be better or the same as the existing ASIC chip or COTIC chip in terms of performance, power consumption, engineering and manufacturing cost, so the commercial standard logic driver can be used as a replacement for designing ASIC chips or COTIC chips plan. Existing design companies or suppliers of ASIC chips or COTIC chips can become software developers or suppliers, and become the following industrial models: (1) Become a software company to conduct software research and development or software sales for its own innovations and applications, Then let the customer or user install the software in the commercial standard logic drive owned by the customer or user; and/or (2) is still a hardware company that sells the hardware without designing and producing ASIC chips or COTIC chips . For innovative or new applications, self-developed software can be installed in one or more non-volatile memory IC chips in standard commercial logic drives sold, and then sold to their customers or users. They can also write software source code in a standard commercial logic driver (that is, install the software source code in a non-volatile memory IC chip in a standard commercial logic driver) as desired, such as in Artificial Intelligence (AI) , machine learning, deep learning, big data database storage or analysis, Internet of Things (Internet Of Things, IOT), virtual reality (VR), augmented reality (AR), automotive electronic graphics processing (GP). The logic driver can write chips that perform functions such as graphics chips, baseband chips, Ethernet chips, wireless chips (eg, 802.11ac), or artificial intelligence chips. This logic driver can alternatively be programmed to perform artificial intelligence, machine learning, deep learning, big data database storage or analysis, Internet of Things (IOT), virtual reality (VR), augmented reality (AR), automotive electronics Graphics processing (GP), digital signal processing (DSP), microcontroller (MC) or central processing unit (CP) functions or any combination of them.

本發明另外揭露一種將現有系統設計、系統製造及(或)系統產品的產業經由標準商業化邏輯驅動器改變成一商業化系統/產品產業,例如像是現在的商業DRAM產業快閃記憶體產業。現有的系統、電腦、處理器、智慧型手機或電子儀器或裝置可變成一標準商業化硬體公司,硬體以記憶體驅動器及邏輯驅動器為主要硬體。記憶體驅動器可以是硬碟、閃存驅動器(隨身碟)及(或)固態驅動器(solid-statedrive)。本發明中所揭露的邏輯驅動器可具有數量足夠多的輸出/輸入端(I/Os),用以支持(支援)所有或大部分應用程式的編程的I/Os部分。例如執行以下其中之一功能或以下功能之組合:人工智能(ArtificialIntelligence,AI)、機器學習、深度學習、大數據資料庫儲存或分析、物聯網(InternetOfThings,IOT)、虛擬實境(VR)、擴增實境(AR)、車用電子圖形處理(GP)、數位訊號處理(DSP)、微控制器(MC)或中央處理器(CP)等其它功能。邏輯驅動器可包括:(1)軟體或應用程式的開發者可以下載應用軟體或編程碼,經由I/O連接埠或連接器連接或耦接至該邏輯驅動器的I/Os,以進行編程或配置該邏輯驅動器;(2)執行或使用者所使用的I/Os,使用者經由一或複數的外部I/Os或連接器連接或耦接至邏輯驅動器的I/Os執行指令,例如產生製作一微軟文書檔(wordfile)、一簡報檔或一試算表。外部元件的外部I/Os或連接器連接或耦接至相對應的邏輯驅動器I/Os包括一或複數(2,3,4或大於4)的USB連接端、一或複數IEEE單層封裝揮發性記憶體驅動器4連接端、一或複數乙太網路連接端、一或複數音源端或序列埠,例如是RS-232連接端或COM(通信)連接端、無線收發器I/Os及(或)藍牙收發器I/Os,連接或耦接至相對應的邏輯驅動器I/Os的外部I/Os可包括用於通訊、連接或耦接至記憶體驅動器用途的串行高級技術附件(SerialAdvancedTechnologyAttachment,SATA)連接端或外部連結(PeripheralComponentsInterconnectexpress,PCIe)連接端。這些用於通訊、連接或耦接的I/Os可設置、位在、組裝或連接在(或至)一基板、一軟板或硬板上,例如一印刷電路板(PrintedCircuitBoard,PCB)、一具有連接線路結構的矽基板、一具有連接線路結構的金屬基板、一具有連接線路結構的玻璃基板、一具有連接線路結構的陶瓷基板或一具有連接線路結構的軟性基板。邏輯驅動器經由錫凸塊、銅柱或銅凸塊或金凸塊以類似覆晶(flip-chip)晶片封裝製程或使用在液晶顯示器驅動器封裝技術的覆晶接合(Chip-On-Film(COF))封裝製程,將邏輯驅動器設置在基板、軟板或硬板上。現有的系統、電腦、處理器、智慧型手機或電子儀器或裝置可變成:(1)販賣標準商業化硬體的公司,對於本發明而言,此類型的公司仍是硬體公司,而硬體包括記憶體驅動器及邏輯驅動器;(2)針對使用者所開發系統或應用軟體,而安裝在使用者自有的標準商業化硬體中,對於本發明而言,此類型的公司是軟體公司;(3)安裝第三者所開發系統或應用軟體或程式在標準商業化硬體中以及販賣軟體下載硬體,對於本發明而言,此類型的公司是硬體公司。The present invention further discloses a transformation of the existing system design, system manufacturing and/or system product industry via standard commercial logic drivers into a commercial system/product industry, such as the current commercial DRAM industry and the flash memory industry. Existing systems, computers, processors, smart phones or electronic instruments or devices can be turned into a standard commercial hardware company with memory drives and logical drives as the main hardware. The memory drive may be a hard disk, a flash drive (pen drive) and/or a solid-state drive. The logical drivers disclosed in the present invention may have a sufficient number of output/input terminals (I/Os) to support (support) the I/Os portion of the programming of all or most applications. For example, perform one of the following functions or a combination of the following functions: artificial intelligence (AI), machine learning, deep learning, big data database storage or analysis, Internet of Things (IOT), virtual reality (VR), Augmented reality (AR), automotive electronic graphics processing (GP), digital signal processing (DSP), microcontroller (MC) or central processing unit (CP) and other functions. A logical driver may include: (1) Software or application developers can download application software or programming code to connect or couple to the I/Os of the logical driver via I/O ports or connectors for programming or configuration The logical drive; (2) I/Os that are executed or used by the user, the user is connected or coupled to the I/Os of the logical drive through one or more external I/Os or connectors to execute instructions, such as generating and making a Microsoft wordfile, a presentation file or a spreadsheet. External I/Os or connectors of external components are connected or coupled to corresponding logic drivers. 4 connectors, one or more Ethernet network connectors, one or more audio source or serial ports, such as RS-232 connectors or COM (communication) connectors, wireless transceiver I/Os and ( or) Bluetooth transceiver I/Os, external I/Os connected or coupled to corresponding logical drive I/Os may include Serial Advanced Technology Attachments for communication, connection or coupling to memory drives , SATA) connector or external link (PeripheralComponentsInterconnectexpress, PCIe) connector. These I/Os for communication, connection or coupling can be provided, located, assembled or connected to (or to) a substrate, a flexible or rigid board, such as a Printed Circuit Board (PCB), a A silicon substrate with a connection circuit structure, a metal substrate with a connection circuit structure, a glass substrate with a connection circuit structure, a ceramic substrate with a connection circuit structure, or a flexible substrate with a connection circuit structure. The logic driver is packaged in a process similar to flip-chip through tin bumps, copper pillars or copper bumps or gold bumps or chip-on-film (COF) used in LCD driver packaging technology. ) packaging process, the logic driver is arranged on the substrate, flexible board or hard board. Existing systems, computers, processors, smart phones or electronic instruments or devices can become: (1) a company that sells standard commercial hardware. For the purposes of the present invention, this type of company is still a hardware company, while the (2) The system or application software developed by the user is installed in the user's own standard commercial hardware. For the present invention, this type of company is a software company (3) Install system or application software or program developed by a third party in standard commercial hardware and sell software download hardware. For the present invention, this type of company is a hardware company.

本發明另外揭露一種商業化標準FPGAIC晶片作為商業化標準邏輯驅動器使用。此商業化標準FPGAIC晶片係採用先進的半導體技術或新一世代製程設計及製造,使其在最小製造成本下能具有小的晶片尺寸及優勢的製造良率,例如比30奈米(nm)、20nm或10nm更先進或相等,或尺寸更小或相同的半導體先進製程。此商業化標準FPGAIC晶片的尺寸係介於400毫米平方(mm2 )與9mm2 之間、225毫米mm2 與9mm2 之間、144毫米mm2 與16mm2 之間、100毫米mm2 與16mm2 之間、75毫米mm2 與16mm2 之間或50毫米mm2 與16mm2 之間。先進的半導體技術或新一世代製程製造的電晶體可以是一鰭式場效電晶體(FINField-Effect-Transistor(FINFET))、閘極全環(Gate-all-around、GAA)場效電晶體、矽晶片在絕緣體上(Silicon-On-Insulator(FINFETSOI))、GAA場效電晶體在絕緣體上(GAAFETonSilicon-On-Insulator(GAAFETSOI))、薄膜全耗盡之矽晶片在絕緣體上((FDSOI)MOSFET)、薄膜部分耗盡之矽晶片在絕緣體上(PartiallyDepletedSilicon-On-Insulator(PDSOI))、金氧半場效電晶體(Metal-Oxide-SemiconductorField-EffectTransistor(MOSFET))或常規MOSFET。此商業化標準FPGAIC晶片可能只能與邏輯驅動器內的其它晶片進行通信,其中商業化標準FPGAIC晶片的輸入/輸出電路可能只需要小型輸入/輸出驅動器(複數I/O驅動器)或輸入/輸出接收器(I/O複數接收器),以及小型(或無)靜電放電(ElectrostaticDischarge(ESD))裝置。此輸入/輸出驅動器、輸入/輸出接收器或輸入/輸出電路的驅動能力、負載、輸出電容或輸入電容係介於0.1皮法(pF)至10pF之間、介於0.1pF至5pF之間、介於0.1pF至3pF之間或介於0.1pF至2pF之間,或小於10pF、小於5pF、小於3pF、小於2pF或小於1pF。ESD裝置的大小係介於0.05pF至10pF之間、介於0.05pF至5pF之間、介於0.05pF至2pF之間或介於0.05pF至1pF之間,或小於5pF、小於3pF、小於2pF、小於1pF或小於0.5pF。例如,一雙向(或三態)的輸入/輸出接墊或電路可包括一ESD電路、一接收器及一驅動器,其輸出電容或輸入電容係介於0.1pF至10pF之間、介於0.1pF至5pF之間或介於0.1pF至2pF之間,或小於10pF、小於5pF、小於3pF、小於2pF或小於1pF。全部或大部分的控制及(或)輸入/輸出電路或單元位外部或不包括在商業化標準FPGAIC晶片內(例如,關閉-邏輯-驅動器輸入/輸出電路(off-logic-driveI/Ocircuits),意即是大型輸入/輸出電路用於與外部邏輯驅動器的電路或元件通訊),但可被包括在同一邏輯驅動器中的另一專用的控制晶片、一專用輸入/輸出晶片或專用控制及輸入./輸出晶片內,商業化標準FPGAIC晶片中最小(或無)面積係被使用設置控制或輸入/輸出電路,例如小於15%、10%、5%、2%、1%、0.5%或0.1%面積係被使用設置控制或輸入/輸出電路,或商業化標準FPGAIC晶片中最小(或無)電晶體係被使用設置控制或輸入/輸出電路,例如電晶體數量小於15%、10%、5%、2%、1%、0.5%或0.1%係被使用設置控制或輸入/輸出電路,或商業化標準FPGAIC晶片的全部或大部分的面積係使用在(i)邏輯區塊、單元或元件設置,其包括邏輯閘矩陣、運算單元或操作單元、及(或)查找表(Look-Up-Tables,LUTs)及多工器(複數多工器);及(或)(ii)可編程交互連接線(可編程交互連接線)。例如,商業化標準FPGAIC晶片中大於85%、大於90%、大於95%、大於98%、大於99%、大於99.5%、大於99.9%面積被使用設置邏輯區塊、單元或元件及可編程交互連接線,或是商業化標準FPGAIC晶片中全部或大部分的電晶體係被使用設置邏輯區塊、單元或元件及(或)可編程交互連接線,例如電晶體數量大於85%、大於90%、大於95%、大於98%、大於99%、大於99.5%、大於99.9%被用來設置邏輯區塊、單元或元件及(或)可編程交互連接線。The present invention further discloses a commercial standard FPGA IC chip used as a commercial standard logic driver. This commercial standard FPGAIC chip is designed and manufactured using advanced semiconductor technology or next-generation process, enabling it to have a small die size and advantageous manufacturing yields, such as 30 nanometers (nm), 20nm or 10nm more advanced or equivalent, or smaller or the same semiconductor advanced process. The dimensions of the commercial standard FPGAIC chips are between 400 millimeters square (mm 2 ) and 9 mm 2 , between 225 mm 2 and 9 mm 2 , between 144 mm 2 and 16 mm 2 , between 100 mm 2 and 16 mm 2 between 2, between 50 mm and 16mm 2 75 2 mm in mm or between 2 and 16mm 2. The transistors manufactured by advanced semiconductor technology or new generation process can be a FINField-Effect-Transistor (FINFET), a Gate-all-around (GAA) FET, Silicon wafer on insulator (Silicon-On-Insulator (FINFETSOI)), GAA field effect transistor on insulator (GAAFETonSilicon-On-Insulator (GAAFETSOI)), thin film fully depleted silicon wafer on insulator (FDSOI) MOSFET ), thin-film partially depleted silicon wafers on insulators (Partially Depleted Silicon-On-Insulator (PDSOI)), Metal-Oxide-Semiconductor Field-Effect Transistor (MOSFET), or conventional MOSFETs. This commercial standard FPGAIC die may only be able to communicate with other die within the logic driver, where the input/output circuits of the commercial standard FPGAIC die may only require small input/output drivers (complex I/O drivers) or input/output receivers receivers (I/O complex receivers), and small (or no) electrostatic discharge (Electrostatic Discharge (ESD)) devices. The drive capability, load, output capacitance, or input capacitance of this I/O driver, I/O receiver, or I/O circuit is between 0.1 picofarads (pF) and 10 pF, between 0.1 pF and 5 pF, Between 0.1 pF and 3 pF or between 0.1 pF and 2 pF, or less than 10 pF, less than 5 pF, less than 3 pF, less than 2 pF or less than 1 pF. The size of the ESD device is between 0.05pF and 10pF, between 0.05pF and 5pF, between 0.05pF and 2pF, or between 0.05pF and 1pF, or less than 5pF, less than 3pF, less than 2pF , less than 1pF or less than 0.5pF. For example, a bi-directional (or tri-state) input/output pad or circuit may include an ESD circuit, a receiver, and a driver, with an output capacitance or input capacitance ranging from 0.1pF to 10pF, 0.1pF between 5 pF or between 0.1 pF and 2 pF, or less than 10 pF, less than 5 pF, less than 3 pF, less than 2 pF or less than 1 pF. All or most of the control and/or input/output circuits or units are external to or not included in a commercial standard FPGAIC die (e.g., off-logic-drive I/O circuits), meaning a large input/output circuit used to communicate with circuits or components of an external logic driver), but may be included in another dedicated control chip, a dedicated input/output chip, or dedicated control and input in the same logic driver. Within a commercial standard FPGAIC die, the minimum (or none) area is used to set up control or input/output circuits, eg, less than 15%, 10%, 5%, 2%, 1%, 0.5%, or 0.1% within an output/output die The area system is used to set the control or input/output circuit, or the minimum (or no) transistor system in the commercial standard FPGAIC chip is used to set the control or input/output circuit, for example, the number of transistors is less than 15%, 10%, 5% , 2%, 1%, 0.5%, or 0.1% are used to set up control or input/output circuits, or all or most of the area of a commercial standard FPGAIC die is used in (i) logic blocks, cells, or component sets , which includes a logic gate matrix, an arithmetic unit or operating unit, and/or a look-up table (Look-Up-Tables, LUTs) and a multiplexer (multiplexer); and (or) (ii) programmable interconnections line (programmable interactive connection line). For example, more than 85%, more than 90%, more than 95%, more than 98%, more than 99%, more than 99.5%, more than 99.9% of the commercial standard FPGA IC chip area is used to set up logic blocks, cells or components and programmable interactions Connection lines, or all or most of the transistor system in a commercial standard FPGA IC chip are used to configure logic blocks, cells or elements and/or programmable interconnection lines, such as more than 85% transistors, more than 90% transistors , greater than 95%, greater than 98%, greater than 99%, greater than 99.5%, greater than 99.9% are used to set logic blocks, cells or elements and/or programmable interconnect lines.

複數邏輯區塊、單元或元件包括(i)複數邏輯閘矩陣,其包括布爾邏輯驅動器,例如是NAND電路、NOR電路、AND電路及(或)OR電路;(ii)複數計算單元,例如加法器電路、多工器、移位寄存器、浮點電路及乘法和/或除法電路;(iii)LUTs及多工器。另外,布爾邏輯驅動器、邏輯閘功能、某些計算、運算或處理可經由LUTs及(或)複數多工器執行。LUTs可儲存或記憶處理結果或計算邏輯閘結果、運算結果、決策過程或操作結果、事件結果或活動結果。例如,LUTs可儲存或記憶資料或結果在複數靜態隨機存儲器單元(複數SRAM單元)內。複數靜態隨機存取記憶體(SRAM)單元可分佈設置在FPGA晶片中,且是靠近或接近相對應邏輯區塊、單元或元件內的多工器。另外,複數SRAM單元可被設置在FPGA晶片內某一區域或位置的一SRAM矩陣內,為了在FPGA晶片中分佈位置的邏輯區塊、單元或元件之複數選擇多工器,複數SRAM單元矩陣聚集或包括複數LUTs的SRAM單元,複數SRAM單元可被設置在FPGA晶片中某些複數區域中的一或複數SRAM矩陣內;為了在FPGA晶片中分佈位置的邏輯區塊、單元或元件之複數選擇多工器,每一SRAM矩陣可聚集或包括複數LUTs的SRAM單元。儲存或鎖存在每一SRAM單元內的資料可輸入至多工器內作為選擇之用。每一SRAM單元可包括6個電晶體(6TSRAM),此6個電晶體包括2個傳輸(寫入)電晶體及4個資料鎖存電晶體,其中2個傳輸電晶體係被用在寫入資料至4個資料鎖存電晶體的儲存或鎖存的2節點。每一SRAM單元可包括5個電晶體(5TSRAM),此6個電晶體包括1個傳輸(寫入)電晶體及4個資料鎖存電晶體,其中1個傳輸電晶體係被用在寫入資料至4個資料鎖存電晶體的儲存或鎖存的2個節點,在5T或6T的SRAM單元內的4個資料鎖存電晶體中的二個其中之一鎖存點係連接或耦接至多工器。在5T或6TSRAM單元所儲存的資料係被作為LUTs使用。當輸入一組資料、請求或條件時,多工器會依據輸入的資料、請求或條件去選擇儲存或記憶在LUTs內相對應的資料(或結果)。可使用下列所述之4輸入NAND閘電路作為一操作器執行過程為一範例,此操作器包括複數LUTs及複數多工器:此4輸入NAND閘電路包括4個輸入及16個(或24 個)可能相對應輸出(結果),一操作器經由複數LUTs及複數多工器執行4輸入NAND操作,包括(i)4個輸入端;(ii)一可儲存及記憶16可能相對應輸出(結果)的LUTs;(iii)一多工器被設計用來依據一特定4輸入資料集(例如,1,0,0,1)選擇正確(相對應)的輸出;(iv)一輸出。一般而言,一操作器包括n個輸入、一用於儲存或記憶2n 相對應的資料及結果的LUT、一用於依據特定n個輸入資料集及1個輸出,進而選擇正確(相對應)輸出的多工器。Complex logic blocks, cells or elements include (i) a matrix of complex logic gates including Boolean logic drivers such as NAND circuits, NOR circuits, AND circuits and/or OR circuits; (ii) complex computing units such as adders circuits, multiplexers, shift registers, floating point circuits and multiplying and/or dividing circuits; (iii) LUTs and multiplexers. Additionally, Boolean logic drivers, logic gate functions, certain calculations, operations or processing may be performed via LUTs and/or complex multiplexers. LUTs can store or memorize processing results or computational logic gate results, operation results, decision process or operation results, event results or activity results. For example, LUTs can store or memorize data or results in static random access memory cells (SRAM cells). A plurality of static random access memory (SRAM) cells can be distributed in the FPGA chip, and are close to or close to the multiplexers within the corresponding logic blocks, cells or elements. In addition, the complex SRAM cells can be arranged in an SRAM matrix in a certain area or location within the FPGA chip. In order to distribute the complex selection multiplexers of the logical blocks, cells or elements in the FPGA chip, the complex SRAM cell matrix is aggregated Or an SRAM cell that includes a plurality of LUTs, which can be arranged in one or a plurality of SRAM matrices in certain complex regions in the FPGA wafer; for the purpose of distributing the location of the logic blocks, cells, or components in the FPGA wafer for a plurality of selections For the processor, each SRAM matrix can aggregate or include SRAM cells of a complex number of LUTs. The data stored or latched in each SRAM cell can be input into the multiplexer for selection. Each SRAM cell can include 6 transistors (6TSRAM), the 6 transistors include 2 transfer (write) transistors and 4 data latch transistors, of which 2 transfer transistor systems are used for writing Data to 2 nodes for storage or latching of 4 data latch transistors. Each SRAM cell can include 5 transistors (5TSRAM), the 6 transistors include 1 transfer (write) transistor and 4 data latch transistors, of which 1 transfer transistor system is used for writing Data to 2 nodes of storage or latching of 4 data latch transistors, one of the two latch points of the 4 data latch transistors in a 5T or 6T SRAM cell is connected or coupled to the multiplexer. Data stored in 5T or 6T SRAM cells are used as LUTs. When a set of data, request or condition is input, the multiplexer will select to store or memorize the corresponding data (or result) in the LUTs according to the input data, request or condition. The 4-input NAND gate circuit described below can be used as an example of the implementation of an operator including complex LUTs and complex multiplexers: The 4-input NAND gate circuit includes 4 inputs and 16 (or 2 4 A) may correspond to the output (result), an operator performs a 4-input NAND operation via a plurality of LUTs and a plurality of multiplexers, including (i) 4 inputs; (ii) a storable and memory 16 may correspond to the output ( results) of the LUTs; (iii) a multiplexer designed to select the correct (corresponding) output based on a particular 4-input data set (eg, 1,0,0,1); (iv) an output. Generally speaking, an operator includes n inputs, a LUT for storing or memorizing 2 n corresponding data and results, and a LUT for selecting the correct (corresponding) ) output multiplexer.

商業化標準FPGAIC晶片中的複數可編程交互連接線包括複數個位在複數可編程交互連接線中間的複數交叉點開關,例如n條的金屬線連接至複數交叉點開關的輸入端,m條金屬線連接至複數交叉點開關的輸出端,其中該些交叉點開關位在n條金屬線與m條金屬線之間。此些交叉點開關被設計成使每一條n金屬線可經由編程方式連接至任一條m金屬線,交叉點開關例如可包括一通過/不通電路,此通過/不通電路包括相成對的一n型電晶體及一p型的電晶體,其中之一條n金屬線可連接至該通過/不通電路內的相成對n型電晶體及p型電晶體的源極端(source),而其中之一條m金屬線連接至該通過/不通電路內的相成對n型電晶體及p型電晶體的汲極端(drain),交叉點開關的連接狀態或不連接狀態(通過或不通過)係由儲存或鎖存在一SRAM單元內的資料(0或1)控制,複數SRAM單元可分布在FPGA晶片,且每一5T或6TSRAM單元位在或靠近相對應的交叉點開關。另外,SRAM單元可被設置在FPGA某些區塊內的SRAM矩陣內,其中SRAM單元聚集或包括複數SRAM單元用於控制在分布位置上所對應的交叉點開關。另外,SRAM單元可被設置在FPGA某些複數區塊內的複數SRAM矩陣其中之一內,其中每一SRAM矩陣聚集或包括複數SRAM單元用於控制在分布位置上的對應的交叉點開關。在交叉點開關中的n型電晶體及p型電晶體二者的閘極連接至二個儲存節點或鎖存節點,每一SRAM單元可包括6個電晶體(6TSRAM),其中包括二傳輸(寫入)電晶體及4個資料鎖存電晶體,其中2個傳輸電晶體係用來寫入編程原始碼或資料至4個資料鎖存電晶體的2個儲存節點。另外,每一SRAM單元可包括5個電晶體(5TSRAM),其中包括一傳輸(寫入)電晶體及4個資料鎖存電晶體,其中1個傳輸電晶體係用來寫入編程原始碼或資料至4個資料鎖存電晶體的2個儲存節點,在5TSRAM或6TSRAM中的4個資料鎖存電晶體之2個儲存節點分別連接至通過/不通過開關電路內的n型電晶體的閘極及p型電晶體的閘極。儲存在5TSRAM單元及6TSRAM單元連接至交叉點開關的節點上,且儲存的資料係用來編程二金屬線之間呈連接狀態或不連接狀態,當資料鎖存在5TSRAM或6TSRAM二儲存節點被編程為[1,0](可被定義為1而用於儲存在SRAM單元內),其中”1”的節點係連接至n型電晶體閘極,”0”的節點係連接至p型電晶體閘極時,此通過/不通過電路為”打開”狀態,也就是二金屬線與通過/不通過電路的二節點之間呈現連接狀態。當資料鎖存在5TSRAM或6TSRAM二儲存節點被編程為[0,1](可被定義為0而用於儲存在SRAM單元內),其中”0”的節點係連接至n型電晶體閘極,”1”的節點係連接至p型電晶體閘極時,此通過/不通過電路為”關閉”狀態,也就是二金屬線與通過/不通過電路的二節點之間呈現不連接狀態。由於商業化標準FPGAIC晶片包括常規及重覆閘極矩陣或區塊、單元或元件、LUTs及多工器或可編程交互連接線,就像是商業化標準的DRAM晶片、NAND快閃IC晶片,對於晶片面積例如大於50mm2 或80mm2 的製程具有非常高的良率,例如是大於70%、80%、90%或95%。The complex programmable interconnection lines in the commercial standard FPGAIC chip include a plurality of crosspoint switches located in the middle of the complex programmable interconnection lines, for example, n metal lines are connected to the input terminals of the complex crosspoint switches, and m metal lines are connected to the input terminals of the complex crosspoint switches. The lines are connected to the output terminals of a plurality of cross-point switches, wherein the cross-point switches are located between the n metal lines and the m metal lines. Such crosspoint switches are designed so that each n metal line can be connected programmatically to any m metal line. type transistor and a p-type transistor, one of the n-metal lines can be connected to the source terminals of the opposing pairs of n-type and p-type transistors in the pass/fail circuit, and one of them The m metal lines are connected to the drains of the opposing pairs of n-type transistors and p-type transistors in the pass/pass circuit, and the connected or disconnected state (pass or fail) of the cross-point switch is stored by Or the data (0 or 1) latched in an SRAM cell is controlled, a plurality of SRAM cells can be distributed in the FPGA chip, and each 5T or 6T SRAM cell is located at or close to the corresponding cross-point switch. In addition, the SRAM cells may be arranged in an SRAM matrix in certain blocks of the FPGA, wherein the SRAM cells are aggregated or include a plurality of SRAM cells for controlling the corresponding cross-point switches at the distribution positions. Additionally, the SRAM cells may be arranged in one of the complex SRAM matrices within certain complex blocks of the FPGA, where each SRAM matrix aggregates or includes complex SRAM cells for controlling corresponding crosspoint switches at distributed locations. The gates of both n-type transistors and p-type transistors in the crosspoint switch are connected to two storage nodes or latch nodes. Each SRAM cell may include six transistors (6TSRAM), including two transfer ( Write) transistors and 4 data latch transistors, of which 2 transfer transistor systems are used to write programming source codes or data to the 2 storage nodes of the 4 data latch transistors. In addition, each SRAM cell may include 5 transistors (5TSRAM), including a transfer (write) transistor and 4 data latch transistors, of which one transfer transistor system is used to write programming source code or Data to 2 storage nodes of 4 data latch transistors, 2 storage nodes of 4 data latch transistors in 5TSRAM or 6TSRAM are respectively connected to the gates of the n-type transistors in the pass/non-pass switch circuit and the gate of the p-type transistor. It is stored on the node where the 5TSRAM cell and the 6TSRAM cell are connected to the cross-point switch, and the stored data is used to program the connection state or disconnection state between the two metal lines. When the data is latched in the 5TSRAM or 6TSRAM two storage nodes are programmed as [1,0] (can be defined as 1 for storage in an SRAM cell), where the "1" node is connected to the n-type transistor gate and the "0" node is connected to the p-type transistor gate When it is extremely high, the pass/no pass circuit is in an "open" state, that is, the two metal lines are connected to the two nodes of the pass/no pass circuit. When data is latched in 5TSRAM or 6TSRAM, the two storage nodes are programmed as [0,1] (which can be defined as 0 for storage in SRAM cells), where the "0" node is connected to the gate of the n-type transistor, When the "1" node is connected to the gate of the p-type transistor, the pass/no pass circuit is in the "off" state, that is, the two metal lines are disconnected from the two nodes of the pass/no pass circuit. Since commercial standard FPGA IC chips include regular and repeating gate matrices or blocks, cells or elements, LUTs and multiplexers or programmable interconnects, just like commercial standard DRAM chips, NAND flash IC chips, Processes with wafer areas greater than 50 mm 2 or 80 mm 2 have very high yields, eg greater than 70%, 80%, 90% or 95%.

另外,每一交叉點開關例如包括一具開關緩衝器(開關緩衝器or開關緩衝器)之有通過/不通過電路,此開關緩衝器包括一二級逆變器(inverter)、一控制N-MOS單元及一控制P-MOS單元,其中之一條n金屬線連接至通過/不通過電路中緩衝器的一輸入級逆變器的公共(連接)閘極端,而其中之一條m金屬線連接至通過/不通過電路中緩衝器的一輸出級逆變器的公共(連接)汲極端,此輸出級逆變器係由控制P-MOS與控制N-MOS堆疊而成,其中控制P-MOS在頂端(位在Vcc與輸出級逆變器的P-MOS的源極之間),而控制N-MOS在底部(位在Vss與輸出級逆變器的N-MOS的源極之間)。交叉點開關的連接狀態或不連接狀態(通過或不通過)係由5TSRAM單元或6TSRAM單元所儲存的資料(0或1)所控制,複數SRAM單元可分布在FPGA晶片,且每一5T或6TSRAM單元位在或靠近相對應的交叉點開關。另外,5TSRAM單元或6TSRAM單元可被設置在FPGA某些區塊內的5TSRAM單元或6TSRAM單元矩陣內,其中5TSRAM單元或6TSRAM單元矩陣聚集或包括複數5TSRAM單元或6TSRAM單元用於控制在分布位置上的對應的交叉點開關。另外,5TSRAM單元或6TSRAM單元可被設置在FPGA許多複數區塊內的5TSRAM單元或6TSRAM單元矩陣內,其中每一5TSRAM單元或6TSRAM單元矩陣聚集或包括複數5TSRAM單元或6TSRAM單元用於控制在分布位置上的對應的交叉點開關。在交叉點開關內的控制N-MOS電晶體及控制P-MOS電晶體二者的閘極分別連接或耦接至5TSRAM單元或6TSRAM單元的二鎖存節點。5TSRAM單元或6TSRAM單元其中之一鎖存節點連接或耦接至開關緩衝器電路內的控制N-MOS電晶體閘極,而5TSRAM單元或6TSRAM單元其它的鎖存節點連接至耦接至開關緩衝器電路內的控制P-MOS電晶體閘極。儲存在5TSRAM單元及6TSRAM單元連接至交叉點開關的節點上,且儲存的資料係用來編程二金屬線之間呈連接狀態或不連接狀態,當資料儲存在5TSRAM或6TSRAM單元的資料”1時,其中”1”的鎖存節點係連接至控制N-MOS電晶體閘極,”0”的其它鎖存節點係連接至控制P-MOS電晶體閘極時,此通過/不通過電路(開關緩衝器)可讓輸入端的資料通過至輸出端,也就是二金屬線與通過/不通過電路的二節點之間呈現連接狀態(實質上)。當資料儲存在5TSRAM或6TSRAM被編程為”0”,其中”0”的鎖存節點係連接至控制N-MOS電晶體閘極,”1”的其它鎖存節點係連接至控制P-MOS電晶體閘極時,複數控制N-MOS電晶體與複數控制P-MOS電晶體為”關閉”狀態,資料不能從輸入端通過至輸出端,也就是二金屬線與通過/不通過電路的二節點之間呈現不連接狀態。In addition, each cross-point switch includes, for example, a pass/no pass circuit with a switch buffer (switch buffer or switch buffer), and the switch buffer includes a two-stage inverter, a control N- MOS cell and a control P-MOS cell, one of the n-metal lines is connected to the common (connected) gate terminal of an input stage inverter with/without a buffer in the circuit, and one of the m-metal lines is connected to The common (connected) drain terminal of an output stage inverter that passes/does not pass through the buffer in the circuit, the output stage inverter is formed by stacking a control P-MOS and a control N-MOS, wherein the control P-MOS is in the The top (between Vcc and the source of the P-MOS of the output stage inverter), while the control N-MOS is at the bottom (between Vss and the source of the N-MOS of the output stage inverter). The connection state or non-connection state (pass or fail) of the cross-point switch is controlled by the data (0 or 1) stored in the 5TSRAM cell or the 6TSRAM cell. Multiple SRAM cells can be distributed in the FPGA chip, and each 5T or 6TSRAM cell is controlled by the data (0 or 1). The unit is located at or near the corresponding crosspoint switch. In addition, 5TSRAM cells or 6TSRAM cells may be arranged in 5TSRAM cells or 6TSRAM cell matrices in certain blocks of the FPGA, wherein the 5TSRAM cells or 6TSRAM cell matrices are aggregated or include a plurality of 5TSRAM cells or 6TSRAM cells for controlling the distribution of Corresponding crosspoint switch. In addition, 5TSRAM cells or 6TSRAM cells may be arranged in a matrix of 5TSRAM cells or 6TSRAM cells within many complex blocks of the FPGA, wherein each 5TSRAM cell or 6TSRAM cell matrix aggregates or includes a plurality of 5TSRAM cells or 6TSRAM cells for control in distributed locations on the corresponding crosspoint switch. The gates of both the control N-MOS transistor and the control P-MOS transistor within the crosspoint switch are connected or coupled to the two latch nodes of the 5TSRAM cell or the 6TSRAM cell, respectively. One of the latch nodes of the 5TSRAM cell or the 6TSRAM cell is connected or coupled to the control N-MOS transistor gate in the switch buffer circuit, and the other latch nodes of the 5TSRAM cell or the 6TSRAM cell are connected to the switch buffer. The gate of the control P-MOS transistor in the circuit. Stored on the node where the 5TSRAM cell and the 6TSRAM cell are connected to the cross-point switch, and the stored data is used to program the connection or disconnection between the two metal lines. When the data is stored in the 5TSRAM or 6TSRAM cell data "1" , when the latch node of "1" is connected to the gate of the control N-MOS transistor, and the other latch nodes of "0" are connected to the gate of the control P-MOS transistor, this pass/fail circuit (switch Buffer) allows data from the input end to pass to the output end, that is, the connection state between the two metal lines and the two nodes of the pass/non-pass circuit (essentially). When the data is stored in the 5TSRAM or 6TSRAM, it is programmed to "0" , where the latch node of "0" is connected to the gate of the control N-MOS transistor, and the other latch nodes of "1" are connected to the gate of the control P-MOS transistor, the complex control N-MOS transistor and The complex control P-MOS transistor is in the "off" state, and the data cannot pass from the input end to the output end, that is, the two metal lines and the two nodes of the pass/no pass circuit are in a disconnected state.

另外,交叉點開關例如可包括複數多工器及複數開關緩衝器,交叉點開關之多工器可依據儲存在5TSRAM單元及6TSRAM單元內的資料從n條輸入金屬線中選擇一個n輸入資料,並將所選擇的輸入資料輸出至開關緩衝器,此開關緩衝器依據儲存在5TSRAM單元及6TSRAM單元內的資料決定讓從多工器所輸出的資料通過或不通過至開關緩衝器輸出端所連接的一金屬線,此開關緩衝器包括一二級逆變器(緩衝器)、一控制N-MOS電晶體及一控制P-MOS電晶體,其中從多工器所選擇的資料連接(輸入)至緩衝器的一輸入級逆變器的公共(連接)閘極端,而其中之一條金屬線連接至緩衝器的一輸出級逆變器的公共(連接)汲極端,此輸出級逆變器係由控制P-MOS與控制N-MOS堆疊而成,其中控制P-MOS在頂端(位在Vcc與輸出級逆變器的P-MOS的源極之間),而控制N-MOS在底部(位在Vss與輸出級逆變器的N-MOS的源極之間)。開關緩衝器的連接狀態或不連接狀態(通過或不通過)係由5TSRAM單元或6TSRAM單元所儲存的資料(0或1)所控制,5TSRAM單元及6TSRAM單元內的一鎖存節點連接或耦接至開關緩衝器電路的控制N-MOS電晶體閘極,而5TSRAM單元及6TSRAM單元內的其它鎖存節點連接或耦接至開關緩衝器電路的控制P-MOS電晶體閘極,例如,複數金屬線A及複數金屬線B分別相交連接於一交叉點,其中分別將金屬線A分割成金屬線A1段及金屬線A2段,將金屬線B分別成金屬線B1段及金屬線B2段,交叉點開關可設置位於該交叉點,交叉點開關包括4對多工器及開關緩衝器,每一多工器具有3輸入端及1輸出端,也就是每一多工器可依據儲存在5TSRAM單元及6TSRAM單元內的2位元(bits)資料從3輸入端選擇其中之一作為輸出端。每一開關緩衝器接收從相對應的多工器所輸出資料及依據第三個5TSRAM單元及第三個6TSRAM單元內的儲存第三個位元資料決定是否讓接收的資料通過或不通過,交叉點開關設置位在金屬線A1段、金屬線A2段、金屬線B1段及金屬線B2段之間,此交叉點開關包括4對多工器/開關緩衝器:(1)第一多工器的3個輸入端可能是金屬線A1段、金屬線B1段及金屬線B2段,對於多工器,假如5TSRAM單元及6TSRAM單元儲存的2位元資料為”0”及”0”,第一多工器選擇金屬線A1段為輸入端,金屬線A1段連接至一第一開關緩衝器的輸入端。對於第1開關緩衝器,假如5TSRAM單元及6TSRAM單元儲存的位元資料為”1”時,金屬線A1段的資料通過輸入至金屬線A2段,對於第1開關緩衝器,假如5TSRAM單元及6TSRAM單元儲存的位元資料為”0”時,金屬線A1段的資料不能通過至金屬線A2段。對於第一多工器,假如5TSRAM單元及6TSRAM單元儲存的2位元資料為”1”及”0”時,第一多工器選擇金屬線B1段,而金屬線B1段連接至第一開關緩衝器的輸入端,對於第一開關緩衝器,假如5TSRAM單元及6TSRAM單元儲存的位元資料為”1”時,金屬線B1段的資料通過輸入至金屬線A2段,對於第一開關緩衝器,假如5TSRAM單元及6TSRAM單元儲存的位元資料為”0”時,金屬線B1段的資料不能通過至金屬線A2段。對於第一多工器,假如5TSRAM單元及6TSRAM單元儲存的2位元資料為”0”及”1”時,第一多工器選擇金屬線B2段,而金屬線B2段連接至第一開關緩衝器的輸入端,對於第一開關緩衝器,假如5TSRAM單元及6TSRAM單元儲存的位元資料為”1”時,金屬線B2段的資料通過輸入至金屬線A2段,對於第一開關緩衝器,假如5TSRAM單元及6TSRAM單元儲存的位元資料為”0”時,金屬線B2段的資料不能通過至金屬線A2段。(2)第一多工器的3個輸入端可能是金屬線A2段、金屬線B1段及金屬線B2段,對於第二多工器,假如5TSRAM單元及6TSRAM單元儲存的2位元資料為”0”及”0”,第二多工器選擇金屬線A2段為輸入端,金屬線A2段連接至一第二開關緩衝器的輸入端。對於第2開關緩衝器,假如5TSRAM單元及6TSRAM單元儲存的位元資料為”1”時,金屬線A2段的資料通過輸入至金屬線A1段,對於第2開關緩衝器,假如5TSRAM單元及6TSRAM單元儲存的位元資料為”0”時,金屬線A2段的資料不能通過至金屬線A1段。對於第二多工器,假如5TSRAM單元及6TSRAM單元儲存的2位元資料為”1”及”0”時,第二多工器選擇金屬線B1段,而金屬線B1段連接至第二開關緩衝器的輸入端,對於第二開關緩衝器,假如5TSRAM單元及6TSRAM單元儲存的位元資料為”1”時,金屬線B1段的資料通過輸入至金屬線A1段,對於第二開關緩衝器,假如5TSRAM單元及6TSRAM單元儲存的位元資料為”0”時,金屬線B1段的資料不能通過至金屬線A1段。對於第二多工器,假如5TSRAM單元及6TSRAM單元儲存的2位元資料為”0”及”1”時,第二多工器選擇金屬線B2段,而金屬線B2段連接至第二開關緩衝器的輸入端,對於第二開關緩衝器,假如5TSRAM單元及6TSRAM單元儲存的位元資料為”1”時,金屬線B2段的資料通過輸入至金屬線A1段,對於第二開關緩衝器,假如5TSRAM單元及6TSRAM單元儲存的位元資料為”0”時,金屬線B2段的資料不能通過至金屬線A1段。(3)第三多工器的3個輸入端可能是金屬線A1段、金屬線A2段及金屬線B2段,對於第二多工器,假如5TSRAM單元及6TSRAM單元儲存的2位元資料為”0”及”0”,第三多工器選擇金屬線A1段為輸入端,金屬線A1段連接至一第三開關緩衝器的輸入端。對於第3開關緩衝器,假如5TSRAM單元及6TSRAM單元儲存的位元資料為”1”時,金屬線A1段的資料通過輸入至金屬線B1段,對於第3開關緩衝器,假如5TSRAM單元及6TSRAM單元儲存的位元資料為”0”時,金屬線A1段的資料不能通過至金屬線B1段。對於第三多工器,假如5TSRAM單元及6TSRAM單元儲存的2位元資料為”1”及”0”時,第三多工器選擇金屬線A2段,而金屬線A2段連接至第三開關緩衝器的輸入端,對於第三開關緩衝器,假如5TSRAM單元及6TSRAM單元儲存的位元資料為”1”時,金屬線A2段的資料通過輸入至金屬線B1段,對於第三開關緩衝器,假如5TSRAM單元及6TSRAM單元儲存的位元資料為”0”時,金屬線A2段的資料不能通過至金屬線B1段。對於第三多工器,假如5TSRAM單元及6TSRAM單元儲存的2位元資料為”0”及”1”時,第三多工器選擇金屬線B2段,而金屬線B2段連接至第三開關緩衝器的輸入端,對於第三開關緩衝器,假如5TSRAM單元及6TSRAM單元儲存的位元資料為”1”時,金屬線B2段的資料通過輸入至金屬線B1段,對於第三開關緩衝器,假如5TSRAM單元及6TSRAM單元儲存的位元資料為”0”時,金屬線B2段的資料不能通過至金屬線B1段。(4)第四多工器的3個輸入端可能是金屬線A1段、金屬線A2段及金屬線B1段,對於第四多工器,假如5TSRAM單元及6TSRAM單元儲存的2位元資料為”0”及”0”,第四多工器選擇金屬線A1段為輸入端,金屬線A1段連接至一第四開關緩衝器的輸入端。對於第4開關緩衝器,假如5TSRAM單元及6TSRAM單元儲存的位元資料為”1”時,金屬線A1段的資料通過輸入至金屬線B2段,對於第4開關緩衝器,假如5TSRAM單元及6TSRAM單元儲存的位元資料為”0”時,金屬線A1段的資料不能通過至金屬線B2段。對於第四多工器,假如5TSRAM單元及6TSRAM單元儲存的2位元資料為”1”及”0”時,第四多工器選擇金屬線A2段,而金屬線A2段連接至第四開關緩衝器的輸入端,對於第四開關緩衝器,假如5TSRAM單元及6TSRAM單元儲存的位元資料為”1”時,金屬線A2段的資料通過輸入至金屬線B2段,對於第四開關緩衝器,假如5TSRAM單元及6TSRAM單元儲存的位元資料為”0”時,金屬線A2段的資料不能通過至金屬線B2段。對於第四多工器,假如5TSRAM單元及6TSRAM單元儲存的2位元資料為”0”及”1”時,第四多工器選擇金屬線B1段,而金屬線B1段連接至第四開關緩衝器的輸入端,對於第四開關緩衝器,假如5TSRAM單元及6TSRAM單元儲存的位元資料為”1”時,金屬線B1段的資料通過輸入至金屬線B2段,對於第四開關緩衝器,假如5TSRAM單元及6TSRAM單元儲存的位元資料為”0”時,金屬線B1段的資料不能通過至金屬線B2段。在此種情況下,交叉點開關是雙向的,且此交叉點開關具有4對多工器/開關緩衝器,每一對多工器/開關緩衝器被儲存在5TSRAM單元及6TSRAM單元內的3位元資料控制,對於交叉點開關共需要5TSRAM單元及6TSRAM單元的12位元資料,5TSRAM單元及6TSRAM單元可分布設置在FPGA晶片上,且位在或靠近相對應的交叉點開關之多工器及/或開關緩衝器。另外,5TSRAM單元及6TSRAM單元可被設置在FPGA某些區塊內的5TSRAM單元及6TSRAM單元矩陣內,其中5TSRAM單元及6TSRAM單元聚集或包括複數5TSRAM單元及6TSRAM單元用於控制在分布位置上的對應的交叉點開關之多工器及(或)開關緩衝器。另外,5TSRAM單元及6TSRAM單元可被設置在FPGA複數某些複數區塊內的複數SRAM矩陣其中之一內,其中每一5TSRAM單元及6TSRAM單元矩陣聚集或包括複數5TSRAM單元及6TSRAM單元用於控制在分布位置上的相對應的交叉點開關之多工器及(或)開關緩衝器。In addition, the cross-point switch may include, for example, a plurality of multiplexers and a plurality of switch buffers, and the multiplexer of the cross-point switch may select one n input data from the n input metal lines according to the data stored in the 5TSRAM cell and the 6TSRAM cell, And output the selected input data to the switch buffer, the switch buffer decides whether to pass or not pass the data output from the multiplexer to the output terminal of the switch buffer according to the data stored in the 5TSRAM cell and the 6TSRAM cell A metal line of the switch buffer includes a two-level inverter (buffer), a control N-MOS transistor and a control P-MOS transistor, wherein the data selected from the multiplexer is connected (input) to the common (connected) gate terminal of an input stage inverter of the buffer, and one of the metal wires is connected to the common (connected) drain terminal of an output stage inverter of the buffer, the output stage inverter being It is formed by stacking a control P-MOS and a control N-MOS, where the control P-MOS is at the top (between Vcc and the source of the P-MOS of the output stage inverter), and the control N-MOS is at the bottom ( between Vss and the source of the N-MOS of the output stage inverter). The connection state or disconnection state (pass or fail) of the switch buffer is controlled by the data (0 or 1) stored in the 5TSRAM cell or the 6TSRAM cell, and a latch node in the 5TSRAM cell and the 6TSRAM cell is connected or coupled to the control N-MOS transistor gate of the switch buffer circuit, and other latch nodes within the 5TSRAM cell and 6TSRAM cell are connected or coupled to the control P-MOS transistor gate of the switch buffer circuit, eg, a complex metal The line A and the plurality of metal lines B are respectively intersected and connected at an intersection, wherein the metal line A is divided into a metal line A1 segment and a metal line A2 segment respectively, and the metal line B is respectively divided into a metal line B1 segment and a metal line B2 segment, which intersect. The point switch can be set at the cross point, the cross point switch includes 4 pairs of multiplexers and switch buffers, each multiplexer has 3 input terminals and 1 output terminal, that is, each multiplexer can be stored in a 5TSRAM unit according to And the 2-bit data in the 6TSRAM cell selects one of the 3 input terminals as the output terminal. Each switch buffer receives the data output from the corresponding multiplexer and decides whether to pass or fail the received data according to the data stored in the third 5TSRAM unit and the third 6TSRAM unit. The point switch is set between the metal line A1 segment, the metal line A2 segment, the metal line B1 segment and the metal line B2 segment. The cross point switch includes 4 pairs of multiplexers/switch buffers: (1) The first multiplexer The three input terminals may be the metal line A1 segment, the metal line B1 segment and the metal line B2 segment. For the multiplexer, if the 2-bit data stored in the 5TSRAM cell and the 6TSRAM cell are "0" and "0", the first The multiplexer selects the segment A1 of the metal line as the input end, and the segment A1 of the metal line is connected to the input end of a first switch buffer. For the first switch buffer, if the bit data stored in the 5TSRAM cell and the 6TSRAM cell is "1", the data of the metal line A1 segment is input to the metal line A2 segment. For the first switch buffer, if the 5TSRAM cell and the 6TSRAM cell When the bit data stored in the cell is "0", the data of the metal line A1 segment cannot pass to the metal line A2 segment. For the first multiplexer, if the 2-bit data stored in the 5TSRAM cell and the 6TSRAM cell are "1" and "0", the first multiplexer selects the metal line B1 segment, and the metal line B1 segment is connected to the first switch The input end of the buffer, for the first switch buffer, if the bit data stored in the 5TSRAM cell and the 6TSRAM cell is "1", the data of the metal line B1 segment is input to the metal line A2 segment, for the first switch buffer. , If the bit data stored in the 5TSRAM cell and the 6TSRAM cell is "0", the data of the metal line B1 segment cannot pass to the metal line A2 segment. For the first multiplexer, if the 2-bit data stored in the 5TSRAM cell and the 6TSRAM cell are "0" and "1", the first multiplexer selects the metal line B2 segment, and the metal line B2 segment is connected to the first switch The input end of the buffer, for the first switch buffer, if the bit data stored in the 5TSRAM cell and the 6TSRAM cell is "1", the data of the metal line B2 segment is input to the metal line A2 segment, for the first switch buffer. , If the bit data stored in the 5TSRAM cell and the 6TSRAM cell is "0", the data of the metal line B2 segment cannot pass to the metal line A2 segment. (2) The three input terminals of the first multiplexer may be the metal line A2 segment, the metal line B1 segment and the metal line B2 segment. For the second multiplexer, if the 2-bit data stored in the 5TSRAM cell and the 6TSRAM cell is "0" and "0", the second multiplexer selects the segment A2 of the metal line as the input end, and the segment A2 of the metal line is connected to the input end of a second switch buffer. For the second switch buffer, if the bit data stored in the 5TSRAM cell and the 6TSRAM cell is "1", the data of the metal line A2 segment is input to the metal line A1 segment. For the second switch buffer, if the 5TSRAM cell and the 6TSRAM cell When the bit data stored in the cell is "0", the data of the segment A2 of the metal line cannot pass to the segment of the metal line A1. For the second multiplexer, if the 2-bit data stored in the 5TSRAM cell and the 6TSRAM cell are "1" and "0", the second multiplexer selects the metal line B1 segment, and the metal line B1 segment is connected to the second switch The input end of the buffer, for the second switch buffer, if the bit data stored in the 5TSRAM cell and the 6TSRAM cell is "1", the data of the metal line B1 segment is input to the metal line A1 segment, for the second switch buffer. , If the bit data stored in the 5TSRAM cell and the 6TSRAM cell is "0", the data of the metal line B1 segment cannot pass to the metal line A1 segment. For the second multiplexer, if the 2-bit data stored in the 5TSRAM cell and the 6TSRAM cell are "0" and "1", the second multiplexer selects the metal line B2 segment, and the metal line B2 segment is connected to the second switch The input end of the buffer, for the second switch buffer, if the bit data stored in the 5TSRAM cell and the 6TSRAM cell is "1", the data of the metal line B2 segment is input to the metal line A1 segment, for the second switch buffer. , If the bit data stored in the 5TSRAM cell and the 6TSRAM cell is "0", the data of the metal line B2 segment cannot pass to the metal line A1 segment. (3) The three input terminals of the third multiplexer may be the metal line A1 segment, the metal line A2 segment and the metal line B2 segment. For the second multiplexer, if the 2-bit data stored in the 5TSRAM cell and the 6TSRAM cell is "0" and "0", the third multiplexer selects the segment of the metal line A1 as the input end, and the segment of the metal line A1 is connected to the input end of a third switch buffer. For the third switch buffer, if the bit data stored in the 5TSRAM cell and the 6TSRAM cell is "1", the data of the metal line A1 segment is input to the metal line B1 segment. For the third switch buffer, if the 5TSRAM cell and the 6TSRAM cell When the bit data stored in the cell is "0", the data of the metal line A1 segment cannot pass to the metal line B1 segment. For the third multiplexer, if the 2-bit data stored in the 5TSRAM cell and the 6TSRAM cell are "1" and "0", the third multiplexer selects the metal line A2 segment, and the metal line A2 segment is connected to the third switch The input end of the buffer, for the third switch buffer, if the bit data stored in the 5TSRAM cell and the 6TSRAM cell is "1", the data of the metal line A2 segment is input to the metal line B1 segment, for the third switch buffer. , If the bit data stored in the 5TSRAM cell and the 6TSRAM cell is "0", the data in the metal line A2 segment cannot pass to the metal line B1 segment. For the third multiplexer, if the 2-bit data stored in the 5TSRAM cell and the 6TSRAM cell are "0" and "1", the third multiplexer selects the metal line B2 segment, and the metal line B2 segment is connected to the third switch The input end of the buffer, for the third switch buffer, if the bit data stored in the 5TSRAM cell and the 6TSRAM cell is "1", the data of the metal line B2 segment is input to the metal line B1 segment, for the third switch buffer. , If the bit data stored in the 5TSRAM cell and the 6TSRAM cell is "0", the data of the metal line B2 segment cannot pass to the metal line B1 segment. (4) The three input terminals of the fourth multiplexer may be the metal line A1 segment, the metal line A2 segment and the metal line B1 segment. For the fourth multiplexer, if the 2-bit data stored in the 5TSRAM cell and the 6TSRAM cell is "0" and "0", the fourth multiplexer selects the segment of the metal line A1 as the input end, and the segment of the metal line A1 is connected to the input end of a fourth switch buffer. For the fourth switch buffer, if the bit data stored in the 5TSRAM cell and the 6TSRAM cell is "1", the data of the metal line A1 segment is input to the metal line B2 segment. For the fourth switch buffer, if the 5TSRAM cell and the 6TSRAM cell When the bit data stored in the cell is "0", the data of the metal line A1 segment cannot pass to the metal line B2 segment. For the fourth multiplexer, if the 2-bit data stored in the 5TSRAM cell and the 6TSRAM cell are "1" and "0", the fourth multiplexer selects the metal line A2 segment, and the metal line A2 segment is connected to the fourth switch The input end of the buffer, for the fourth switch buffer, if the bit data stored in the 5TSRAM cell and the 6TSRAM cell is "1", the data of the metal line A2 segment is input to the metal line B2 segment, for the fourth switch buffer. , If the bit data stored in the 5TSRAM cell and the 6TSRAM cell is "0", the data of the metal line A2 segment cannot pass to the metal line B2 segment. For the fourth multiplexer, if the 2-bit data stored in the 5TSRAM cell and the 6TSRAM cell are "0" and "1", the fourth multiplexer selects the metal line B1 segment, and the metal line B1 segment is connected to the fourth switch The input end of the buffer, for the fourth switch buffer, if the bit data stored in the 5TSRAM cell and the 6TSRAM cell is "1", the data of the metal line B1 segment is input to the metal line B2 segment, for the fourth switch buffer. , If the bit data stored in the 5TSRAM cell and the 6TSRAM cell is "0", the data in the metal line B1 segment cannot pass to the metal line B2 segment. In this case, the crosspoint switch is bidirectional, and the crosspoint switch has 4 pairs of multiplexer/switch buffers, each pair of multiplexer/switch buffers is stored in 3 pairs of 5TSRAM cells and 6TSRAM cells Bit data control, a total of 12-bit data of 5TSRAM cells and 6TSRAM cells are required for the crosspoint switch. The 5TSRAM cells and the 6TSRAM cells can be distributed on the FPGA chip and located at or near the corresponding crosspoint switch. and/or switching buffers. In addition, 5TSRAM cells and 6TSRAM cells can be arranged in 5TSRAM cells and 6TSRAM cell matrices in certain blocks of the FPGA, wherein the 5TSRAM cells and 6TSRAM cells are aggregated or include a plurality of 5TSRAM cells and 6TSRAM cells. The multiplexer and/or switch buffer of the crosspoint switch. In addition, the 5TSRAM cells and the 6TSRAM cells can be arranged in one of the complex SRAM matrices in some complex blocks of the FPGA, wherein each 5TSRAM cell and 6TSRAM cell matrix aggregates or includes plural 5TSRAM cells and 6TSRAM cells for controlling the The multiplexers and/or switch buffers of the corresponding crosspoint switches at the distribution locations.

商業化標準FPGA晶片的可編程交互連接線包括位在互連接金屬線中間(或之間)一(或複數)多工器,此多工器依據5TSRAM單元及6TSRAM單元中儲存的資料從n條金屬互連接線中選擇連接一條金屬互連接線連接至多工器的輸出端,例如,金屬互連接線數目n=16,4位元資料的5TSRAM單元及6TSRAM單元需要選擇連接多工器之16輸入端的16條金屬互連接線任一條,並將所選擇的金屬互連接線連接或耦接至一連接至多工器輸出端的一金屬互連接線,從16條輸入端選擇一資料耦接、通過或連接至多工器輸出端連接的金屬線。The programmable interconnection lines of commercial standard FPGA chips include one (or a plurality of) multiplexers located among (or between) interconnecting metal lines. Select one metal interconnect wire to connect to the output of the multiplexer. For example, if the number of metal interconnect wires is n=16, 5TSRAM cells and 6TSRAM cells with 4-bit data need to be connected to 16 inputs of the multiplexer. and connect or couple the selected metal interconnect to a metal interconnect connected to the output of the multiplexer, select a data from the 16 inputs to couple, pass or Connect to the metal wire connected to the output of the multiplexer.

本發明另一方面揭露商業化標準邏輯驅動器在一多晶片封裝內,此多晶片封裝包括商業化標準複數FPGAIC晶片及一或複數非揮發性記憶體IC晶片,其中非揮發性記憶體IC晶片用於使用不同應用所需編程的邏輯計算及(或)運算功能,而商業化標準複數FPGAIC晶片分別為裸片型式、單一晶片封裝或複數晶片封裝,每一商業化標準複數FPGAIC晶片可具有共同標準特徵或規格;(1)邏輯區塊、單元或元件包括:(i)具有數目大於或等於2M,10M,20M,50M或100M的系統閘極,(ii)具有數目大於或等於64K,128K,512K,1M,4M或8M的邏輯單元或元件,(iii)硬核(hardmacros)例如包括數位訊號處理(digitalsignalprocess(DSP))區段(元件)(slices)、圖形處理單元(graphicprocessunit,(GPU))硬核、微控制器單元(microcontrollerunit(MCU))硬核、多工器硬核、乘法器硬核、加法硬核、算術邏輯單元(arithmeticlogic)硬核、移位(shift)電路硬核、比較電路硬核、浮點(floating-point)計算硬核、寄存器或觸發器(register或flip-flop)硬核及/或I/O接口硬核,其中每一硬核是通過具有固定硬接線(fixedhardwiring)來設計、編譯和實現的電路,和/或(iv)具有位元數目等於或大於1M,10M,50M,100M,200M或500M的記憶體區塊;(2)連接至每一邏輯區塊、單元或元件或運算器的輸入端的數目可大於或等於4、8、16、32、64、128或256;(3)電源電壓:此電壓可介於0.1伏特(V)至8V之間、0.1V至6V之間、0.1V至2.5V之間、0.1V至2V之間、0.1V至1.5V之間或0.1V至1V之間;(4)I/O接墊在晶片佈局、位置、數量及功能。由於FPGA晶片是商業化標準IC晶片,FPGA晶片在設計或產品數量可大量減少,因此,使用在先進半導體技術製造時所需的昂貴光罩或光罩組可大幅減少。例如,針對一特定技術可減少至3至20組光罩、3至10組光罩或3至5組光罩,因此NRE及製造的支出可大幅的降低。針對少量的晶片設計或產品,可經由少量的設計及產品使製造程序可被調整或優化,使其達到非常高的晶片製造良率。這樣的方式類似現在的先進商業化標準DRAM、或NAND快閃記憶體設計及製造程序。此外,晶片庫存管理變得簡單、高效率,因此可使FPGA晶片交貨時間變得更短,成本效益更高。Another aspect of the present invention discloses a commercial standard logic driver in a multi-chip package, the multi-chip package includes a commercial standard multiple FPGA IC chips and one or more non-volatile memory IC chips, wherein the non-volatile memory IC chips are used for For using the logic calculation and/or operation functions required for programming in different applications, the commercial standard complex FPGAIC chips are respectively bare chip type, single chip package or complex chip package, and each commercial standard complex FPGAIC chip can have a common standard Features or specifications; (1) Logic blocks, cells or elements include: (i) system gates with a number greater than or equal to 2M, 10M, 20M, 50M or 100M, (ii) a number greater than or equal to 64K, 128K, 512K, 1M, 4M or 8M logic units or elements, (iii) hard cores (hard macros) include, for example, digital signal processing (DSP) segments (elements) (slices), graphics processing units (graphic process units, (GPU) ) hard core, microcontroller unit (MCU) hard core, multiplexer hard core, multiplier hard core, addition hard core, arithmetic logic unit (arithmeticlogic) hard core, shift (shift) circuit hard core, Comparison circuit hard cores, floating-point computing hard cores, register or flip-flop hard cores, and/or I/O interface hard cores, each of which is made by having fixed hard wiring (fixed hardwiring) to design, compile and implement circuits, and/or (iv) have memory blocks with a bit number equal to or greater than 1M, 10M, 50M, 100M, 200M or 500M; (2) connected to each logic The number of inputs of blocks, units or elements or operators may be greater than or equal to 4, 8, 16, 32, 64, 128 or 256; (3) Power supply voltage: this voltage may be between 0.1 volts (V) to 8V between 0.1V and 6V, between 0.1V and 2.5V, between 0.1V and 2V, between 0.1V and 1.5V, or between 0.1V and 1V; (4) I/O pads on the chip layout , location, quantity and function. Since FPGA wafers are commercial standard IC wafers, FPGA wafers can be greatly reduced in design or product quantity, and therefore, the use of expensive masks or mask sets required in advanced semiconductor technology manufacturing can be greatly reduced. For example, it can be reduced to 3 to 20 sets of masks, 3 to 10 sets of masks, or 3 to 5 sets of masks for a particular technology, so NRE and manufacturing expenditure can be greatly reduced. For a small number of wafer designs or products, the manufacturing process can be adjusted or optimized through a small number of designs and products to achieve very high wafer fabrication yields. This approach is similar to the current advanced commercial standard DRAM, or NAND flash memory design and manufacturing process. Additionally, wafer inventory management becomes simple and efficient, resulting in shorter and more cost-effective FPGA wafer lead times.

本發明另一方面揭露商業化標準邏輯驅動器在一多晶片封裝,此多晶片封裝包括複數商業化標準FPGAIC晶片及一或複數非揮發性記憶IC晶片,其中非揮發性記憶體IC晶片用於使用不同應用所需編程的邏輯計算及(或)運算功能,而複數商業化標準FPGAIC晶片分別為裸片型式、單一晶片封裝或複數晶片封裝,商業化標準邏輯驅動器可具有共同標準特徵或規格;邏輯區塊、單元或元件包括:(i)具有數目大於或等於8M,40M,80M,200M或400M的系統閘極,(ii)具有數目大於或等於256K,512K,2M,4M,16M或32M的邏輯單元或元件,(iii)硬核(hardmacros)例如包括數位訊號處理(digitalsignalprocess(DSP))區段(元件)(slices)、圖形處理單元(graphicprocessunit,(GPU))硬核、微控制器單元(microcontrollerunit(MCU))硬核、多工器硬核、乘法器硬核、加法硬核、算術邏輯單元(arithmeticlogic)硬核、移位(shift)電路硬核、比較電路硬核、浮點(floating-point)計算硬核、寄存器或觸發器(register或flip-flop)硬核及/或I/O接口硬核,其中每一硬核是通過具有固定硬接線(fixedhardwiring)來設計、編譯和實現的電路,和/或(iv)具有位元數目等於或大於40M,200M,400M,800M或2G的記憶體區塊;(2)電源電壓:此電壓可介於0.1V至12V之間、0.1V至7V之間、0.1V至3V之間、0.1V至2V之間、0.1V至1.5V之間或0.1V至1V之間;(3)I/O接墊在商業化標準邏輯驅動器的多晶片封裝佈局、位置、數量及功能,其中邏輯驅動器可包括I/O接墊、金屬柱或凸塊,連接至一或多數(2、3、4或大於4)的USB連接埠、一或複數IEEE複數單層封裝揮發性記憶體驅動器4連接埠、一或複數乙太連接埠、一或複數音源連接埠或串連埠,例如RS-32或COM連接埠、無線收發I/O連接埠、及/或藍芽訊號收發連接埠等。邏輯驅動器也可包括通訊、連接或耦接至記憶體碟的I/O接墊、金屬柱或凸塊,連接至SATA連接埠、或PCIs連接埠,由於邏輯驅動器可商業化標準生產,使得產品庫存管理變得簡單、高效率,因此可使邏輯驅動器交貨時間變得更短,成本效益更高。Another aspect of the present invention discloses a commercial standard logic driver in a multi-chip package comprising a plurality of commercial standard FPGA IC chips and one or more non-volatile memory IC chips, wherein the non-volatile memory IC chips are used for use The logic calculation and/or operation functions required to be programmed for different applications, and the plurality of commercial standard FPGAIC chips are respectively bare chip type, single chip package or multiple chip package, and commercial standard logic drivers may have common standard features or specifications; logic A block, cell or element includes: (i) a number of system gates greater than or equal to 8M, 40M, 80M, 200M or 400M, (ii) a number greater than or equal to 256K, 512K, 2M, 4M, 16M or 32M Logic units or components, (iii) hard cores (hardmacros), for example, include digital signal processing (DSP) segments (components) (slices), graphics processing unit (graphic process unit, (GPU)) hard cores, microcontroller units (microcontrollerunit (MCU)) hard core, multiplexer hard core, multiplier hard core, addition hard core, arithmetic logic unit (arithmeticlogic) hard core, shift (shift) circuit hard core, comparison circuit hard core, floating point ( floating-point) computing hard cores, register or flip-flop hard cores, and/or I/O interface hard cores, where each hard core is designed, compiled and implemented by having fixed hardwiring Implemented circuits, and/or (iv) have memory blocks with a number of bits equal to or greater than 40M, 200M, 400M, 800M or 2G; (2) Supply voltage: this voltage can be between 0.1V and 12V, 0.1V to 7V, 0.1V to 3V, 0.1V to 2V, 0.1V to 1.5V, or 0.1V to 1V; (3) I/O pads in commercial standard logic drivers Layout, location, number, and function of a multi-die package where logic drivers may include I/O pads, metal posts, or bumps, connected to one or more (2, 3, 4, or more) USB ports, a or IEEE single-level package volatile memory driver 4 ports, one or more Ethernet ports, one or more audio source ports or serial ports such as RS-32 or COM ports, wireless transceiver I/O connections port, and/or Bluetooth signal transceiver port, etc. Logical drives may also include I/O pads, metal posts or bumps that communicate, connect or couple to memory disks, connect to SATA ports, or PCIs ports. Since logical drives can be produced in commercial standards, the product Inventory management becomes simple and efficient, resulting in shorter and more cost-effective logical drive lead times.

另一方面本發明揭露商業化標準邏輯驅動器在一多晶片封裝,其包括一專用控制晶片,此專用控制晶片係被設計用來實現及製造各種半導體技術,包括舊的或成熟的技術,例如不先進於、等於、以上、以下40nm、50nm、90nm、130nm、250nm、350nm或500nm。或者,此專用控制晶片可使用先前半導體技術,例如先進於或等於、以下或等於40nm、20nm或10nm。此專用控制晶片可使用半導體技術1世代、2世代、3世代、4世代、5世代或大於5世代以上的技術,或使用更成熟或更先進的技術在同一邏輯驅動器內商業化標準FPGAIC晶片封裝上。使用在專用控制晶片的電晶體可以是FINFET、GAAFET、全空乏絕緣上覆矽(Fullydepletedsilicon-on-insulator,FDSOI)的MOSFET、部分耗盡矽絕緣體MOSFETs或常規的MOSFET。使用在專用控制晶片的電晶體可以是從使用在同一邏輯驅動器中的商業化標準FPGAIC晶片封裝不同的,例如專用控制晶片係使用常規MOSFET,但在同一邏輯驅動器內的商業化標準FPGAIC晶片封裝可使用FINFET電晶體或GAAFET電晶體;或是專用控制晶片係使用FDSOIMOSFET,但在同一邏輯驅動器內的商業化標準FPGAIC晶片封裝可使用FINFET或GAAFET電晶體。此專用控制晶片的功能有:(1)從外部邏輯驅動器內的非揮發性IC晶片下載編程軟體原始碼;(2)從邏輯驅動器內的非揮發性IC晶片(例如是NAND或NOR快閃記憶體IC晶片)下載編程軟體原始碼、資料或資訊至用於邏輯驅動器中的商業化標準FPGA晶片上的可編程交互連接線之開關(包括通過-不通過開關閘極及/或多工器)的5TSRAM單元及6TSRAM單元;及/或從邏輯驅動器內的非揮發性IC晶片(例如是NAND或NOR快閃記憶體IC晶片)下載編程軟體原始碼、資料或資訊至用於邏輯驅動器中的商業化標準FPGA晶片上的可編程邏輯操作/功能之可編程邏輯電路、單元或區塊(包括LUTs及/或多工器)的5TSRAM單元及6TSRAM單元。或者,來自邏輯驅動器內的非揮發性IC晶片的可編程軟體原始碼、資料或資訊或結果值在取得進入在商業化標準FPGA晶片上的可編程交互連接線或可編程邏輯操作/功能之可編程邏輯電路、單元或區塊的5TSRAM單元及6TSRAM單元之前可經由專用控制晶片中的一緩衝器或驅動器。專用控制晶片的驅動器可將來自非揮發性晶片的原始碼、資料、資訊或結果值鎖存以及增加資料的頻寬。例如,來自非揮發性晶片的資料頻寬(在標準SATA)為1位元,該驅動器可鎖存此1位元資料在驅動器中每一複數SRAM單元內,及將儲存或鎖存在複數並聯SRAM單元且同時增加資料頻寬,例如等於或大於4位元頻寬、8位元頻寬、16位元頻寬、32位元頻寬或64位元頻寬,另一例子,來自非揮發性晶片的資料位元頻寬為32位元(在標準PCIs型式下),援衝器可增加資料位元頻寬至大於或等於64位元頻寬、128位元頻寬或256位元頻寬,在專用控制晶片的驅動器可將來自非揮發性晶片的資料訊號放大;(3)作為一使用者應用的輸入/輸出訊號;(4)電源管理。In another aspect, the present invention discloses commercialized standard logic drivers in a multi-chip package that includes a dedicated control chip designed to implement and manufacture various semiconductor technologies, including legacy or mature technologies such as non- Before, equal to, above, below 40nm, 50nm, 90nm, 130nm, 250nm, 350nm or 500nm. Alternatively, this dedicated control wafer may use prior semiconductor technology, such as advanced or equal to, below or equal to 40 nm, 20 nm or 10 nm. This dedicated control chip can use semiconductor technology 1st, 2nd, 3rd, 4th, 5th, or more than 5th generation, or use more mature or advanced technology to commercialize a standard FPGAIC chip package within the same logic driver superior. The transistors used in the dedicated control chip can be FINFETs, GAAFETs, fully depleted silicon-on-insulator (FDSOI) MOSFETs, partially depleted silicon-on-insulator MOSFETs or conventional MOSFETs. The transistors used in the dedicated control die can be different from the commercial standard FPGAIC die package used in the same logic driver, eg the dedicated control die uses conventional MOSFETs, but the commercial standard FPGAIC die package in the same logic driver can be Use FINFET transistors or GAAFET transistors; or use FDSOIMOSFETs for dedicated control chips, but commercial standard FPGAIC chip packages within the same logic driver can use FINFET or GAAFET transistors. The functions of this dedicated control chip are: (1) downloading the programming software source code from the non-volatile IC chip in the external logic driver; (2) from the non-volatile IC chip (such as NAND or NOR flash memory) in the logic driver body IC chip) download programming software source code, data or information to switches (including pass-no pass switch gates and/or multiplexers) on programmable interconnects on commercial standard FPGA chips used in logic drivers 5TSRAM cells and 6TSRAM cells; and/or downloading programming software source code, data or information from a non-volatile IC chip (such as a NAND or NOR flash memory IC chip) within a logic drive to commercial use in logic drives 5TSRAM cells and 6TSRAM cells of programmable logic circuits, cells or blocks (including LUTs and/or multiplexers) that implement programmable logic operations/functions on standard FPGA chips. Alternatively, programmable software source code, data or information or result values from a non-volatile IC chip within a logic driver can be accessed into programmable interconnects or programmable logic operations/functions on a commercial standard FPGA chip. Programming logic circuits, cells or blocks of 5TSRAM cells and 6TSRAM cells can be preceded by a buffer or driver in a dedicated control chip. The driver of the dedicated control chip can latch the source code, data, information or result value from the non-volatile chip and increase the bandwidth of the data. For example, the data bandwidth (in standard SATA) from a non-volatile chip is 1 bit, the driver can latch this 1-bit data in each complex SRAM cell in the driver, and store or latch the complex parallel SRAM unit and also increase the data bandwidth, such as equal to or greater than 4-bit bandwidth, 8-bit bandwidth, 16-bit bandwidth, 32-bit bandwidth or 64-bit bandwidth, another example, from non-volatile The data bit bandwidth of the chip is 32 bits (under standard PCIs), and the booster can increase the data bit bandwidth to be greater than or equal to 64 bits, 128 bits or 256 bits , the driver in the dedicated control chip can amplify the data signal from the non-volatile chip; (3) as an input/output signal of a user application; (4) power management.

本發明另一方面揭露在多晶片封裝內的商業化標準邏輯驅動器更包括一專用I/O晶片,此專用I/O晶片可使用各種半導體技術設計用來實現及製造,包括舊的或成熟的技術,例如不先進於、等於、以上、以下40nm、50nm、90nm、130nm、250nm、350nm或500nm。此專用I/O晶片可使用半導體技術1世代、2世代、3世代、4世代、5世代或大於5世代以上的技術,或使用更成熟或更先進的技術在同一邏輯驅動器內商業化標準FPGAIC晶片封裝上。使用在專用I/O晶片的電晶體可以是全空乏絕緣上覆矽(Fullydepletedsilicon-on-insulator,FDSOI)的MOSFET、部分耗盡矽絕緣體MOSFETs或常規的MOSFET。使用在專用I/O晶片的電晶體可以是從使用在同一邏輯驅動器中的商業化標準FPGAIC晶片封裝不同的,例如專用I/O晶片係使用常規MOSFET,但在同一邏輯驅動器內的商業化標準FPGAIC晶片封裝可使用FINFET電晶體;或是專用I/O晶片係使用FDSOIMOSFET,但在同一邏輯驅動器內的商業化標準FPGAIC晶片封裝可使用FINFET。專用I/O晶片所使用的電源電壓可大於或等於1.5V、2V、2.5V、3V、3.5V、4V或5V,而在同一邏輯驅動器內的商業化標準FPGAIC晶片所使用的電源電壓可小於或等於2.5V、2V、1.8V、1.5V或1V。在專用I/O晶片所使用的電源電壓可與同一邏輯驅動器內的商業化標準FPGAIC晶片封裝不同,例如,專用I/O晶片可使用的電源電壓為4V,而在同一邏輯驅動器內的商業化標準FPGAIC晶片封裝所使用用的電源電壓為1.5V,或專用IC晶片所使用的電源電壓為2.5V,而在同一邏輯驅動器內的商業化標準FPGAIC晶片封裝所使用用的電源電壓為0.75V。場效應電晶體(Field-Effect-Transistors(FETs))的閘極的氧化物層(物理)厚度可大於或等於5nm、6nm、7.5nm、10nm、12.5nm或15nm,而使用在邏輯驅動器的商業化標準FPGAIC晶片封裝內的FETs中閘極氧化物(物理)厚度可小於4.5nm、4nm、3nm或2nm。使用在專用I/O晶片中的FETs閘極氧化物厚度可與使用在同一輯運算驅動器中的商業化標準FPGAIC晶片封裝內的FETs中閘極氧化物厚度不同,例如,專用I/O晶片中的FETs閘極氧化物厚度為10nm,而使用在同一輯運算驅動器中的商業化標準FPGAIC晶片封裝內的FETs中閘極氧化物厚度為3nm,或是專用I/O晶片中的FETs閘極氧化物厚度為7.5nm,而使用在同一輯運算驅動器中的商業化標準FPGAIC晶片封裝內的FETs中閘極氧化物厚度為2nm。專用I/O晶片為邏輯驅動器提供複數輸入端、複數輸出端及ESD保護器,此專用I/O晶片提供:(i)巨大的複數驅動器、複數接收器或與外界通訊用的I/O電路;(ii)小型的複數驅動器、複數接收器或與邏輯驅動器內的複數晶片通訊用的I/O電路。複數驅動器、複數接收器或與外界通訊用的I/O電路的驅動能力、負載、輸出電容或輸入電容大於在邏輯驅動器內的小型的複數驅動器、複數接收器或與邏輯驅動器內的複數晶片通訊用的I/O電路。複數驅動器、複數接收器或與外界通訊用的I/O電路具有驅動能力、負載、輸出電容或輸入電容可介於2pF與100pF之間、2pF與50pF之間、2pF與30pF之間、2pF與20pF之間、2pF與15pF之間、2pF與10pF之間、2pF與5pF之間,或大於2pF、5pF、10pF、15pF或20pF。小型的複數驅動器、複數接收器或與邏輯驅動器內的複數晶片通訊用的I/O電路的驅動能力、負載、輸出電容或輸入電容可介於0.1pF與10pF之間、0.1pF與5pF之間、0.1pF與2pF之間,或小於10pF、5pF、3pF、2pF或1pF。專用I/O晶片上的ESD保護器尺寸是大於同一邏輯驅動器中的商業化標準FPGAIC晶片中的ESD保護器尺寸,在大的專用I/O晶片中的ESD保護器尺寸可介於0.5pF與20pF之間、0.5pF與15pF之間、0.5pF與10pF之間、0.5pF與5pF之間或0.5pF與2pF之間,或大於0.5pF、1pF、2pF、3pF、5pF或10pF,例如,一雙向I/O(或三態)接墊、I/O電路可使用在大型I/O驅動器或接收器、或用於與外界通訊(邏輯驅動器之外)通訊之用的I/O電路可包括一ESD電路、一接收器及一驅動器,且具有輸入電容或輸出電容可介於2pF與100pF之間、2pF與50pF之間、2pF與30pF之間、2pF與20pF之間、2pF與15pF之間、2pF與10pF之間或2pF與5pF之間,或大於2pF、5pF、10pF、15pF或20pF。例如,一雙向I/O(或三態)接墊、I/O電路可使用在小型I/O驅動器或接收器、或用於與邏輯驅動器內的複數晶片通訊用的I/O電路可包括一ESD電路、一接收器及一驅動器,且具有輸入電容或輸出電容可介於0.1pF與10pF之間、0.1pF與5pF之間、0.1pF與2pF之間,或小於10pF、5pF、3pF、2pF或1pF。Another aspect of the present invention discloses that a commercial standard logic driver in a multi-chip package further includes a dedicated I/O chip that can be designed and fabricated using a variety of semiconductor technologies, including legacy or mature Technology, eg, not prior to, equal to, above, below 40 nm, 50 nm, 90 nm, 130 nm, 250 nm, 350 nm, or 500 nm. This dedicated I/O chip can use semiconductor technology 1st, 2nd, 3rd, 4th, 5th, or more than 5th generation, or use more mature or advanced technology to commercialize a standard FPGAIC within the same logic driver on the chip package. The transistors used in the dedicated I/O die can be fully depleted silicon-on-insulator (FDSOI) MOSFETs, partially depleted silicon-on-insulator MOSFETs, or conventional MOSFETs. The transistors used in the dedicated I/O die can be different from the commercial standard FPGAIC die package used in the same logic driver, e.g. the dedicated I/O die uses conventional MOSFETs, but the commercial standard within the same logic driver FPGAIC chip packages can use FINFET transistors; or dedicated I/O chips use FDSOIMOSFETs, but commercial standard FPGAIC chip packages within the same logic driver can use FINFETs. The power supply voltage used by the dedicated I/O chip can be greater than or equal to 1.5V, 2V, 2.5V, 3V, 3.5V, 4V or 5V, while the power supply voltage used by a commercial standard FPGAIC chip within the same logic driver can be less than or equal to 2.5V, 2V, 1.8V, 1.5V or 1V. The power supply voltage used in the dedicated I/O die can be different from the commercial standard FPGAIC die package within the same logic driver, for example, the dedicated I/O die may use a power supply voltage of 4V, while the commercial The power supply voltage used by standard FPGAIC chip packages is 1.5V, or the power supply voltage used by dedicated IC chips is 2.5V, while the power supply voltage used by commercial standard FPGAIC chip packages within the same logic driver is 0.75V. The oxide layer (physical) thickness of the gate of Field-Effect-Transistors (FETs) can be greater than or equal to 5nm, 6nm, 7.5nm, 10nm, 12.5nm or 15nm, and is used in commercial logic drivers. The gate oxide (physical) thickness in FETs in standard FPGAIC chip packages can be less than 4.5 nm, 4 nm, 3 nm or 2 nm. The gate oxide thickness of FETs used in a dedicated I/O die can be different from the gate oxide thickness of FETs in a commercial standard FPGAIC die package used in the same arithmetic driver, for example, in a dedicated I/O die FETs have a gate oxide thickness of 10nm, compared to 3nm for FETs in commercial standard FPGAIC chip packages used in the same series of computing drivers, or for FETs in dedicated I/O chips The thickness of the gate oxide is 2nm in the FETs in the commercial standard FPGAIC chip package used in the same series computing driver. The dedicated I/O chip provides complex input terminals, complex output terminals and ESD protectors for logic drivers. This dedicated I/O chip provides: (i) huge complex drivers, complex receivers or I/O circuits for communication with the outside world ; (ii) Small complex drivers, complex receivers or I/O circuits for communicating with complex chips within a logic driver. Complex drivers, complex receivers, or I/O circuits for communication with the outside world whose drive capability, load, output capacitance, or input capacitance is greater than that of a small complex driver, complex receiver in a logic driver, or communication with a complex chip in a logic driver I/O circuit used. Complex drivers, complex receivers or I/O circuits for communication with the outside world have drive capability, load, output capacitance or input capacitance between 2pF and 100pF, 2pF and 50pF, 2pF and 30pF, 2pF and Between 20pF, between 2pF and 15pF, between 2pF and 10pF, between 2pF and 5pF, or greater than 2pF, 5pF, 10pF, 15pF or 20pF. The drive capability, load, output capacitance or input capacitance of a small complex driver, complex receiver or I/O circuit for communicating with complex chips within a logic driver can be between 0.1pF and 10pF, 0.1pF and 5pF , between 0.1pF and 2pF, or less than 10pF, 5pF, 3pF, 2pF or 1pF. The ESD protector size on a dedicated I/O die is larger than that in a commercial standard FPGAIC die in the same logic driver, and the ESD protector size in a large dedicated I/O die can be between 0.5pF and Between 20pF, 0.5pF and 15pF, 0.5pF and 10pF, 0.5pF and 5pF, or 0.5pF and 2pF, or greater than 0.5pF, 1pF, 2pF, 3pF, 5pF or 10pF, for example, a Bidirectional I/O (or tri-state) pads, I/O circuits that can be used in large I/O drivers or receivers, or I/O circuits used to communicate with the outside world (other than logic drivers) can include An ESD circuit, a receiver and a driver with input capacitance or output capacitance may be between 2pF and 100pF, between 2pF and 50pF, between 2pF and 30pF, between 2pF and 20pF, between 2pF and 15pF , between 2pF and 10pF or between 2pF and 5pF, or greater than 2pF, 5pF, 10pF, 15pF or 20pF. For example, a bidirectional I/O (or tri-state) pad, I/O circuitry may be used in a small I/O driver or receiver, or I/O circuitry used to communicate with multiple chips within a logic driver may include An ESD circuit, a receiver, and a driver with input capacitance or output capacitance may be between 0.1pF and 10pF, between 0.1pF and 5pF, between 0.1pF and 2pF, or less than 10pF, 5pF, 3pF, 2pF or 1pF.

在標準商用化邏輯驅動器中多晶片封裝的專用I/O晶片(或複數晶片)可包括一緩衝器及(或)驅動器電路作為:從邏輯驅動器內的非揮發性IC晶片下載編程軟體原始碼、資料、資訊或結果值至在商業化標準FPGA晶片上的可編程交互連接線5TSRAM單元及6TSRAM單元(開關包括通過-不通過開關閘極及多工器)及/或可編程邏輯電路、單元、元件或區塊(包括LUTs及多工器)。來自邏輯驅動器內的非揮發性IC晶片的可編程軟體原始碼、資料、資訊或結果值在取得進入在商業化標準FPGA晶片上的可編程交互連接線的5TSRAM單元及6TSRAM單元及/或位在標準商業化FPGAIC晶片上的可編程邏輯電路、單元、元件或區塊之前可經由專用I/O晶片中的一緩衝器或驅動器。專用I/O晶片的驅動器可將來自非揮發性晶片的資料鎖存以及增加資料的頻寬。例如,來自非揮發性晶片的資料頻寬(在標準SATA)為1位元,該驅動器可鎖存此1位元資料在驅動器中每一複數SRAM單元內,及將儲存或鎖存在複數並聯SRAM單元且同時增加資料頻寬,例如等於或大於4位元頻寬、8位元頻寬、16位元頻寬、32位元頻寬或64位元頻寬,另一例子,來自非揮發性晶片的資料位元頻寬為32位元(在標準PCIs型式下),援衝器可增加資料位元頻寬至大於或等於64位元頻寬、128位元頻寬或256位元頻寬,在專用I/O晶片的驅動器可將來自非揮發性晶片的資料訊號放大。Dedicated I/O chips (or multiple chips) in a multi-chip package in standard commercial logic drivers may include a buffer and/or driver circuit for: downloading programming software source code from a non-volatile IC chip within the logic driver, Data, information or result values to programmable interconnect 5TSRAM cells and 6TSRAM cells (switches including pass-through switch gates and multiplexers) and/or programmable logic circuits, cells, Components or blocks (including LUTs and multiplexers). Programmable software source code, data, information, or result values from non-volatile IC chips within the logic driver are obtained into 5TSRAM cells and 6TSRAM cells and/or bits in programmable interconnects on commercial standard FPGA chips. Programmable logic circuits, cells, elements or blocks on a standard commercial FPGAIC die can previously be routed through a buffer or driver in a dedicated I/O die. Drivers for dedicated I/O chips can latch data from non-volatile chips and increase data bandwidth. For example, the data bandwidth (in standard SATA) from a non-volatile chip is 1 bit, the driver can latch this 1-bit data in each complex SRAM cell in the driver, and store or latch the complex parallel SRAM unit and at the same time increase the data bandwidth, such as equal to or greater than 4-bit bandwidth, 8-bit bandwidth, 16-bit bandwidth, 32-bit bandwidth or 64-bit bandwidth, another example, from non-volatile The data bit bandwidth of the chip is 32 bits (under standard PCIs), and the booster can increase the data bit bandwidth to be greater than or equal to 64 bits, 128 bits or 256 bits , the driver in the dedicated I/O chip can amplify the data signal from the non-volatile chip.

商業化標準邏輯驅動器中的多晶片封裝的專用I/O晶片(或複數晶片)包括I/O電路或複數接墊(或複數微銅金屬柱或凸塊)作為連接或耦接至一或複數USB連接埠、一或複數IEEE1394複數單層封裝揮發性記憶體驅動器4連接埠、一或複數乙太網路連接埠、一或複數音源連接埠或串接埠,例如是RS-232或COM連接埠、無線訊號收發I/Os及(或)藍芽訊號收發連接埠,此專用I/O晶片包括複數I/O電路或複數接墊(或複數微銅金屬柱或凸塊)作為連接或耦接至SATA連接埠或PCIs的連接埠,作為通訊、連接或耦接至記憶體碟之用。Dedicated I/O die (or dies) in a multi-die package in commercial standard logic drives that include I/O circuits or pads (or micro-copper metal posts or bumps) as connections or couplings to one or more USB port, one or more IEEE1394 single-level package volatile memory driver 4 port, one or more Ethernet port, one or more audio source port or serial port, such as RS-232 or COM connection port, wireless signal transceiving I/Os and/or Bluetooth signal transceiving port, this dedicated I/O chip includes a plurality of I/O circuits or a plurality of pads (or a plurality of micro-copper metal pillars or bumps) as connections or couplings Connect to SATA ports or PCIs ports for communication, connection or coupling to memory drives.

本發明另一方面揭露在多晶片封裝內的商業化標準邏輯驅動器更包括一專用控制晶片及一專用I/O晶片,此專用控制晶片及專用I/O晶片在單一晶片上所提供功能如上述所揭露之內容相同,此專用控制晶片及專用I/O晶片可使用各種半導體技術設計用來實現及製造,包括舊的或成熟的技術,例如不先進於、等於、以上、以下40nm、50nm、90nm、130nm、250nm、350nm或500nm。此專用控制晶片及專用I/O晶片可使用半導體技術1世代、2世代、3世代、4世代、5世代或大於5世代以上的技術,或使用更成熟或更先進的技術在同一邏輯驅動器內商業化標準FPGAIC晶片封裝上。使用在專用控制晶片及專用I/O晶片的電晶體可以是FINFET、GAAFET、FDSOIMOSFET、部分耗盡矽絕緣體MOSFETs或常規的MOSFET,使用在專用控制晶片及專用I/O晶片的電晶體可以是從使用在同一邏輯驅動器中的商業化標準FPGAIC晶片封裝不同的,例如專用控制晶片及專用I/O晶片係使用常規MOSFET,但在同一邏輯驅動器內的商業化標準FPGAIC晶片封裝可使用FINFET電晶體或GAAFET電晶體,或是專用控制晶片及專用I/O晶片係使用FDSOIMOSFET,而在同一邏輯驅動器內的商業化標準FPGAIC晶片封裝可使用FINFET或GAAFET,針對在I/O晶片內的複數小型I/O電路,也就是小型驅動器或接收器、及大型I/O電路,也就是大型驅器或接收器皆可應用上述所揭露的專用控制晶片及專用I/O晶片的規範及內容。Another aspect of the present invention discloses that a commercial standard logic driver in a multi-chip package further includes a dedicated control chip and a dedicated I/O chip. The dedicated control chip and the dedicated I/O chip provide functions as described above on a single chip As disclosed, this dedicated control chip and dedicated I/O chip can be designed to be implemented and fabricated using a variety of semiconductor technologies, including older or mature technologies, such as less advanced than, equal to, above, below 40nm, 50nm, 90nm, 130nm, 250nm, 350nm or 500nm. The dedicated control chip and dedicated I/O chip can use semiconductor technology 1st, 2nd, 3rd, 4th, 5th, or more than 5th generation, or use more mature or advanced technology within the same logical drive Commercially available on standard FPGAIC chip packages. The transistors used in the dedicated control chips and dedicated I/O chips can be FINFETs, GAAFETs, FDSOIMOSFETs, partially depleted silicon insulator MOSFETs or conventional MOSFETs. The transistors used in the dedicated control chips and dedicated I/O chips can be from The commercial standard FPGAIC chip package used in the same logic driver is different, for example, the dedicated control chip and the dedicated I/O chip use conventional MOSFETs, but the commercial standard FPGAIC chip package in the same logic driver can use FINFET transistors or GAAFET transistors, or dedicated control chips and dedicated I/O chips use FDSOIMOSFETs, while commercial standard FPGAIC chip packages within the same logic driver can use FINFETs or GAAFETs for multiple small I/O chips within the I/O chip. The O circuit, that is, the small driver or receiver, and the large I/O circuit, that is, the large driver or receiver can all apply the specifications and contents of the dedicated control chip and the dedicated I/O chip disclosed above.

本發明另一方面揭露在多晶片封裝內的商業化標準邏輯驅動器,此商業化標準邏輯驅動器包括複數商業化標準FPGAIC晶片及一或複數非揮發性IC晶片,經由現場編程用在使用各種不同應用需要的邏輯、計算及(或)處理功能,其中一或複數非揮發性記憶體IC晶片包括在裸片型式或複數晶片封裝型式的一(或複數)NAND快閃晶片、及/或一NOR快閃晶片,每一NAND快閃晶片可具有標準記憶體密度、容量或尺寸大於或等於64Mb、512Mb、1Gb、4Gb、16Gb、128Gb、256Gb或512Gb,其中”b”代表位元,每一(或多個)NOR快閃晶片具有的標準記憶體密度、內容或尺寸大於或等於1Mb,4Mb,16Mb,64Mb,128Mb,256Mb,512Mb,1Gb,4Gb或16Gb,其中”b”代表位元,NAND快閃晶片可使用先進NAND快閃技術或下一世代製程技術或設計及製造,例如,技術先進於或等於45nm、28nm、20nm、16nm及(或)10nm,其中先進的NAND快閃技術可包括在平面快閃記憶體(2D-NAND)結構或立體快閃記憶體(3DNAND)結構中使用單一單層式儲存(SingleLevelCells(SLC))技術或多層式儲存(multiplelevelcells(MLC))技術(例如,雙層儲存(DoubleLevelCellsDLC)或三層儲存(tripleLevelcellsTLC))。3DNAND結構可包括複數NAND記憶單元的堆疊層(或級),例如大於或等於4、8、16、32NAND記憶單元的堆疊層,一個(或多個)非揮發性記憶體IC晶片包括上述或以下所揭露之NAND快閃晶片及/或NOR快閃晶片,且該些非揮發性記憶體IC晶片在邏輯驅動器中係用作為:(a)儲存用於配置在邏輯驅動器中FPGA晶片的資料或資訊,在邏輯驅動器中的NAND快閃晶片及/或NOR快閃晶片係用作為儲存在邏輯驅動器中FPGA晶片的一邏輯電路(包括用於LUTs的結果值及用於配置邏輯電路的多工器的資料,以從對應的LUTs中選擇結果值)的配置資料,及/或儲存在邏輯驅動器中FPGA晶片用於開關的編程碼(包括用於控制通過/不通過閘極及在開關中的多工器之資料);(b)儲存用於在邏輯驅動器中FPGA晶片的操作所需的資料或資訊,其中該FPGA晶片己配置有特定操作或功能,在一實施例中,該邏輯驅動器可包括NOR快閃晶片及NAND快閃晶片,其中NOR快閃晶片係用作為儲存資料或資訊,用於配置該FPGA晶片,而NAND快閃晶片用於為儲存資料或資訊,用於FPGA晶片的操作。Another aspect of the present invention discloses a commercial standard logic driver in a multi-chip package, the commercial standard logic driver comprising a plurality of commercial standard FPGA IC chips and one or more non-volatile IC chips, through field programming for use in various applications The required logic, computing and/or processing functions, wherein one or more non-volatile memory IC chips include one (or more) NAND flash chips, and/or a NOR flash chip in bare die type or chip package type Flash chips, each NAND flash chip may have a standard memory density, capacity or size greater than or equal to 64Mb, 512Mb, 1Gb, 4Gb, 16Gb, 128Gb, 256Gb or 512Gb, where "b" stands for bit, each (or Multiple) NOR flash chips with standard memory density, content or size greater than or equal to 1Mb, 4Mb, 16Mb, 64Mb, 128Mb, 256Mb, 512Mb, 1Gb, 4Gb or 16Gb, where "b" stands for bit, NAND flash Flash chips may be designed and manufactured using advanced NAND flash technology or next-generation process technology, eg, technology advanced at or equal to 45nm, 28nm, 20nm, 16nm and/or 10nm, where advanced NAND flash technology may be included in Single-level storage (Single Level Cells (SLC)) technology or multi-level storage (multiple level cells (MLC)) technology (for example, dual Layer storage (DoubleLevelCellsDLC) or triple storage (tripleLevelcellsTLC)). A 3DNAND structure may include a stack of layers (or levels) of multiple NAND memory cells, such as stacks of greater than or equal to 4, 8, 16, 32 NAND memory cells, one (or more) non-volatile memory IC chips including the above or below The disclosed NAND flash chips and/or NOR flash chips, and the non-volatile memory IC chips are used in logic drivers to: (a) store data or information for FPGA chips configured in the logic drivers , the NAND flash chip and/or NOR flash chip in the logic driver is used as a logic circuit (including the result values for LUTs and the multiplexer used to configure the logic circuit) stored in the FPGA chip in the logic driver data to select the resulting value from the corresponding LUTs) configuration data, and/or the FPGA chip's programming code stored in the logic driver for the switches (including those used to control pass/fail gates and multiplexing in the switches) (b) storing data or information required for the operation of the FPGA chip in the logic driver, wherein the FPGA chip has been configured with a specific operation or function, in one embodiment, the logic driver may include a NOR A flash chip and a NAND flash chip, wherein the NOR flash chip is used for storing data or information for configuring the FPGA chip, and the NAND flash chip is used for storing data or information for the operation of the FPGA chip.

本發明另一方面揭露在多晶片封裝內的商業化標準邏輯驅動器,此商業化標準邏輯驅動器包括複數商業化標準FPGAIC晶片及一或複數非揮發性IC晶片,經由現場編程用在使用各種不同應用需要的邏輯、計算及(或)處理功能,其中一或複數非揮發性記憶體IC晶片包括在裸片型式或複數晶片封裝型式的一(或複數)NAND快閃晶片,商業化標準邏輯驅動器可具有一非揮發性晶片或複數非揮發性晶片,其記憶體密度、容量或尺寸大於或等於8MB、64MB、128GB、512GB、1GB、4GB、16GB、64GB、256GB或512GB,其中”B”代表8位元。Another aspect of the present invention discloses a commercial standard logic driver in a multi-chip package, the commercial standard logic driver comprising a plurality of commercial standard FPGA IC chips and one or more non-volatile IC chips, through field programming for use in various applications Logic, computing and/or processing functions required where one or more non-volatile memory IC chips include one (or more) NAND flash chips in bare die or chip package style, commercial standard logic drivers can be Has a non-volatile chip or a plurality of non-volatile chips with a memory density, capacity or size greater than or equal to 8MB, 64MB, 128GB, 512GB, 1GB, 4GB, 16GB, 64GB, 256GB or 512GB, where "B" represents 8 bits.

本發明另一方面揭露在多晶片封裝內的商業化標準邏輯驅動器,此商業化標準邏輯驅動器包括複數商業化標準FPGAIC晶片、專用I/O晶片、專用控制晶片及一或複數非揮發性記憶體IC晶片,經由現場編程用在使用各種不同應用需要的邏輯、計算及(或)處理功能,在邏輯驅動器中的複數晶片之間的通訊及邏輯驅動器與外部或外界(邏輯驅動器之外)之間的通訊的揭露內容如下:(1)專用I/O晶片可直接與其它晶片或邏輯驅動器內的晶片通訊,及專用I/O晶片也可直接與外部電路或外界電路(邏輯驅動器之外)直接通訊,專用I/O晶片包括二種複數I/O電路型式,一種型式具有大的驅動能力、大的負載、大的輸出電容或大的輸入電容作為與邏輯驅動器之外的外部電路或外界電路通訊,而另一型式具有小的驅動能力、小的負載、小的輸出電容或小的輸入電容可直接與邏輯驅動器內的其它晶片或複數晶片通訊;(2)複數FPGAIC晶片可單一直接與邏輯驅動器內的其它晶片或複數晶片通訊,但是不與邏輯驅動器之外的外部電路或外界電路通訊,其中複數FPGAIC晶片內的I/O電路可間接與邏輯驅動器之外的外部電路或外界電路經由專用I/O晶片中的I/O電路通訊,其中專用I/O晶片中的I/O電路的驅動能力、負載、輸出電容或輸入電容明顯大於複數FPGAIC晶片中的I/O電路,其中複數FPGAIC晶片中的I/O電路(例如,輸出電容或輸入電容小於2pF)連接或耦接至專用I/O晶片中的大型的I/O電路(例如,輸入電容或輸出電容大於3pF)作為與邏輯驅動器之外的外部電路或外界電路通訊;(3)專用控制晶片可單一直接與邏輯驅動器內的其它晶片或複數晶片通訊,但是不與邏輯驅動器之外的外部電路或外界電路通訊,其中專用控制晶片內的I/O電路可間接與邏輯驅動器之外的外部電路或外界電路經由專用I/O晶片中的I/O電路通訊,其中專用I/O晶片中的I/O電路的驅動能力、負載、輸出電容或輸入電容明顯大於專用控制晶片中的I/O電路,此外,專用控制晶片可直接與邏輯驅動器內的其它晶片或複數晶片通訊,也可與邏輯驅動器之外的外部電路或外界電路通訊;(4)一或複數非揮發性記憶體IC晶片可直接與邏輯驅動器內的其它晶片或複數晶片通訊,但不與邏輯驅動器之外的外部電路或外界電路通訊,其中一或複數非揮發性記憶體IC晶片中的一I/O電路可間接與邏輯驅動器之外的外部電路或外界電路經由專用I/O晶片中的I/O電路通訊,其中專用I/O晶片中的I/O電路的驅動能力、負載、輸出電容或輸入電容明顯大於I/O電路中的非揮發性記憶體IC晶片,此外,一或複數非揮發性記憶體IC晶片可直接與邏輯驅動器內的其它晶片或複數晶片通訊,也可與邏輯驅動器之外的外部電路或外界電路通訊。上文中”物件X直接與物件Y通訊”意即是物件X(例如是邏輯驅動器中的第一晶片)直接與物件Y通訊或耦接不需要經由或通過邏輯驅動器中的任一晶片。上文中”物件X不直接與物件Y通訊”意即是物件X(例如邏輯驅動器中的第一晶片)可經由邏輯驅動器中的任一晶片中複數晶片與物件Y間接地通訊或耦接,而”物件X不與物件Y不通訊”意即是物件X(例如是邏輯驅動器中的第一晶片)不直接或間接與物件Y通訊或耦接。Another aspect of the present invention discloses a commercial standard logic driver in a multi-chip package, the commercial standard logic driver comprising a plurality of commercial standard FPGAIC chips, a dedicated I/O chip, a dedicated control chip, and one or more non-volatile memories IC chips, used through field programming to use logic, computing and/or processing functions required by various applications, communication between multiple chips in a logic driver and between the logic driver and the outside or outside (outside the logic driver) The disclosure of the communication is as follows: (1) The dedicated I/O chip can directly communicate with other chips or chips in the logic driver, and the dedicated I/O chip can also directly communicate with external circuits or external circuits (besides the logic driver) directly Communication, dedicated I/O chip includes two types of complex I/O circuits, one type has large driving capacity, large load, large output capacitance or large input capacitance as an external circuit or external circuit other than logic driver Communication, and another type with small drive capability, small load, small output capacitance or small input capacitance can directly communicate with other chips or multiple chips in the logic driver; (2) Multiple FPGAIC chips can directly communicate with logic Communication with other chips or multiple chips in the driver, but not with external circuits or external circuits outside the logic driver, wherein the I/O circuits in the plurality of FPGAIC chips can indirectly communicate with external circuits or external circuits outside the logic driver via dedicated The I/O circuit communication in the I/O chip, wherein the drive capability, load, output capacitance or input capacitance of the I/O circuit in the dedicated I/O chip is significantly larger than that of the I/O circuit in the complex FPGAIC chip, where the complex FPGAIC I/O circuits in the die (eg, output or input capacitance less than 2pF) are connected or coupled to large I/O circuits in the dedicated I/O die (eg, input or output capacitance greater than 3pF) as AND logic (3) The dedicated control chip can directly communicate with other chips or multiple chips in the logic driver, but does not communicate with external circuits or external circuits outside the logic driver, among which the dedicated control chip The I/O circuit in the chip can communicate indirectly with the external circuit outside the logic driver or the external circuit via the I/O circuit in the dedicated I/O chip, wherein the driving capability of the I/O circuit in the dedicated I/O chip, The load, output capacitance or input capacitance is significantly larger than the I/O circuit in the dedicated control chip. In addition, the dedicated control chip can communicate directly with other chips or multiple chips in the logic driver, and can also communicate with external circuits outside the logic driver or outside circuit communication; (4) one or more non-volatile memory IC chips can communicate directly with other chips or multiple chips in the logic driver, but not with external circuits or external circuits outside the logic driver, one or more of which are not An I/O circuit in the volatile memory IC chip can communicate indirectly with external circuits outside the logic driver or external circuits via the I/O circuit in the dedicated I/O chip, wherein The drive capability, load, output capacitance, or input capacitance of the I/O circuit in the dedicated I/O chip is significantly greater than that of the non-volatile memory IC chip in the I/O circuit, in addition, one or more non-volatile memory IC chips It can communicate directly with other chips or multiple chips in the logic driver, and can also communicate with external circuits or external circuits outside the logic driver. The above "object X communicates directly with object Y" means that object X (eg, the first chip in the logical driver) communicates or couples directly with object Y without going through or through any chip in the logical driver. The above "object X does not communicate directly with object Y" means that object X (eg, the first chip in a logical drive) can communicate or couple indirectly with object Y via a plurality of chips in any chip in the logical drive, and "Object X does not communicate with Object Y" means that Object X (eg, the first chip in a logical drive) does not communicate or couple with Object Y directly or indirectly.

本發明另一方面揭露在多晶片封裝內的商業化標準邏輯驅動器,商業化標準邏輯驅動器包括複數商業化標準FPGAIC晶片、專用控制晶片及專用I/O晶片及一或複數非揮發性記憶體IC晶片,經由現場編程用在使用各種不同應用需要的邏輯、計算及(或)處理功能,邏輯驅動器內的複數晶片之間的通訊及邏輯驅動器內的每一晶片與邏輯驅動器之外的外部電路或外界電路之間的通訊如以下所示:(1)專用控制晶片及專用I/O晶片直接與邏輯驅動器內的其它晶片或複數晶片通訊,也可與邏輯驅動器之外的外部電路或外界電路通訊,此專用控制晶片及專用I/O晶片包括複數I/O電路的二種型式,一種型式具有大的驅動能力、大的負載、大的輸出電容或大的輸入電容作為與邏輯驅動器之外的外部電路或外界電路通訊,而另一型式具有小的驅動能力、小的負載、小的輸出電容或小的輸入電容可直接與邏輯驅動器內的其它晶片或複數晶片通訊;(2))複數FPGAIC晶片可單一直接與邏輯驅動器內的其它晶片或複數晶片通訊,但是不與邏輯驅動器之外的外部電路或外界電路通訊,其中複數FPGAIC晶片內的I/O電路可間接與邏輯驅動器之外的外部電路或外界電路經由專用控制晶片及專用I/O晶片中的I/O電路,其中專用控制晶片及專用I/O晶片中的I/O電路的驅動能力、負載、輸出電容或輸入電容明顯大於複數FPGAIC晶片中的I/O電路,其中複數FPGAIC晶片中的I/O電路;(3)一或複數非揮發性記憶體IC晶片可單一直接與邏輯驅動器內的其它晶片或複數晶片通訊,但不與邏輯驅動器之外的外部電路或外界電路通訊,其中一或複數非揮發性記憶體IC晶片中的一I/O電路可間接與邏輯驅動器之外的外部電路或外界電路經由專用控制晶片及專用I/O晶片中的I/O電路通訊,其中專用控制晶片及專用I/O晶片中的I/O電路的驅動能力、負載、輸出電容或輸入電容明顯大於I/O電路中的非揮發性記憶體IC晶片,此外,一或複數非揮發性記憶體IC晶片可直接與邏輯驅動器內的其它晶片或複數晶片通訊,也可與邏輯驅動器之外的外部電路或外界電路通訊。”物件X直接與物件Y通訊”、”物件X不直接與物件Y通訊”及”物件X不與物件Y通訊”等敍述文字,己揭露於及定義於之前段落的內容中,此些敍述文字具有相同的意義。Another aspect of the present invention discloses a commercial standard logic driver in a multi-chip package, the commercial standard logic driver includes a plurality of commercial standard FPGA IC chips, a dedicated control chip and a dedicated I/O chip, and one or more non-volatile memory ICs Chips, programmed in the field to use the logic, computation and/or processing functions required by various applications, communication between multiple chips within a logic driver and external circuits outside each chip within the logic driver and the logic driver or The communication between external circuits is as follows: (1) The dedicated control chip and the dedicated I/O chip communicate directly with other chips or multiple chips in the logic driver, and can also communicate with external circuits or external circuits outside the logic driver. , this dedicated control chip and dedicated I/O chip include two types of complex I/O circuits, one type has large driving capacity, large load, large output capacitance or large input capacitance as a connection with logic driver. External circuit or external circuit communication, and another type with small drive capability, small load, small output capacitance or small input capacitance can communicate directly with other chips or multiple chips in the logic driver; (2)) Complex FPGAIC The chip can communicate directly with other chips or multiple chips in the logic driver, but not with external circuits or external circuits outside the logic driver, wherein the I/O circuits in the plurality of FPGAIC chips can indirectly communicate with external circuits outside the logic driver. The circuit or external circuit passes through the I/O circuit in the dedicated control chip and the dedicated I/O chip, wherein the drive capacity, load, output capacitance or input capacitance of the I/O circuit in the dedicated control chip and the dedicated I/O chip is significantly greater than I/O circuits in a plurality of FPGAIC chips, wherein the I/O circuits in a plurality of FPGAIC chips; (3) One or more non-volatile memory IC chips can communicate directly with other chips in a logic driver or a plurality of chips, but Do not communicate with external circuits or external circuits other than the logic driver, wherein an I/O circuit in one or more non-volatile memory IC chips can indirectly communicate with the external circuits or external circuits other than the logic driver through a dedicated control chip and The I/O circuit communication in the dedicated I/O chip, in which the drive capability, load, output capacitance or input capacitance of the dedicated control chip and the I/O circuit in the dedicated I/O chip are significantly greater than the non-volatile in the I/O circuit In addition, one or more non-volatile memory IC chips can communicate directly with other chips or chips in the logic driver, and can also communicate with external circuits or external circuits outside the logic driver. The descriptions "object X communicates directly with object Y", "object X does not communicate directly with object Y" and "object X does not communicate with object Y" have been disclosed and defined in the content of the preceding paragraphs. have the same meaning.

本發明另一方面揭露一開發套件或工具,作為一使用者或開發者使用(經由)商業化標準邏輯驅動器實現一創新技術或應用技術,具有創新技術、新應用概念或想法的使用者或開發者可購買商業化標準邏輯驅動器及使用相對應開發套件或工具進行開發,或軟體原始碼、資料或程式而加載至商業化標準邏輯驅動器中的複數非揮發性記憶體晶片中,以作為實現他(或她)的創新技術或應用概念想法,在多晶片封裝結構中的標準商業化邏輯驅動器包括多個標準商業化FPGAIC晶片及一(或多個)非揮發性IC晶片,其中一(或多個)非揮發性IC晶片包括裸片格式或多晶片閃存封裝格式的一NAND快閃晶片或/及一NOR快閃晶片,使用者的軟體原始碼、資料、資訊、指令或程序(有關於創新或應用)加載至及儲存至在標準商業化邏輯驅動器中的一(或多個)非揮發性IC晶片中,且可被下載或耦接至可編程交互連接線(開關包括通過/不通過開關閘極及多工器)的5T或6TSRAM單元中及/或下載或耦接至在標準商業化邏輯驅動器中的標準商業化FPGAIC晶片上的可編程邏輯電路、單元、元件或區塊(包括LUTs及多工器),經由結合在標準商業化邏輯驅動器中一晶片封裝結構中的FPGA晶片及非揮發性IC晶片,可為當前的ASICIC晶片設計、製造和業務提供了一種替代方案,具有加載及儲存軟體原始碼、資料、資訊、指令或程式(有關創新技術或應用)之邏輯驅動器中的晶片封裝結構可被販賣,像是一ASICIC晶片一樣。Another aspect of the present invention discloses a development kit or tool, as a user or developer using (via) a commercial standard logic driver to implement an innovative technology or application technology, a user or developer with innovative technology, new application concepts or ideas Users can purchase commercial standard logic drives and use corresponding development kits or tools for development, or software source code, data or programs are loaded into a plurality of non-volatile memory chips in commercial standard logic drives to implement other (or her) innovative technology or application concept idea, a standard commercial logic driver in a multi-chip package structure comprising a plurality of standard commercial FPGA IC chips and one (or more) non-volatile IC chips, one (or more) of which A) non-volatile IC chip including a NAND flash chip or/and a NOR flash chip in bare chip format or multi-chip flash memory package format, user's software source code, data, information, instructions or programs (related to innovation or application) is loaded and stored into one (or more) non-volatile IC chips in standard commercial logic drivers, and can be downloaded or coupled to programmable interconnects (switches include pass/no-go switches) Programmable logic circuits, cells, elements or blocks (including LUTs) in 5T or 6T SRAM cells of gates and multiplexers and/or downloaded or coupled to standard commercial FPGA IC chips in standard commercial logic drivers and multiplexers), provides an alternative to current ASICIC chip design, manufacturing, and business by incorporating FPGA chips and non-volatile IC chips in a one-chip package structure in standard commercial logic drivers, with load and Chip packages in logic drives storing software source code, data, information, instructions or programs (relating to innovative technologies or applications) can be sold as an ASICIC chip.

本發明另一方面揭露在一多晶片封裝中的邏輯驅動器型式,邏輯驅動器型式更包括一創新的ASIC晶片或COT晶片(以下簡稱IAC),作為知識產權(IntellectualProperty(IP))電路、特殊應用(,ApplicationSpecific(AS))電路、類比電路、混合訊號(mixed-modesignal)電路、射頻(RF)電路及(或)收發器、接收器、收發電路等。IAC晶片可使用各種半導體技術設計用來實現及製造,包括舊的或成熟的技術,例如不先進於、等於、以上、以下40nm、50nm、90nm、130nm、250nm、350nm或500nm。此IAC晶片可以使用先進於或等於、以下或等於40nm、20nm或10nm。此IAC晶片可使用半導體技術1世代、2世代、3世代、4世代、5世代或大於5世代以上的技術,或使用更成熟或更先進的技術在同一邏輯驅動器內商業化標準FPGAIC晶片封裝上。此IAC晶片可使用半導體技術1世代、2世代、3世代、4世代、5世代或大於5世代以上的技術,或使用更成熟或更先進的技術在同一邏輯驅動器內商業化標準FPGAIC晶片封裝上。使用在IAC晶片的電晶體可以是FINFET、GAAFET、FDSOIMOSFET、PDSOIMOSFET或常規的MOSFET。使用在IAC晶片的電晶體可以是從使用在同一邏輯驅動器中的商業化標準FPGAIC晶片封裝不同的,例如IAC晶片係使用常規MOSFET,但在同一邏輯驅動器內的商業化標準FPGAIC晶片封裝可使用FINFET電晶體;或是IAC晶片係使用FDSOIMOSFET,但在同一邏輯驅動器內的商業化標準FPGAIC晶片封裝可使用FINFET或GAAFET。IAC晶片可使用各種半導體技術設計用來實現及製造,包括舊的或成熟的技術,例如不先進於、等於、以上、以下40nm、50nm、90nm、130nm、250nm、350nm或500nm,而且NRE成本係比現有或常規的ASIC或COT晶片使用先進IC製程或下一製程世代設計及製造上便宜,例如比30nm、20nm或10nm的技術更先進的技術便宜。使用先進IC製程或下一製程世代設計一現有或常規的ASIC晶片或COT晶片,例如,比30nm、20nm或10nm的技術設計,需超過美金5百萬元、美金一千萬元、美金2千萬元或甚至超過美金5千萬元或美金1億元。如ASIC晶片或COTIC晶片的16奈米技術或製程世代所需的光罩的成本就超過美金2百萬元、美金5百萬元或美金1千萬元,若使用邏輯驅動器(包括IAC晶片)設計實現相同或相似的創新或應用,及使用較舊的或較不先進的技術或製程世代可將此NRE成本費用降低小於美金1仟萬元、美金7百萬元、美金5百萬元、美金3百萬元或美金1百萬元。Another aspect of the present invention discloses a logic driver type in a multi-chip package, and the logic driver type further includes an innovative ASIC chip or COT chip (hereinafter referred to as IAC) as an intellectual property (IP) circuit, special application ( , ApplicationSpecific (AS)) circuits, analog circuits, mixed-signal (mixed-modesignal) circuits, radio frequency (RF) circuits and (or) transceivers, receivers, transceiver circuits, etc. IAC wafers can be designed for implementation and fabrication using a variety of semiconductor technologies, including older or mature technologies, such as less than, equal to, above, below 40 nm, 50 nm, 90 nm, 130 nm, 250 nm, 350 nm, or 500 nm. This IAC wafer can be used earlier than or equal to, less than or equal to 40 nm, 20 nm or 10 nm. This IAC chip can use semiconductor technology 1st, 2nd, 3rd, 4th, 5th, or more than 5th generation, or use more mature or advanced technology on a commercial standard FPGAIC chip package within the same logic driver . This IAC chip can use semiconductor technology 1st, 2nd, 3rd, 4th, 5th, or more than 5th generation, or use more mature or advanced technology on a commercial standard FPGAIC chip package within the same logic driver . The transistors used in the IAC wafers can be FINFETs, GAAFETs, FDSOIMOSFETs, PDSOIMOSFETs or conventional MOSFETs. The transistors used in the IAC die can be different from the commercial standard FPGAIC die packages used in the same logic driver, eg the IAC die uses conventional MOSFETs, but the commercial standard FPGAIC die packages within the same logic driver can use FINFETs Transistors; or IAC chips use FDSOIMOSFETs, but commercial standard FPGAIC chip packages within the same logic driver can use FINFETs or GAAFETs. IAC wafers can be designed for implementation and fabrication using a variety of semiconductor technologies, including older or mature technologies such as less than, equal to, above, below 40nm, 50nm, 90nm, 130nm, 250nm, 350nm or 500nm, and NRE costs are Cheaper to design and manufacture than existing or conventional ASIC or COT wafers using advanced IC processes or next process generations, eg less advanced technologies than 30nm, 20nm or 10nm technologies. Designing an existing or conventional ASIC chip or COT chip using advanced IC process or next process generation, for example, more than US$5 million, US$10 million, US$2,000 compared to 30nm, 20nm or 10nm technology design 10,000 yuan or even more than US$50 million or US$100 million. 16nm technology or process generation such as ASIC chips or COTIC chips requires masks in excess of $2 million, $5 million or $10 million if logic drivers (including IAC chips) are used. Designs implementing the same or similar innovations or applications, and using older or less advanced technology or process generations can reduce this NRE cost by less than $10 million, $7 million, $5 million, USD 3 million or USD 1 million.

對於相同或類似的創新技術或應用,與現有常規邏輯運算ASICIC晶片及COTIC晶片的開發比較,開發IAC晶片的NRE成本可被降低大於2倍、5倍、10倍、20倍或30倍。For the same or similar innovative technologies or applications, the NRE cost of developing IAC chips can be reduced by more than 2 times, 5 times, 10 times, 20 times or 30 times compared to the development of existing conventional logic operation ASICIC chips and COTIC chips.

本發明另一方面揭露在多晶片封裝中的邏輯驅動器型式可包括整合上述專用控制晶片及IAC晶片功能的單一專用控制及IAC晶片(以下簡稱DCIAC晶片),DCIAC晶片現今包括控制電路、智慧產權電路、特殊應用(AS)電路、類比電路、混合訊號電路、RF電路及(或)訊號發射電路、訊號收發電路等,DCIAC晶片可使用各種半導體技術設計用來實現及製造,包括舊的或成熟的技術,例如不先進於、等於、以上、以下40nm、50nm、90nm、130nm、250nm、350nm或500nm。此外,DCIAC晶片可以使用先進於或等於、以下或等於40nm、20nm或10nm。此DCIAC晶片可使用半導體技術1世代、2世代、3世代、4世代、5世代或大於5世代以上的技術,或使用更成熟或更先進的技術在同一邏輯驅動器內複數商業化標準FPGAIC晶片上。使用在DCIAC晶片的電晶體可以是FINFET或GAAFET、FDSOIMOSFET、部分耗盡矽絕緣體MOSFETs或常規的MOSFET,使用在DCIAC晶片的電晶體可以是從使用在同一邏輯驅動器中的商業化標準FPGAIC晶片封裝不同的,例如DCIAC晶片係使用常規MOSFET,但在同一邏輯驅動器內的商業化標準FPGAIC晶片封裝可使用FINFET電晶體,而在同一邏輯驅動器內的商業化標準FPGAIC晶片封裝可使用FINFET或GAAFET。或是DCIAC晶片係使用FDSOIMOSFET,而在同一邏輯驅動器內的商業化標準FPGAIC晶片封裝可使用FINFET或GAAFET。DCIAC晶片可使用各種半導體技術設計用來實現及製造,包括舊的或成熟的技術,例如不先進於、等於、以上、以下40nm、50nm、90nm、130nm、250nm、350nm或500nm,而且NRE成本係比現有或常規的ASIC或COT晶片使用先進IC製程或下一製程世代設計及製造上便宜,例如比30nm、20nm或10nm的技術更先進的技術便宜。使用先進IC製程或下一製程世代設計一現有或常規的ASIC晶片或COT晶片,例如,比30nm、20nm或10nm的技術設計,需超過美金5百萬元、美金一千萬元、美金2千萬元或甚至超過美金5千萬元或美金1億元。若使用邏輯驅動器(包括DCIAC晶片晶片)設計實現相同或相似的創新或應用,及使用較舊的或較不先進的技術或製程世代可將此NRE成本費用降低小於美金1仟萬元、美金7百萬元、美金5百萬元、美金3百萬元或美金1百萬元。對於相同或類似的創新技術或應用,與現有常規邏輯運算ASICIC晶片及COTIC晶片的開發比較,開發DCIAC晶片的NRE成本可被降低大於2倍、5倍、10倍、20倍或30倍。Another aspect of the present invention discloses that a logic driver type in a multi-chip package may include a single dedicated control and IAC chip (hereinafter referred to as DCIAC chip) that integrates the functions of the dedicated control chip and the IAC chip. The DCIAC chip now includes control circuits, intellectual property circuits , special application (AS) circuits, analog circuits, mixed-signal circuits, RF circuits and/or signal transmission circuits, signal transceiver circuits, etc. DCIAC chips can be designed and manufactured using various semiconductor technologies, including old or mature ones. Technology, eg, not prior to, equal to, above, below 40 nm, 50 nm, 90 nm, 130 nm, 250 nm, 350 nm, or 500 nm. In addition, DCIAC wafers can be used earlier than or equal to, less than or equal to 40 nm, 20 nm or 10 nm. This DCIAC chip can use semiconductor technology 1st, 2nd, 3rd, 4th, 5th, or more than 5th generation, or use more mature or advanced technology on multiple commercial standard FPGAIC chips within the same logic driver . The transistors used in the DCIAC die can be FINFETs or GAAFETs, FDSOIMOSFETs, partially depleted silicon insulator MOSFETs or conventional MOSFETs, the transistors used in the DCIAC die can be different from the commercial standard FPGAIC die packages used in the same logic driver For example, DCIAC chips use conventional MOSFETs, but commercial standard FPGAIC chip packages within the same logic driver may use FINFET transistors, while commercial standard FPGAIC chip packages within the same logic driver may use FINFETs or GAAFETs. Or DCIAC chips use FDSOIMOSFETs, while commercial standard FPGAIC chip packages within the same logic driver can use FINFETs or GAAFETs. DCIAC wafers can be designed for implementation and fabrication using a variety of semiconductor technologies, including older or mature technologies such as less than, equal to, above, below 40nm, 50nm, 90nm, 130nm, 250nm, 350nm or 500nm, and NRE costs are Cheaper to design and manufacture than existing or conventional ASIC or COT wafers using advanced IC processes or next process generations, eg less advanced technologies than 30nm, 20nm or 10nm technologies. Designing an existing or conventional ASIC chip or COT chip using advanced IC process or next process generation, for example, more than US$5 million, US$10 million, US$2,000 compared to 30nm, 20nm or 10nm technology design 10,000 yuan or even more than US$50 million or US$100 million. This NRE cost can be reduced by less than US$10 million, US$7 if the same or similar innovations or applications are implemented using logic driver (including DCIAC chip) designs, and using older or less advanced technology or process generations $1 million, $5 million, $3 million, or $1 million. For the same or similar innovative technologies or applications, the NRE cost of developing DCIAC chips can be reduced by more than 2 times, 5 times, 10 times, 20 times or 30 times compared to the development of existing conventional logic operation ASICIC chips and COTIC chips.

本發明另一方面揭露在多晶片封裝中的邏輯驅動器型式可包括整合上述專用控制晶片、專用I/O晶片及IAC晶片功能的單一專用控制、控制及IAC晶片(以下簡稱DCDI/OIAC晶片),DCDI/OIAC晶片包括控制電路、智慧產權電路、特殊應用(AS)電路、類比電路、混合訊號電路、RF電路及(或)訊號發射電路、訊號收發電路等,DCDI/OIAC晶片可使用各種半導體技術設計用來實現及製造,包括舊的或成熟的技術,例如不先進於、等於、以上、以下40nm、50nm、90nm、130nm、250nm、350nm或500nm。DCDI/OIAC晶片可使用各種半導體技術設計用來實現及製造,包括舊的或成熟的技術,例如不先進於、等於、以上、以下40nm、50nm、90nm、130nm、250nm、350nm或500nm,此外,DCDI/OIAC晶片可以使用先進於或等於、以下或等於40nm、20nm或10nm。此DCIAC晶片可使用半導體技術1世代、2世代、3世代、4世代、5世代或大於5世代以上的技術,或使用更成熟或更先進的技術在同一邏輯驅動器內複數商業化標準FPGAIC晶片上。使用在DCDI/OIAC晶片的電晶體可以是FINFET或GAAFET、FDSOIMOSFET、部分耗盡矽絕緣體MOSFETs或常規的MOSFET,使用在DCDI/OIAC晶片的電晶體可以是從使用在同一邏輯驅動器中的商業化標準FPGAIC晶片封裝不同的,例如DCDI/OIAC晶片係使用常規MOSFET,但在同一邏輯驅動器內的商業化標準FPGAIC晶片封裝可使用FINFET電晶體或GAAFET電晶體,或是DCDI/OIAC晶片係使用FDSOIMOSFET,而在同一邏輯驅動器內的商業化標準FPGAIC晶片封裝可使用FINFET。DCDI/OIAC晶片可使用各種半導體技術設計用來實現及製造,包括舊的或成熟的技術,例如不先進於、等於、以上、以下40nm、50nm、90nm、130nm、250nm、350nm或500nm,而且NRE成本係比現有或常規的ASIC或COT晶片使用先進IC製程或下一製程世代設計及製造上便宜,例如比30nm、20nm或10nm的技術更先進的技術便宜。使用先進IC製程或下一製程世代設計一現有或常規的ASIC晶片或COT晶片,例如,比30nm、20nm或10nm的技術設計,需超過美金5百萬元、美金一千萬元、美金2千萬元或甚至超過美金5千萬元或美金1億元。例如ASIC晶片或COTIC晶片的16奈米技術或製程世代所需的光罩的成本就超過美金2百萬元、美金5百萬元或美金1千萬元,若使用邏輯驅動器(包括DCDI/OIAC晶片)設計實現相同或相似的創新或應用,及使用較舊的或較不先進的技術或製程世代可將此NRE成本費用降低小於美金1仟萬元、美金7百萬元、美金5百萬元、美金3百萬元或美金1百萬元。對於相同或類似的創新技術或應用,與現有常規邏輯運算ASICIC晶片及COTIC晶片的開發比較,開發DCDI/OIAC晶片的NRE成本可被降低大於2倍、5倍、10倍、20倍或30倍。Another aspect of the present invention discloses that the logic driver type in the multi-chip package may include a single dedicated control, control and IAC chip (hereinafter referred to as DCDI/OIAC chip) that integrates the functions of the dedicated control chip, dedicated I/O chip and IAC chip, DCDI/OIAC chips include control circuits, intellectual property circuits, special application (AS) circuits, analog circuits, mixed-signal circuits, RF circuits and/or signal transmission circuits, signal transceiver circuits, etc. DCDI/OIAC chips can use various semiconductor technologies Designed to be implemented and manufactured, including older or mature technologies such as not advanced, equal to, above, below 40nm, 50nm, 90nm, 130nm, 250nm, 350nm or 500nm. DCDI/OIAC wafers can be designed for implementation and fabrication using a variety of semiconductor technologies, including older or mature technologies, such as not advanced, equal to, above, below 40nm, 50nm, 90nm, 130nm, 250nm, 350nm or 500nm, in addition, DCDI/OIAC wafers can be used first at or equal to, below or equal to 40 nm, 20 nm or 10 nm. This DCIAC chip can use semiconductor technology 1st, 2nd, 3rd, 4th, 5th, or more than 5th generation, or use more mature or advanced technology on multiple commercial standard FPGAIC chips within the same logic driver . The transistors used in the DCDI/OIAC die can be FINFETs or GAAFETs, FDSOIMOSFETs, partially depleted silicon-insulator MOSFETs or conventional MOSFETs, the transistors used in the DCDI/OIAC die can be from commercial standards used in the same logic driver FPGAIC chips are packaged differently, for example DCDI/OIAC chips use conventional MOSFETs, but commercial standard FPGAIC chip packages within the same logic driver can use FINFET transistors or GAAFET transistors, or DCDI/OIAC chips use FDSOIMOSFETs, while Commercially available standard FPGAIC chip packages within the same logic driver can use FINFETs. DCDI/OIAC wafers can be designed for implementation and fabrication using a variety of semiconductor technologies, including older or mature technologies such as not advanced, equal to, above, below 40nm, 50nm, 90nm, 130nm, 250nm, 350nm or 500nm, and NRE The cost is cheaper than existing or conventional ASIC or COT chips to design and manufacture using advanced IC process or next process generation, eg more advanced technology than 30nm, 20nm or 10nm technology. Designing an existing or conventional ASIC chip or COT chip using advanced IC process or next process generation, for example, more than US$5 million, US$10 million, US$2,000 compared to 30nm, 20nm or 10nm technology design 10,000 yuan or even more than US$50 million or US$100 million. For example, 16nm technology or process generation of ASIC chips or COTIC chips will cost more than US$2 million, US$5 million or US$10 million for masks, if logic drivers (including DCDI/OIAC wafers) designed to implement the same or similar innovations or applications, and the use of older or less advanced technology or process generations can reduce this NRE cost by less than $10 million, $7 million, $5 million USD, USD 3 million or USD 1 million. For the same or similar innovative technologies or applications, the NRE cost of developing DCDI/OIAC chips can be reduced by more than 2 times, 5 times, 10 times, 20 times or 30 times compared to the development of existing conventional logic operation ASICIC chips and COTIC chips .

本發明另外揭露一種將現有邏輯ASIC晶片或COT晶片硬體產業模式經由邏輯驅動器改變成一軟體產業模式。在同一創新及應用上,邏輯驅動器從效能、功耗、工程及製造成本應可比現有的常規ASIC晶片或常規COTIC晶片好或相同,現有的ASIC晶片或COTIC晶片的設計公司或供應商可變成軟體開發商或供應商,而僅使用舊的或較不先進的半導體技術或製程世代設計如上述之IAC晶片、DCIAC晶片或DCDI/OIAC晶片,關於此方面的揭露,可能是(1)設計及擁有IAC晶片、DCIAC晶片或DCDI/OIAC晶片;(2)從第三方採購祼晶型式或封裝型式的複數商業化標準FPGA晶片及複數商業化標準非揮發性記憶體晶片;(3)設計及製造(可以外包此製造工作給製造提供者的一第三方)內含有自有擁有的IAC晶片、DCIAC晶片或DCI/OIAC晶片的邏輯驅動器;(3)為了創新技術或新應用需求安裝內部開發軟體至複數非揮發性晶片中的複數非揮發性記憶體IC晶片內;及(或)(4)賣己安裝程式的邏輯驅動器給他們的客戶,在此情況下,他們仍可販賣硬體,此硬體不用使用先進半導體技術的設計及製造之ASICIC晶片或COTIC晶片,例如比30nm、20nm或10nm的技術更先進的技術。他們可針對所期望的應用撰寫軟體原始碼進行邏輯驅動器中的複數商業化標準FPGA晶片編程,期望的應用例如是人工智能(ArtificialIntelligence,AI)、機器學習、深度學習、大數據資料庫儲存或分析、物聯網(InternetOfThings,IOT)、虛擬實境(VR)、擴增實境(AR)、車用電子圖形處理(GP)、數位訊號處理(DSP)、微控制器(MC)或中央處理器(CP)等功能或其中的任一種組合之功能。The present invention further discloses a way to change the existing hardware industry model of logic ASIC chips or COT chips into a software industry model through logic drivers. On the same innovation and application, the performance, power consumption, engineering and manufacturing cost of the logic driver should be better or the same as the existing conventional ASIC chips or conventional COTIC chips, and the design companies or suppliers of the existing ASIC chips or COTIC chips can be turned into software Developers or suppliers that only use older or less advanced semiconductor technology or process generations to design such IAC chips, DCIAC chips or DCDI/OIAC chips as above, disclosures in this regard may be (1) designed and owned by IAC chips, DCIAC chips or DCDI/OIAC chips; (2) procurement of multiple commercial standard FPGA chips and multiple commercial standard non-volatile memory chips in bare die or package form from third parties; (3) design and manufacture ( A third party that can outsource this manufacturing work to a manufacturing provider) contains its own IAC chip, DCIAC chip or DCI/OIAC chip logic driver; (3) Install in-house developed software to multiple In non-volatile memory IC chips in a plurality of non-volatile chips; and/or (4) sell pre-programmed logical drives to their customers, in which case they may still sell the hardware, the hardware ASICIC wafers or COTIC wafers that are not designed and fabricated using advanced semiconductor technologies, such as technologies that are more advanced than 30nm, 20nm or 10nm technologies. They can write software source code to program complex commercial standard FPGA chips in logic drivers for desired applications such as artificial intelligence (AI), machine learning, deep learning, big data database storage or analytics , Internet of Things (IOT), Virtual Reality (VR), Augmented Reality (AR), Automotive Graphics Processing (GP), Digital Signal Processing (DSP), Microcontroller (MC) or Central Processing Unit (CP) and other functions or any combination of them.

本發明另一方面揭露在多晶片封裝中的邏輯驅動器型式可包括複數商業化標準FPGAIC晶片及一或複數非揮發性IC晶片,以及更包括一運算IC晶片與(或)計算IC晶片,例如使用先進半導體技術或先進世代技術設計及製造的一CPU晶片、一GPU晶片、一DSP晶片、一張量處理器(TensorProcessingUnit(TPU))晶片及(或)特殊應用處理器晶片(APU),例如比30奈米(nm)、20nm或10nm更先進或相等,或尺寸更小或相同的半導體先進製程,或是比使用在相同邏輯驅動器中的複數FPGAIC晶片更先進的半導體先進製程。此處理IC晶片及計算IC晶片可包括:(1)CPU及DSP單元;(2)CPU及GPU單元;(3)DSP及GPU單元;或(4)CPU、GPU及DSP單元,處理IC晶片及計算IC晶片中的所使用的電晶體可能是FINFET或GAAFET、FINFETSOI或GAAFETSOI、FDSOIMOSFET、PDSOIMOSFET或一常規MOSFET。另外,複數處理IC晶片及複數計算IC晶片型式可包括封裝型式或合併在邏輯驅動器內,且複數處理IC晶片及複數計算IC晶片的組合可包括二種類型的晶片,組合類型如下所示:(1)複數處理IC晶片及複數計算IC晶片中的一型式為CPU晶片及另一型式為GPU晶片;(2)複數處理IC晶片及複數計算IC晶片中的一型式為CPU晶片及另一型式為DSP晶片;(3)複數處理IC晶片及複數計算IC晶片中的一型式為CPU晶片及另一型式為TPU晶片;(4)複數處理IC晶片及複數計算IC晶片中的一型式為GPU晶片及另一型式為DSP晶片;(5)複數處理IC晶片及複數計算IC晶片中的一型式為GPU晶片及另一型式為TPU晶片;(6)複數處理IC晶片及複數計算IC晶片中的一型式為DSP晶片及另一型式為TPU晶片。此外,複數處理IC晶片及複數計算IC晶片型式可包括封裝型式或合併在邏輯驅動器內,且複數處理IC晶片及複數計算IC晶片的組合可包括三種類型的晶片,組合類型如下所示:(1)複數處理IC晶片及複數計算IC晶片中的一型式為CPU晶片、另一型式為GPU晶片及另一型式為DSP晶片型式;(2)複數處理IC晶片及複數計算IC晶片中的一型式為CPU晶片、另一型式為GPU晶片及另一型式為TPU晶片型式;(3)複數處理IC晶片及複數計算IC晶片中的一型式為CPU晶片、另一型式為DSP晶片及另一型式為TPU晶片型式;(4)複數處理IC晶片及複數計算IC晶片中的一型式為GPU晶片、另一型式為DSP晶片及另一型式為TPU晶片型式;或者,複數處理IC晶片及複數計算IC晶片的組合可包括:(1)複數GPU晶片,例如是2、3、4或大於4個GPU晶片;(2)一或複數CPU晶片及一或複數GPU晶片;(3)一或複數CPU晶片及一或複數DSP晶片;(4)一或複數CPU晶片、一或複數GPU晶片及一或複數DSP晶片;(5)一或複數CPU晶片及(或)一或複數CPU晶片及(或)一或複數TPU晶片;(6)一或複數CPU晶片、一或複數DSP晶片及(或)TPU晶片,在上述所有的替代方案中,邏輯驅動器可包括一或複數處理IC晶片及複數計算IC晶片,及用於高速並聯運算及(或)計算功能的一或多個高速、高頻寬快取SRAM晶片或DRAM晶片或NVM晶片,高速、高頻寬並聯寬位元資料係利用邏輯驅動器中的邏輯驅動器內(或上)的頂層交互連接線結構(TopInterconnectionSchemein,onorofthelogicdrive(TISD))傳輸,例如邏輯驅動器包括複數GPU晶片,例如是2、3、4或大於4個GPU晶片,及複數高速、高頻寬快取SRAM晶片、DRAM晶片或NVM晶片,其中複數GPU晶片中的一GPU晶片與複數SRAM晶片、複數DRAM晶片或NVM晶片(可用於TISD的金屬線或連接線)中的一晶片之間的通訊可以是資料頻寬大於或等於64K、128K、256K、512K、1024K、2048K、4096K、8K或16K,其它例子為邏輯驅動器可包括複數TPU晶片,例如2、3、4或大於4個TPU晶片及複數高速、高頻寬快取SRAM晶片、DRAM晶片或NVM晶片,TPU晶片、SRAM晶片、DRAM晶片或NVM晶片之間的通訊可用於TISD的金屬線或連接線,且其資料的位元頻寛係大於或等於64、128、256、512、1024、2048、4096、8K或16K,另一例子,邏輯驅動器可包括複數FPGA晶片,例如是2、3、4或大於4個複數FPGA晶片,及複數高速、高頻寬快取SRAM晶片、DRAM晶片或NVM晶片可用於TISD的金屬線或連接線,且其資料位元頻寬大於或等於64K、128K、256K、512K、1024K、2048K、4096K、8K或16K。Another aspect of the present invention discloses that the logic driver type in a multi-chip package may include a plurality of commercial standard FPGA IC chips and one or more non-volatile IC chips, and further include a computing IC chip and/or a computing IC chip, such as using A CPU chip, a GPU chip, a DSP chip, a Tensor Processing Unit (TPU) chip and/or a special application processor chip (APU) designed and manufactured by advanced semiconductor technology or advanced generation technology, such as 30 nanometers (nm), 20 nm or 10 nm more advanced or equivalent, or smaller or same size semiconductor advanced process, or more advanced semiconductor advanced process than multiple FPGAIC chips used in the same logic driver. Such processing IC chips and computing IC chips may include: (1) CPU and DSP units; (2) CPU and GPU units; (3) DSP and GPU units; or (4) CPU, GPU and DSP units, processing IC chips and The transistor used in the computing IC chip may be FINFET or GAAFET, FINFETSOI or GAAFETSOI, FDSOIMOSFET, PDSOIMOSFET or a conventional MOSFET. In addition, the complex processing IC chip and the complex computing IC chip type may include a package type or incorporated in a logic driver, and the combination of the complex processing IC chip and the complex computing IC chip may include two types of chips, and the combination types are as follows: ( 1) One type of the complex processing IC chip and the complex computing IC chip is a CPU chip and the other type is a GPU chip; (2) One type of the complex processing IC chip and the complex computing IC chip is a CPU chip and the other type is DSP chip; (3) one type of the complex processing IC chip and the complex computing IC chip is a CPU chip and the other type is a TPU chip; (4) one type of the complex processing IC chip and the complex computing IC chip is a GPU chip and The other type is a DSP chip; (5) one type of the complex processing IC chip and the complex computing IC chip is a GPU chip and the other type is a TPU chip; (6) one type of the complex processing IC chip and the complex computing IC chip It is a DSP chip and another type is a TPU chip. In addition, complex processing IC chips and complex computing IC chip types may include packaged versions or incorporated within logic drivers, and combinations of complex processing IC chips and complex computing IC chips may include three types of chips, as follows: ( 1) One type of the complex processing IC chip and the complex computing IC chip is a CPU chip, the other type is a GPU chip, and the other type is a DSP chip type; (2) One type of the complex processing IC chip and the complex computing IC chip It is a CPU chip, the other type is a GPU chip, and the other type is a TPU chip type; (3) one type of the complex processing IC chip and the complex computing IC chip is a CPU chip, the other type is a DSP chip, and the other type is TPU chip type; (4) one type of complex processing IC chip and complex computing IC chip is a GPU chip, the other type is a DSP chip and the other type is a TPU chip type; or, a complex processing IC chip and a complex computing IC chip The combination may include: (1) a plurality of GPU chips, such as 2, 3, 4 or more than 4 GPU chips; (2) one or more CPU chips and one or more GPU chips; (3) one or more CPU chips and one or more DSP chips; (4) one or more CPU chips, one or more GPU chips and one or more DSP chips; (5) one or more CPU chips and/or one or more CPU chips and/or one or more TPU chips; (6) one or more CPU chips, one or more DSP chips and/or TPU chips, in all of the above alternatives, the logic driver may include one or more processing IC chips and a plurality of computing IC chips, and One or more high-speed, high-bandwidth cache SRAM chips or DRAM chips or NVM chips for high-speed parallel computing and/or computing functions. ) top-level interconnection structure (TopInterconnectionSchemein, onorofthelogicdrive (TISD)) transmission, such as logical drives including multiple GPU chips, such as 2, 3, 4 or more than 4 GPU chips, and multiple high-speed, high-bandwidth cache SRAM chips, DRAM chips Chip or NVM chip, wherein the communication between a GPU chip of the plurality of GPU chips and a chip of the plurality of SRAM chips, the plurality of DRAM chips or the NVM chips (wires or connecting wires that can be used for TISD) can be data bandwidth greater than or equal to 64K, 128K, 256K, 512K, 1024K, 2048K, 4096K, 8K or 16K, other examples are logic drivers may include multiple TPU chips, such as 2, 3, 4 or more than 4 TPU chips and multiple high-speed, high-bandwidth caches Communication between SRAM chips, DRAM chips or NVM chips, TPU chips, SRAM chips, DRAM chips or NVM chips can be used for TISD Metal lines or connecting lines, and the bit frequency of its data is greater than or equal to 64, 128, 256, 512, 1024, 2048, 4096, 8K or 16K, another example, the logic driver may include a plurality of FPGA chips, such as 2, 3, 4 or more than 4 multiple FPGA chips, and multiple high-speed, high-bandwidth cache SRAM chips, DRAM chips or NVM chips can be used for metal wires or connecting wires of TISD, and their data bit bandwidth is greater than or equal to 64K, 128K, 256K, 512K, 1024K, 2048K, 4096K, 8K or 16K.

(i)FPGAIC晶片、運算晶片及(或)計算晶片(例如CPU、GPU、DSP、APU、TPU及(或)ASIC晶片)及;(ii)高速高頻寬的SRAM、DRAM或NVM晶片中的通訊、連接或耦接係透過(經由)邏輯驅動器中的TISD,其中邏輯驅動器如上述的揭露及說明,其連接及通訊方式與在相同晶片中的內部電路相似或類式。此外,(i)FPGAIC晶片、運算晶片及(或)計算晶片(例如CPU、GPU、DSP、APU、TPU及(或)ASIC晶片)及;(ii)高速高頻寬的SRAM、DRAM或NVM晶片中的通訊、連接或耦接係透過(經由)邏輯驅動器中的TISD,其中邏輯驅動器如上述的揭露及說明,其連接及通訊方式可使用小型複數I/O驅動器或小型複數接收器,小型複數I/O驅動器、小型複數接收器或複數I/O電路的驅動能力、負載、輸出電容或輸入電容可介於0.01pF與10pF之間、介於0.05pF與5pF之間、介於0.01pF與2pF之間或介於介於0.01pF與1pF之間,或是小於10pF、5pF、3pF、2pF、1pF、0.5pF或0.01pF,例如,一雙向I/O(或三態)接墊、I/O電路可使用在小型複數I/O驅動器、複數接收器或複數I/O電路與邏輯驅動器中的高速高頻寬邏輸運算晶片及記憶體晶片之間的通訊,及可包括一ESD電路、一接收器及一驅動器,且具有輸入電容或輸出電容可介於0.01pF與10pF之間、0.05pF與5pF之間、0.01pF與2pF之間或或介於介於0.01pF與1pF之間,或小於10pF、5pF、3pF、2pF、1pF、0.5pF或0.1pF。(i) FPGA IC chips, computing chips and/or computing chips (such as CPU, GPU, DSP, APU, TPU and/or ASIC chips) and; (ii) communication in high-speed and high-bandwidth SRAM, DRAM or NVM chips, The connection or coupling is through (via) the TISD in the logic driver, wherein the logic driver as disclosed and described above is connected and communicated in a manner similar or similar to the internal circuits in the same chip. In addition, (i) FPGAIC chips, computing chips and/or computing chips (such as CPU, GPU, DSP, APU, TPU and/or ASIC chips) and; (ii) high-speed and high-bandwidth SRAM, DRAM or NVM chips Communication, connection or coupling is through (via) the TISD in the logical driver, wherein the logical driver is as disclosed and described above, and its connection and communication can use small complex I/O drivers or small complex receivers, small complex I/O The drive capability, load, output capacitance or input capacitance of an O driver, small complex receiver or complex I/O circuit can be between 0.01pF and 10pF, between 0.05pF and 5pF, between 0.01pF and 2pF Occasionally between 0.01pF and 1pF, or less than 10pF, 5pF, 3pF, 2pF, 1pF, 0.5pF or 0.01pF, for example, a bidirectional I/O (or tristate) pad, I/O Circuits can be used in small complex I/O drivers, complex receivers or complex I/O circuits and logic drivers in high-speed, high-bandwidth logic-input and memory-chip communications, and can include an ESD circuit, a receiver and a driver with an input capacitance or an output capacitance that may be between 0.01pF and 10pF, between 0.05pF and 5pF, between 0.01pF and 2pF, or between 0.01pF and 1pF, or less than 10pF , 5pF, 3pF, 2pF, 1pF, 0.5pF or 0.1pF.

運算IC晶片或計算IC晶片或在邏輯驅動器中的晶片提供使用在(可現場編程)功能、處理器及操作的一固定金屬交互線路(非現場編程),此複數商業化標準FPGAIC晶片提供(1)使用(可現場編程)功能、處理器及操作的可編程金屬交互線路(可現場編程)及(2)使用(非現場編程)功能、處理器及操作的固定金屬交互線路。一旦複數FPGAIC晶片中的可現場編程金屬交互線路被編程,複數FPGAIC晶片可被操作與運算IC晶片與計算IC晶片或在同一邏輯驅動器中的晶片一起提供強大功能及應用程式中的操作,例如提供人工智能(ArtificialIntelligence,AI)、機器學習、深度學習、大數據資料庫儲存或分析、物聯網(InternetOfThings,IOT)、虛擬實境(VR)、擴增實境(AR)、車用電子圖形處理(GP)、數位訊號處理(DSP)、微控制器(MC)或中央處理器(CP)等功能或其中的任一種組合之功能。A computing IC chip or a computing IC chip or a chip in a logic driver provides a fixed metal interconnect (off-field programming) for use in (field programmable) functions, processors, and operations, and these commercial standard FPGA IC chips provide (1 ) programmable metal interaction (field programmable) using (field programmable) functions, processors and operations and (2) fixed metal interaction using (non-field programmable) functions, processors and operations. Once the field programmable metal interconnects in the FPGAIC die are programmed, the FPGAIC die can be manipulated to provide powerful functions and operations in applications, such as providing Artificial Intelligence (AI), Machine Learning, Deep Learning, Big Data Database Storage or Analysis, Internet of Things (Internet Of Things, IOT), Virtual Reality (VR), Augmented Reality (AR), Automotive Electronic Graphics Processing (GP), digital signal processing (DSP), microcontroller (MC) or central processing unit (CP) or any combination thereof.

本發明另一方面揭露在邏輯驅動器中使用的商業化標準FPGAIC晶片,使用先進半導體技術或先進世代技術設計及製造的商業化標準FPGA晶片,例如比30奈米(nm)、20nm或10nm更先進或相等,或尺寸更小或相同的半導體先進製程,複數商業化標準FPGAIC晶片由以下段落中揭露製造過程之步驟:Another aspect of the present invention discloses commercial standard FPGA IC chips used in logic drivers, commercial standard FPGA chips designed and manufactured using advanced semiconductor technology or advanced generation technology, eg, more advanced than 30 nanometers (nm), 20 nm or 10 nm Or equivalent, or smaller or the same advanced semiconductor process, a plurality of commercial standard FPGAIC chips by disclosing the steps of the manufacturing process in the following paragraphs:

(I)提供一半導體基板(例如一矽基板)或一絕緣層上覆矽(Silicon-on-Insulator;SOI)基板,其中晶圓的形式及尺寸例如是8吋、12吋或18吋,複數電晶體經由先進半導體技術或新世代技術晶圓製程技術形成在基板表面,電晶體可能是FINFET或GAAFET、FINFETSOI或GAAFETSOI、FDSOIMOSFET、PDSOIMOSFET或常規的MOSFET;(I) Provide a semiconductor substrate (such as a silicon substrate) or a silicon-on-insulator (SOI) substrate, wherein the form and size of the wafer are, for example, 8 inches, 12 inches or 18 inches, plural The transistor is formed on the surface of the substrate by advanced semiconductor technology or new generation technology wafer process technology. The transistor may be FINFET or GAAFET, FINFETSOI or GAAFETSOI, FDSOIMOSFET, PDSOIMOSFET or conventional MOSFET;

(II)經由晶圓製程在基板(或晶片)表面上或含有電晶體的層面上形成一第一交互連接線結構(FirstInterconnectionSchemein,onoroftheChip(FISC)),此FISC包括複數交互連接線金屬層,在複數交互連接線金屬層之間具有一金屬間介電層,此FISC結構可經由執行一單一鑲嵌銅製程及(或)一雙鑲嵌銅製程而形成,例如,在複數交互連接線金屬層中一交互連接線金屬層中的金屬線可經由單一鑲嵌銅製程形成,如下步驟如示:(1)提供一第一絕緣介電層(可以是一金屬間介電層位在暴露通孔金屬層或暴露在外的金屬接墊、金屬線或交互連接線的上表面),第一絕緣介電層的最頂層例如可以是一低介電系數(LowK)介電層,例如是一碳基氧化矽(SiOC)層;(2)例如以化學氣相沉積(ChemicalVaporDeposition(CVD))方法沉積一第二絕緣介電層在整個晶圓上或在第一絕緣介電層上及在第一絕緣介電層中暴露通孔金屬層或暴露在外的金屬接墊上,第二絕緣介電層經由下列步驟形成(a)沉積一底部區分蝕刻停止層,例如一碳基氮化矽(SiON)層在第一絕緣介電層的最頂層表面上及第一絕緣介電層中暴露通孔金屬層或暴露在外的金屬接墊上;(b)接著沉積一低介電係數介電層在底部區分蝕刻停止層上,例如一SiOC層,此低介電常數介電材質之介電常數小於氧化矽材質,SiOC層及SiON層可經由CVD方式沉積,FISC的第一絕緣介電層及第二絕緣介電層的材質包括一無機材質、或包括矽、氮、碳及(或)氧的化合物;(3)接著形成溝槽或開口在第二絕緣介電層中,經由以下步驟:(a)塗覆、曝光、形成複數溝槽或複數開孔在一光阻層中;(b)經由蝕刻的方式形成溝槽或複數開孔在第二絕緣介電層中,接著去除光阻層;(4)然後沉積一黏著層在整個晶圓上,包括在第二絕緣介電層的溝槽或開口內,例如係使用濺鍍或CVD的方式,形成一鈦層(Ti)或氮代鈦(TiN)層(厚度例如是在1納米至50納米之間);(5)接著,形成一電鍍用種子層在黏著層上,例如濺鍍或CVD形成一銅種子層(其厚度例如介於3納米(nm)至200nm之間);(6)接著電鍍一銅層(其厚度例如是介於10nm至3000nm之間、介於10nm至1000nm之間、介於10nm至500nm之間)在銅種子層上;(7)接著使用化學機械程序(Chemical-MechanicalProcess(CMP))移除在第二絕緣介電層中溝槽或開口之外的金屬(Ti或TiN/銅種子層/電鍍銅層),直到第二絕緣介電層的頂面被露出,保留在第二絕緣介電層內的溝槽或開口中的金屬被用來作為FISC中的交互連接線金屬層的金屬栓塞(金屬栓塞)、金屬線或金屬連接線。(II) A first interconnection structure (First Interconnection Scheme, onor of the Chip (FISC)) is formed on the surface of the substrate (or chip) or on the level containing transistors through a wafer process. The FISC includes a plurality of interconnect metal layers. There is an intermetal dielectric layer between the plurality of interconnect metal layers. The FISC structure can be formed by performing a single damascene copper process and/or a dual damascene copper process, for example, an The metal lines in the interconnect metal layer can be formed through a single damascene copper process. The following steps are as follows: (1) Provide a first insulating dielectric layer (which can be an intermetal dielectric layer on the exposed via metal layer or The top surface of the exposed metal pads, metal lines or interconnecting lines), the topmost layer of the first insulating dielectric layer can be, for example, a low dielectric constant (LowK) dielectric layer, such as a carbon-based silicon oxide ( SiOC) layer; (2) depositing a second insulating dielectric layer on the entire wafer or on the first insulating dielectric layer and on the first insulating dielectric layer, such as by chemical vapor deposition (Chemical Vapor Deposition (CVD)) method On the exposed via metal layer or the exposed metal pads, a second insulating dielectric layer is formed by (a) depositing a bottom distinguishing etch stop layer, such as a carbon-based silicon nitride (SiON) layer, on the first insulating layer exposing the via metal layer or the exposed metal pads on the topmost surface of the dielectric layer and in the first insulating dielectric layer; (b) then depositing a low-k dielectric layer on the bottom distinguishing etch stop layer, For example, a SiOC layer, the dielectric constant of this low-k dielectric material is smaller than that of silicon oxide material, the SiOC layer and the SiON layer can be deposited by CVD, the material of the first insulating dielectric layer and the second insulating dielectric layer of FISC Including an inorganic material, or a compound including silicon, nitrogen, carbon and (or) oxygen; (3) then forming trenches or openings in the second insulating dielectric layer, through the following steps: (a) coating, exposing, forming a plurality of trenches or a plurality of openings in a photoresist layer; (b) forming trenches or a plurality of openings in the second insulating dielectric layer by etching, and then removing the photoresist layer; (4) then depositing a The adhesive layer is on the entire wafer, including in the trenches or openings of the second insulating dielectric layer, for example, by sputtering or CVD to form a titanium (Ti) or titanium nitride (TiN) layer (thickness). (5) Next, a seed layer for electroplating is formed on the adhesion layer, such as sputtering or CVD to form a copper seed layer (the thickness of which is, for example, between 3 nanometers (nm) to 50 nanometers); between 200nm); (6) then electroplating a copper layer (the thickness of which is, for example, between 10nm to 3000nm, between 10nm and 1000nm, between 10nm and 500nm) on the copper seed layer; (7) ) and then use the Chemical-MechanicalProcess (CMP)) remove the metal (Ti or TiN/Cu seed layer/electroplated copper layer) outside the trenches or openings in the second insulating dielectric layer until the top surface of the second insulating dielectric layer is exposed, remaining in the The metal in the trenches or openings in the second insulating dielectric layer is used as a metal plug (metal plug), metal wire or metal connection wire of the interconnect metal layer in the FISC.

另一例子,FISC中交互連接線金屬層的金屬線及連接線及FISC的金屬間介電層中的金屬栓塞可由雙鑲嵌銅製程形成,步驟如下:(1)提供第一絕緣介電層形成在暴露的金屬線及連接線或金屬墊表面上,第一絕緣介電層的最頂層,例如是SiCN層或氮化矽(SiN)層;(2)形成包括複數絕緣介電層的一介電疊層在第一絕緣介電層的最頂層及在暴露的金屬線及連接線或金屬墊表面上,介電疊層從底部至頂端包括形成(a)一底部低介電係數介電層,例如一SiOC層(作為栓塞介電層或金屬間介電層使用);(b)一中間區分蝕刻停止層,例如一SiCN層或SiN層;(c)一低介電常數SiOC頂層(作為同一交互連接線金屬層中金屬線及連接線之間的絕緣介電層);(d)一頂端區分蝕刻停止層,例如一SiCN層或SiN層。所有的絕緣介電層(SiCN層、SiOC層或SiN層)可經由CVD方式沉積形成;(3)在介電疊層中形成溝槽、開口或穿孔,其步驟包括:(a)以塗佈、曝光及顯影一第一光阻層在光阻層中的溝槽或開口內,接著(b)蝕刻曝露的頂端區分蝕刻停止層及頂端低介電SiOC層及停止在中間區分蝕刻停止層(SiCN層或SiN層),在介電疊層中形成溝槽或頂端開口,所形成的溝槽或頂端開口經由之後的雙鑲嵌銅製程形成交互連接線金屬層中的金屬線及連接線;(c)接著,塗佈、曝光及顯影一第二光阻層及在第二光阻層中形成開孔及孔洞;(d)蝕刻曝露的中間區分蝕刻停止層(SiCN層或SiN層),及底部低介電常數SiOC層及停止在第一絕緣介電層中的金屬線及連接線,形成底部開口或孔洞在介電疊層中底部,所形成的底部開口或孔洞經由之後雙鑲嵌銅製程形成金屬栓塞在金屬間介電層中,在介電疊層頂端中的溝槽或頂端開口與介電疊層底部中的底部開口或孔洞重疊,頂端的開口或孔洞尺寸比底部開口或孔洞尺寸更大,換句話說,從頂示圖觀之,介電疊層的底部中的底部開口及孔洞被介電疊層中頂端溝槽或開口圍住;(4)形成金屬線、連接線及金屬栓塞,步驟如下:(a)沉積黏著層在整在晶圓上,包括在介電疊層上及在介電疊層頂端內的蝕刻成的溝槽或頂端內,及在介電疊層底部內的底部開口或孔洞,例如,以濺鍍或CVD沉積Ti層或TiN層(其厚度例如是介於1nm至50nm之間);(b)接著,沉積電鍍用種子層在黏著層上,例如濺鍍或CVD沉積銅種子層(其厚度例如是介於3nm至200nm之間);(c)接著,電鍍一銅層在銅種子層上(其厚度例如是介於20nm至6000nm之間、10nm至3000之間或10nm至1000nm之間);(d)接著,使用CMP方式移除位在溝槽或頂端開口外及在介電疊層內底部開口或孔洞不需要的金屬(Ti層或TiN層/銅種子層/電鍍銅層),直至介電疊層的頂端表面被曝露。保留在溝槽或頂端開口內的金屬用以作為交互連接線金屬層中的金屬線或連接線,而保留在金屬間介電層中底部開口或孔洞用以作為金屬栓塞,用於連接金屬栓塞上方及下方的金屬線或連接線。在單一鑲嵌製程中,銅電鍍製程步驟及CMP製程步驟可形成交互連接線金屬層中的金屬線或連接線,接著再次執行銅電鍍製程步驟及CMP製程步驟形成金屬間介電層中的金屬栓塞在交互連接線金屬層上,換句話說,在單一鑲嵌銅製程,銅電鍍製程步驟及CMP製程步驟可被執行二次,用以形成交互連接線金屬層中的金屬線或連接線及形成金屬間介電層中的金屬栓塞在交互連接線金屬層上。在雙鑲嵌製程中,銅電鍍製程步驟及CMP製程步驟只被執行一次,用於形成交互連接線金屬層中的金屬線或連接線及形成金屬間介電層中的金屬栓塞在交互連接線金屬層下。可重複多次使用單一鑲嵌銅製程或雙鑲嵌銅製程,形成交互連接線金屬層中的金屬線或連接線及形成金屬間介電層中的金屬栓塞,用以形成FISC中複數交互連接線金屬層中的金屬線或連接線及金屬間介電層中的金屬栓塞,FISC可包括複數交互連接線金屬層中4至15層金屬線或連接線或6至12層金屬線或連接線。In another example, the metal lines and connecting lines of the interconnecting metal layers of the FISC and the metal plugs of the intermetal dielectric layer of the FISC can be formed by a dual damascene copper process. The steps are as follows: (1) Provide a first insulating dielectric layer to form On the surface of the exposed metal lines and connecting lines or metal pads, the topmost layer of the first insulating dielectric layer, such as a SiCN layer or a silicon nitride (SiN) layer; (2) forming a dielectric layer including a plurality of insulating dielectric layers The electrical stack is on the topmost layer of the first insulating dielectric layer and on the exposed metal lines and connecting lines or metal pad surfaces, the dielectric stack from bottom to top includes forming (a) a bottom low-k dielectric layer , such as a SiOC layer (used as a plug dielectric layer or an intermetal dielectric layer); (b) an intermediate etch stop layer, such as a SiCN layer or SiN layer; (c) a low-k SiOC top layer (used as a (d) a top-side differentiated etch stop layer, such as a SiCN layer or a SiN layer. All insulating dielectric layers (SiCN layer, SiOC layer or SiN layer) can be formed by CVD deposition; (3) forming trenches, openings or through holes in the dielectric stack, the steps include: (a) coating , exposing and developing a first photoresist layer within a trench or opening in the photoresist layer, then (b) etching the exposed top differentiated etch stop layer and top low-k SiOC layer and stopping in the middle differentiated etch stop layer ( SiCN layer or SiN layer), forming trenches or top openings in the dielectric stack, the formed trenches or top openings form metal lines and connecting lines in the interconnect metal layer through the subsequent dual damascene copper process; ( c) then, coating, exposing and developing a second photoresist layer and forming openings and holes in the second photoresist layer; (d) etching the exposed intermediate etch stop layer (SiCN layer or SiN layer), and The bottom low-k SiOC layer and the metal lines and connecting lines that stop in the first insulating dielectric layer form bottom openings or holes in the bottom of the dielectric stack, and the formed bottom openings or holes pass through the subsequent dual damascene copper process Forming a metal plug in an intermetal dielectric layer with a trench or top opening in the top of the dielectric stack overlapping a bottom opening or hole in the bottom of the dielectric stack, the top opening or hole having a larger size than the bottom opening or hole Larger, in other words, from the top view, bottom openings and holes in the bottom of the dielectric stack are surrounded by top trenches or openings in the dielectric stack; (4) forming metal lines, connecting lines and Metal plug, the steps are as follows: (a) depositing an adhesion layer on the wafer, including on the dielectric stack and in the etched trench or tip in the top of the dielectric stack, and in the dielectric stack Bottom openings or holes in the bottom, for example, by sputtering or CVD deposition of a Ti layer or a TiN layer (the thickness of which is, for example, between 1 nm and 50 nm); (b) Next, depositing a seed layer for electroplating on the adhesion layer, For example, a copper seed layer is deposited by sputtering or CVD (its thickness is, for example, between 3 nm and 200 nm); (c) then, a copper layer is electroplated on the copper seed layer (its thickness is, for example, between 20 nm and 6000 nm, 10 nm to 3000 nm or 10 nm to 1000 nm); (d) then, use CMP to remove unwanted metal (Ti layer or cavities) outside the trenches or top openings and inside the bottom openings or holes in the dielectric stack. TiN layer/copper seed layer/electroplated copper layer) until the top surface of the dielectric stack is exposed. The metal remaining in the trenches or top openings is used as metal lines or connecting lines in the interconnect metal layer, while the bottom openings or holes in the IMD layer are used as metal plugs for connecting metal plugs Above and below metal wires or connecting wires. In a single damascene process, the copper electroplating process steps and the CMP process steps can form metal lines or connecting lines in the interconnect metal layer, and then the copper electroplating process steps and the CMP process steps are performed again to form metal plugs in the IMD layer. On the interconnect metal layer, in other words, in a single damascene copper process, the copper electroplating process step and the CMP process step can be performed twice for forming metal lines or connecting lines in the interconnect metal layer and forming metal The metal plug in the inter-dielectric layer is on the interconnect metal layer. In the dual damascene process, the copper electroplating process steps and the CMP process steps are performed only once for forming the metal lines or connecting lines in the metal layer of the interconnection lines and forming the metal plugs in the intermetal dielectric layer on the metallization lines of the interconnection lines under the layer. A single damascene copper process or a dual damascene copper process can be used repeatedly to form metal lines or connecting lines in the interconnect metal layer and form metal plugs in the intermetal dielectric layer to form multiple interconnect metal layers in FISC Metal lines or connecting lines in layers and metal plugs in intermetal dielectric layers, FISC may include 4 to 15 layers of metal lines or connecting lines or 6 to 12 layers of metal lines or connecting lines in a plurality of interconnecting line metal layers.

在FISC內的金屬線或連接線係連接或耦接至底層的電晶體,無論是單一鑲嵌製程或雙向鑲嵌製程所形成FISC內的金屬線或連接線的厚度係介於3nm至500nm之間、介於10nm至1000nm之間,或是厚度小於或等於5nm、10nm、30nm、50nm、100nm、200nm、300nm、500nm或1000nm,而FISC中的金屬線或連接線的寬度例如是介於3nm至500nm之間、介於10nm至1000nm之間,或寬度窄於5nm、10nm、30nm、50nm、100nm、200nm、300nm、500nm或1000nm,金屬間介電層的厚度例如是介於3nm至500nm之間、介於10nm至1000nm之間,或是厚度小於或等於5nm、10nm、30nm、5可用於0nm、100nm、200nm、300nm、500nm或1000nm,FISC中的金屬線或連接線可作為可編程交互連接線。The metal lines or connecting lines in the FISC are connected or coupled to the underlying transistors. The thickness of the metal lines or connecting lines in the FISC formed by the single damascene process or the bi-directional damascene process is between 3nm and 500nm, Between 10nm and 1000nm, or the thickness is less than or equal to 5nm, 10nm, 30nm, 50nm, 100nm, 200nm, 300nm, 500nm or 1000nm, and the width of the metal lines or connecting lines in FISC is, for example, between 3nm and 500nm between 10nm to 1000nm, or narrower than 5nm, 10nm, 30nm, 50nm, 100nm, 200nm, 300nm, 500nm or 1000nm, the thickness of the intermetal dielectric layer is, for example, between 3nm to 500nm, Between 10nm and 1000nm, or with thickness less than or equal to 5nm, 10nm, 30nm, 5 can be used for 0nm, 100nm, 200nm, 300nm, 500nm or 1000nm, the metal wire or connecting wire in FISC can be used as programmable interconnection wire .

(III)沉積一保護層(passivationlayer)在整個晶圓上及在FISC結構上,此保護層係用於保護電晶體及FISC結構免於受到外部環境中的水氣或污染,例如是鈉游離粒子。保護層包括一游離粒子捕捉層例如是SiN層、SiON層及(或)SiCN層,此游離粒子捕捉層的厚度係大於或等於100nm、150nm、200nm、300nm、450nm或500nm,形成開口在保護層內,曝露出FISC最頂層的上表面。(III) Depositing a passivation layer on the entire wafer and on the FISC structure, the passivation layer is used to protect the transistor and the FISC structure from moisture or contamination in the external environment, such as sodium free particles . The protective layer includes a free particle capture layer such as SiN layer, SiON layer and/or SiCN layer, the thickness of the free particle capture layer is greater than or equal to 100nm, 150nm, 200nm, 300nm, 450nm or 500nm, forming openings in the protective layer Inside, the upper surface of the topmost layer of the FISC is exposed.

(IV)形成一第二交互連接線結構(SecondInterconnectionSchemein,onoroftheChip(SISC))在FISC結構上,此SISC包括複數交互連接線金屬層,及複數交互連接線金屬層每一層之間的一金屬間介電層,以及可選擇性包括一絕緣介電層在保護層上及在SISC最底部的交互連接線金屬層與保護層之間,接著絕緣介電層沉積在整個晶圓上,包括在保護層上及保護層中的開口內,此67可具有平面化功能,一聚合物材質可被使用作為絕緣介電層,例如是聚酰亞胺、苯並環丁烯(BenzoCycloButene(BCB))、聚對二甲苯、環氧樹脂基底材質或化合物、光感性環氧樹脂SU-8、彈性體或矽膠(silicone),SISC的絕緣介電層的材質包括有機材質,例如是一聚合物、或材質化合物包括碳,此聚合物層可經由旋塗、網版印刷、滴注或灌模成型的方式形成,聚合物的材質可以是光感性材質,可用於光組層中圖案化開口,以便在之後的程序中形成金屬栓塞,也就是將光感性光阻聚合物層塗佈、及經由一光罩曝光,接著顯影及蝕刻而形成複數開口在聚合物層內,在光感性光阻絕緣介電層中的開口與保護層中的開口重疊並曝露出FISC最頂端之金屬層表面,在某些應用或設計中,在聚合物層中的開口尺寸係大於保護層中的開口,而保護層部分上表面被聚合物中的開口曝露,接著光感性光阻聚合物層(絕緣介電層)在一溫度下固化,例如是高於或等於100℃、125℃、150℃、175℃、200℃、225℃、250℃、275℃或300℃,接著在某些情況下,進行一浮凸(emboss)銅製程在固化後的聚合物層上及曝露在固化聚合物層開口內的FISC最頂層交互連接線金屬層表面或曝露在固化聚合物層開口內的保護層表面:(a)首先沉積一黏著層在整個晶圓的固化聚合物層上,及在固化聚合物層開口內的FISC最頂層交互連接線金屬層表面或曝露在固化聚合物層開口內的保護層表面,例如係經由濺鍍方式、CVD沉積一Ti層或一TiN層(其厚度例如係介於1nm至50nm之間);(b)接著沉積電鍍用種子層在黏著層上,例如係以濺鍍或CVD沉積的方式(其厚度例如係介於3nm至200nm之間);(c)塗佈、曝露及顯影光阻層在銅種子層上,經由之後接續的製程形成溝槽或開口在光阻層內,用於形成SISC中的交互連接線金屬層之金屬線或連接線,其中在光阻層內的溝槽(開口)部分可與固化聚合物層內的開口整個面積重疊,經由後接程序在固化聚合物層開口中的金屬栓塞;曝露在溝槽或開口底部的銅種子層;(d)接著電鍍一銅層(其厚度例如係介於0.3µm至20µm之間、介於0.5µm至5µm之間、介於1µm至10µm之間、介於2µm至20µm之間)在光阻層內的圖案化溝槽或開口底部的銅種子層上;(e)移除剩餘的光阻層;(f)移除或蝕刻未在電鍍銅層下方的銅種子層及黏著層,此浮凸金屬(Ti(TiN)/銅種子層/電鍍銅層)留在或保留在固化聚合物層的開口內,用於作為絕緣介電層內的金屬栓塞及保護層內的金屬栓塞;及浮凸金屬(Ti(TiN)/銅種子層/電鍍銅層)留在或保留在光阻層中的溝槽或開口的位置(其中光阻層將在形成電鍍銅層後被移除)用於交互連接線金屬層的金屬線或連接線。形成絕緣介電層的製程及其開口,以及以浮凸銅製程形成絕緣介電層內的金屬栓塞及交互連接線金屬層的金屬線或連接線可被重覆而形成SISC中的複數交互連接線金屬層,其中絕緣介電層用於作為位在SISC中複數交互連接線金屬層之間的金屬間介電層,以及在絕緣介電層(現在是在金屬間介電層內)中的金屬栓塞用於連接或耦接複數交互連接線金屬層上下二層的金屬線或連接線,SISC中最頂層的交互連接線金屬層被SISC最頂層的絕緣介電層覆蓋,最頂層的絕緣介電層具有複數開口曝露最頂層的交互連接線金屬層的上表面,SISC可包括例如是2至6層的複數交互連接線金屬層或3至5層的複數交互連接線金屬層,SISC中複數交互連接線金屬層的金屬線或連接線具有黏著層(例如是Ti層或TiN層)及只位在金屬線或連接線底部的銅種子層,但沒有在金屬線或連接線的側壁,此FISC中複數交互連接線金屬層金屬線或連接線具有黏著層(例如是Ti層或TiN層)及位在金屬線或連接線底部及側壁的銅種子層。(IV) forming a second interconnection scheme (SecondInterconnectionSchemein, onoroftheChip (SISC)) on the FISC structure, the SISC includes a plurality of interconnection metal layers, and a metal interlayer between each layer of the plurality of interconnection metal layers Electrical layers, and optionally including an insulating dielectric layer on top of the protective layer and between the bottommost interconnect metal layer of the SISC and the protective layer, then the insulating dielectric layer is deposited over the entire wafer, including the protective layer In the opening in the upper and protective layers, the 67 can have a planarization function, and a polymer material can be used as an insulating dielectric layer, such as polyimide, benzocyclobutene (BenzoCycloButene (BCB)), poly Para-xylene, epoxy resin base material or compound, photosensitive epoxy resin SU-8, elastomer or silicone, the material of the insulating dielectric layer of SISC includes organic material, such as a polymer or material compound Including carbon, the polymer layer can be formed by spin coating, screen printing, dripping or injection molding. The metal plug is formed in the process, that is, the photosensitive photoresist polymer layer is coated and exposed through a mask, and then developed and etched to form a plurality of openings in the polymer layer and in the photosensitive photoresist insulating dielectric layer. The opening in the protective layer overlaps the opening in the protective layer and exposes the surface of the topmost metal layer of the FISC. In some applications or designs, the size of the opening in the polymer layer is larger than the opening in the protective layer, and the upper surface of the protective layer is partially exposed by openings in the polymer, then the photosensitive photoresist polymer layer (insulating dielectric layer) is cured at a temperature, for example, 100°C or higher, 125°C, 150°C, 175°C, 200°C, 225°C °C, 250°C, 275°C, or 300°C, followed in some cases by an emboss copper process on the cured polymer layer and the FISC topmost interconnects exposed within the cured polymer layer openings Line metal layer surface or protective layer surface exposed in the cured polymer layer opening: (a) First deposit an adhesive layer over the cured polymer layer across the wafer, and the topmost FISC interaction in the cured polymer layer opening The surface of the metal layer of the connection wire or the surface of the protective layer exposed in the opening of the cured polymer layer, for example, a Ti layer or a TiN layer (the thickness of which is, for example, between 1 nm and 50 nm) is deposited by sputtering or CVD; ( b) then depositing a seed layer for electroplating on the adhesion layer, eg by sputtering or CVD deposition (the thickness of which is eg between 3 nm and 200 nm); (c) coating, exposing and developing the photoresist layer on On the copper seed layer, trenches or openings are formed in the photoresist layer through subsequent processes, which are used to form the metal lines or connecting lines of the interconnected metal layer in the SISC, wherein the trenches (openings) in the photoresist layer. ) part can be combined with the cured polymer layer within the The entire area of the openings overlaps, the metal plugs in the openings of the cured polymer layer through a subsequent process; the copper seed layer is exposed at the bottom of the trenches or openings; (d) a copper layer (with a thickness of, for example, 0.3 µm to 20µm, 0.5µm to 5µm, 1µm to 10µm, 2µm to 20µm) on the copper seed layer at the bottom of patterned trenches or openings in the photoresist layer; (e ) remove the remaining photoresist layer; (f) remove or etch the copper seed layer and the adhesion layer not under the electroplated copper layer, the relief metal (Ti(TiN)/copper seed layer/electroplated copper layer) remains Or remain in the opening of the cured polymer layer for use as a metal plug in the insulating dielectric layer and a metal plug in the protective layer; and the relief metal (Ti(TiN)/copper seed layer/electroplated copper layer) remains Or the location of trenches or openings remaining in the photoresist layer (where the photoresist layer will be removed after the electroplated copper layer is formed) for interconnecting metal lines or connecting lines of the metal layers. The process of forming the insulating dielectric layer and its openings, and the metal plugs and interconnecting wires in the insulating dielectric layer are formed by the raised copper process. The metal lines or connecting lines of the metal layer can be repeated to form multiple interconnections in SISC Line metal layers, where the insulating dielectric layer is used as an intermetal dielectric layer between a plurality of interconnecting wire metal layers in SISC, and in the insulating dielectric layer (now within the intermetal dielectric layer) The metal plug is used to connect or couple the metal wires or connecting wires on the upper and lower layers of the metal layer of the multiple interconnecting wires. The electrical layer has a plurality of openings exposing the upper surface of the topmost interconnection wire metal layer. The SISC may include, for example, 2 to 6 layers of interconnection wire metal layers or 3 to 5 layers of interconnection wire metal layers. The metal lines or connecting lines of the interconnect metal layer have an adhesive layer (such as a Ti layer or a TiN layer) and a copper seed layer located only at the bottom of the metal lines or connecting lines, but not on the sidewalls of the metal lines or connecting lines. In FISC, a plurality of interconnected metal layers of metal lines or connecting lines have an adhesive layer (eg, a Ti layer or a TiN layer) and a copper seed layer located on the bottom and sidewalls of the metal lines or connecting lines.

SISC的交互連接金屬線或連接線連接或耦接至FISC的交互連接金屬線或連接線,或經由保護層中開口中的金屬栓塞連接至晶片內的電晶體,此SISC的金屬線或連接線厚度係介於0.3µm至20µm之間、介於0.5µm至10µm之間、介於1µm至5µm之間、介於1µm至10µm之間或介於2µm至10µm之間,或厚度大於或等於0.3µm、0.5µm、0.7µm、1µm、1.5µm、2µm或3µm,而SISC的金屬線或連接線寬度係例如介於0.3µm至20µm之間、介於0.5µm至10µm之間、介於1µm至5µm之間、介於1µm至10µm之間或介於2µm至10µm之間,或寬度大於或等於0.3µm、0.5µm、0.7µm、1µm、1.5µm、2µm或3µm。金屬間介電層的厚度例如係介於0.3µm至20µm之間、介於0.5µm至10µm之間、介於1µm至5µm之間、介於1µm至10µm之間或介於2µm至10µm之間,或厚度大於或等於0.3µm、0.5µm、0.7µm、1µm、1.5µm、2µm或3µm,SISC的金屬線或連接線用於作為可編程交互連接線。The interconnecting metal lines or connecting lines of the SISC are connected or coupled to the interconnecting metal lines or connecting lines of the FISC, or to the transistors in the wafer via metal plugs in openings in the protective layer, the metal lines or connecting lines of the SISC. Thickness between 0.3µm and 20µm, between 0.5µm and 10µm, between 1µm and 5µm, between 1µm and 10µm, or between 2µm and 10µm, or thickness greater than or equal to 0.3 µm, 0.5µm, 0.7µm, 1µm, 1.5µm, 2µm or 3µm, and the metal line or connecting line width of SISC is, for example, between 0.3µm and 20µm, between 0.5µm and 10µm, between 1µm and 1µm. Between 5µm, 1µm to 10µm, or 2µm to 10µm, or widths greater than or equal to 0.3µm, 0.5µm, 0.7µm, 1µm, 1.5µm, 2µm, or 3µm. The thickness of the IMD layer is, for example, between 0.3 µm and 20 µm, between 0.5 µm and 10 µm, between 1 µm and 5 µm, between 1 µm and 10 µm, or between 2 µm and 10 µm , or the thickness is greater than or equal to 0.3µm, 0.5µm, 0.7µm, 1µm, 1.5µm, 2µm or 3µm, the metal wires or connecting wires of SISC are used as programmable interactive connecting wires.

(V)形成微型銅柱或凸塊(i)在SISC最頂層的交互連接線金屬層的上表面及SISC中絕緣介電層內的曝露的開口內,及(或)(ii)在SISC最頂層的絕緣介電層上。執行如上述段落揭露及說明中的浮凸銅製程而形成微型銅柱或凸塊,其中浮凸銅製程的步驟如下所示:(a)沉積一黏著層在整個晶圓上或在SISC結構的最頂層介電層上,及在最頂層絕緣介電層中的開口內,例如,濺鍍或CVD沉積一Ti層或TiN層(其厚度例如係介於1nm至50nm之間);(b)接著沉積一電鍍用種子層在黏著層上,例如濺鍍或CVD沉積一銅種子層(其厚度例如係介於3nm至300nm之間或介於3nm至200nm之間);(c)塗佈、曝光及顯影一光阻層;在光阻層中形成複數開口或孔洞,用於之後的程序形成微型金屬柱或凸塊,曝光(i)SISC的最頂端的絕緣層內的開口底部的最頂端交互連接線金屬層的上表面;及(ii)曝光SISC最頂端絕緣介電層的區域或環形部,此區域係圍在最頂端絕緣介電層內的開口;(d)接著,電鍍一銅層(其厚度例如係介於3µm至60µm之間、介於5µm至50µm之間、介於5µm至40µm之間、介於5µm至30µm之間、介於3µm至20µm之間或介於5µm至15µm之間)在光阻層圖案化開口或孔洞內的銅種子層上;(e)去除剩餘的光阻層;(f)去除或蝕刻未在電鍍銅層下方的銅種子層及黏著層;剩餘或保留下的金屬用作為微型銅柱或凸塊。(v) forming micro copper pillars or bumps (i) in the upper surface of the topmost interconnect metal layer of the SISC and within exposed openings in the insulating dielectric layer in the SISC, and (or) (ii) in the topmost SISC on the top insulating dielectric layer. Micro copper pillars or bumps are formed by performing the copper relief process disclosed and described in the above paragraphs, wherein the steps of the copper relief process are as follows: (a) depositing an adhesive layer on the entire wafer or in the SISC structure on the topmost dielectric layer, and in the openings in the topmost insulating dielectric layer, for example, by sputtering or CVD deposition of a layer of Ti or TiN (the thickness of which is, for example, between 1 nm and 50 nm); (b) Then deposit a seed layer for electroplating on the adhesion layer, such as sputtering or CVD to deposit a copper seed layer (the thickness of which is, for example, between 3 nm and 300 nm or between 3 nm and 200 nm); (c) coating, Expose and develop a photoresist layer; form a plurality of openings or holes in the photoresist layer for subsequent procedures to form micro metal pillars or bumps, exposing (i) the topmost top of the bottom of the opening in the topmost insulating layer of the SISC Interconnecting the upper surface of the metal layer of the wire; and (ii) exposing the SISC topmost insulating dielectric layer region or annular portion, the region bounding the opening in the topmost insulating dielectric layer; (d) then, electroplating a copper layer (whose thickness is, for example, between 3 µm and 60 µm, between 5 µm and 50 µm, between 5 µm and 40 µm, between 5 µm and 30 µm, between 3 µm and 20 µm, or between 5 µm and 15µm) on the copper seed layer in the patterned openings or holes of the photoresist layer; (e) remove the remaining photoresist layer; (f) remove or etch the copper seed layer and the adhesion layer not under the electroplated copper layer; The remaining or retained metal is used as micro copper pillars or bumps.

此微型銅柱或凸塊連接或耦接至SISC的交互連接金屬線或連接線及FISC的交互連接金屬線或連接線,及經由SISC最頂端絕緣介電層的開口中的金屬栓塞連接至晶片中的電晶體。微型金屬柱或凸塊的高度係介於3µm至60µm之間、介於5µm至50µm之間、介於5µm至40µm之間、介於5µm至30µm之間、介於5µm至20µm之間、介於5µm至15µm之間或介於3µm至10µm之間,或大於或等於30µm、20µm、15µm、5µm或3µm,微型金屬柱或凸塊的剖面的最大直徑(例如係圓形的直徑或是方形或長方形的對角線長度)例如係介於3µm至60µm之間、介於5µm至50µm之間、介於5µm至40µm之間、介於5µm至30µm之間、介於5µm至20µm之間、介於5µm至15µm之間或介於3µm至10µm之間,或小於或等於60µm、50µm、40µm、30µm、20µm、15µm或10µm,微型金屬柱或凸塊中最相鄰近的金屬柱或凸塊之間的空間距離係介於3µm至60µm之間、介於5µm至50µm之間、介於5µm至40µm之間、介於5µm至30µm之間、介於5µm至20µm之間、介於5µm至15µm之間或介於3µm至10µm之間,或小於或等於60µm、50µm、40µm、30µm、20µm、15µm或10µm。The micro copper pillars or bumps are connected or coupled to the interconnection metal lines or lines of the SISC and the interconnection metal lines or lines of the FISC, and to the chip through metal plugs in the openings in the topmost insulating dielectric layer of the SISC in the transistor. The heights of the micro metal pillars or bumps are between 3µm and 60µm, between 5µm and 50µm, between 5µm and 40µm, between 5µm and 30µm, between 5µm and 20µm, between 5µm and 20µm. Between 5µm and 15µm or between 3µm and 10µm, or greater than or equal to 30µm, 20µm, 15µm, 5µm or 3µm, the maximum diameter of the cross-section of the micro metal post or bump (such as the diameter of a circle or a square or the diagonal length of a rectangle) e.g. between 3µm and 60µm, between 5µm and 50µm, between 5µm and 40µm, between 5µm and 30µm, between 5µm and 20µm, Between 5µm and 15µm or between 3µm and 10µm, or less than or equal to 60µm, 50µm, 40µm, 30µm, 20µm, 15µm or 10µm, the nearest metal pillar or bump of the micro metal pillar or bump Spatial distance between 3µm to 60µm, 5µm to 50µm, 5µm to 40µm, 5µm to 30µm, 5µm to 20µm, 5µm to 5µm Between 15µm or 3µm to 10µm, or less than or equal to 60µm, 50µm, 40µm, 30µm, 20µm, 15µm or 10µm.

(VI)切割晶圓取得分開的複數商業化標準FPGA晶片,複數商業化標準FPGA晶片依序從底部至頂端分別包括:(i)電晶體層;(ii)FISC;(iii)一保護層;(iv)SISC層及(v)微型銅柱或凸塊,SISC最頂端的絕緣介電層頂面的層級的高度例如是介於3µm至60µm之間、介於5µm至50µm之間、介於5µm至40µm之間、介於5µm至30µm之間、介於5µm至20µm之間、介於5µm至15µm之間或介於3µm至10µm之間,或大於或等於30µm、20µm、15µm、5µm或3µm。(VI) dicing the wafer to obtain a plurality of separate commercial standard FPGA chips, the plurality of commercial standard FPGA chips sequentially from bottom to top respectively include: (i) a transistor layer; (ii) FISC; (iii) a protective layer; (iv) the SISC layer and (v) the micro copper pillars or bumps, the height of the top layer of the topmost insulating dielectric layer of the SISC is, for example, between 3 μm and 60 μm, between 5 μm and 50 μm, between 5µm to 40µm, 5µm to 30µm, 5µm to 20µm, 5µm to 15µm, or 3µm to 10µm, or greater than or equal to 30µm, 20µm, 15µm, 5µm or 3µm.

本發明另一方面依據多晶片封裝技術及製程提供一扇出交互連接線技術(FOIT)用於製作或製造邏輯驅動器,其製程步驟如下所示:Another aspect of the present invention provides a fan-out interconnection technology (FOIT) for fabricating or manufacturing a logic driver according to the multi-chip packaging technology and process. The process steps are as follows:

(I)提供一晶片載體、支架、灌模材料或基板,及複數IC晶片及封裝;然後放置、固定或黏著複數IC晶片及封裝在晶片載體、支架、灌模材料或基板上,晶片載體、支架、灌模材料或基板可以是晶圓型式(其直徑尺寸為8吋、12吋或18吋的晶圓),或是正方形或長方形的面板型式(其寬度或長度是大於或等於20cm、30cm、50cm、75cm、100cm、150cm、200cm或300cm),晶片載體、支架、灌模材料或基板的材質可以是矽材質、金屬材質、玻璃材質、塑膠材質、聚合物材質、環氧-基底聚合物材質或環氧基底化合物材質。如上所述揭露及說明中的複數IC晶片及封裝可被設置、固定或黏著在晶片載體、支架、灌模材料或基板上,其中複數IC晶片及封裝包括複數商業化標準FPGAIC晶片、複數非揮發性晶片或封裝、專用控制晶片、複數專用I/O晶片、專用控制及I/O晶片、IAC、DCIAC及(或)DCDI/OIAC晶片,所有的晶片被設置在複數邏輯驅動器內,且在晶片的上表面設置微型銅柱或凸塊,微型銅柱或凸塊的上表面具有一水平面位在複數晶片的最頂層絕緣介電層之上表面的水平面之上,其高度例如是介於3µm至60µm之間、介於5µm至50µm之間、介於5µm至40µm之間、介於5µm至30µm之間、介於5µm至20µm之間、介於5µm至15µm之間或介於3µm至10µm之間,或大於或等於30µm、20µm、15µm、5µm或3µm,複數晶片被設置、固定或黏著在晶片載體、支架、灌模材料或基板上時,晶片具複數電晶體的表面或側邊朝向,複數晶片的矽基板的背面(此側未具有複數電晶體)朝下設置、固定或黏著在晶片載體、支架、灌模材料或基板上。(1) Provide a chip carrier, support, molding material or substrate, and a plurality of IC chips and packages; then place, fix or adhere a plurality of IC chips and package them on the chip carrier, support, molding material or substrate, the chip carrier, The holder, potting material or substrate can be wafer type (with a diameter of 8", 12" or 18" wafers), or a square or rectangular panel type (with a width or length greater than or equal to 20cm, 30cm) , 50cm, 75cm, 100cm, 150cm, 200cm or 300cm), the material of the wafer carrier, holder, potting material or substrate can be silicon material, metal material, glass material, plastic material, polymer material, epoxy-base polymer material or epoxy based compound material. The plurality of IC chips and packages disclosed and described above can be disposed, fixed or adhered on a chip carrier, support, potting material or substrate, wherein the plurality of IC chips and packages include a plurality of commercial standard FPGA IC chips, a plurality of non-volatile I/O chips or packages, dedicated control chips, multiple dedicated I/O chips, dedicated control and I/O chips, IAC, DCIAC and/or DCDI/OIAC chips, all of which are located in multiple logic drivers and are Micro copper pillars or bumps are arranged on the upper surface of the micro copper pillars or bumps, and the upper surface of the micro copper pillars or bumps has a level above the level of the upper surface of the topmost insulating dielectric layer of the plurality of chips, and its height is, for example, between 3µm to 60µm, 5µm to 50µm, 5µm to 40µm, 5µm to 30µm, 5µm to 20µm, 5µm to 15µm, or 3µm to 10µm time, or greater than or equal to 30µm, 20µm, 15µm, 5µm or 3µm, when the plurality of wafers are set, fixed or adhered on a wafer carrier, support, potting material or substrate, the surface or side of the wafer with the plurality of transistors is oriented, The backside of the silicon substrate of the plurality of chips (the side that does not have the plurality of transistors) is disposed, fixed or adhered on the chip carrier, the holder, the potting material or the substrate facing down.

(II)例如使用旋轉塗佈的方式、網版印刷方式或滴注方式或灌模方式將一材料、樹脂或化合物填入複數晶片之間的間隙及覆蓋在複數晶片上,此灌模方式包括壓力灌模(使用上模及下模的方式)或澆注灌模(使用滴注方式),此材料、樹脂或化合物可以是一聚合物材質,例如包括聚酰亞胺、苯並環丁烯、聚對二甲苯、環氧樹脂基底材質或化合物、光感性環氧樹脂SU-8、彈性體或矽膠(silicone),此聚合物可例如是日本AsahiKasei公司所提供的感光性聚酰亞胺/PBOPIMEL™、由日本NagaseChemteX公司提供的環氧樹脂基底灌模化合物、樹脂或密封膠,此材料、樹脂或化合物被使在(經由塗佈、印刷、滴注或灌模)晶片載體、支架、灌模材料或基板之上及在複數晶片上至一水平面,如(i)將複數晶片的間隙填滿;(ii)將複數晶片的最頂端覆蓋;(iii)填滿複數晶片上的微型銅柱或凸塊之間的間隙;(iv)覆蓋複數晶片上的微型銅柱或凸塊的上表面,此材料、樹脂及化合物可經由溫度加熱至一特定溫度被固化或交聯(cross-linked),此特定溫度例如是高於或等於50℃、70℃、90℃、100℃、125℃、150℃、175℃、200℃、225℃、250℃、275℃或300℃,此材料可是聚合物或灌模材料,使用CMP拋光或研磨方式將使用的材料、樹脂或化合物的表面至複數晶片上的所有的微型凸塊或柱的上表面全部曝露。晶片載體、支架、灌模材料或基板接著可:(i)在CMP製程後及在邏輯驅動器上形成頂部交互連接線結構(TISD)之前,晶片載體、支架、灌模材料或基板可被移除,其中TISD將於下文中揭露;(ii)在之後的製造邏輯驅動器步驟期間,晶片載體、支架、灌模材料或基板保持晶圓或面板型式,在所有的生產或製造邏輯驅動器的製程步驟後移除晶片載體、支架、灌模材料或基板,或(iii)被保留成為最後完成且分離的邏輯驅動器產品的一部分,而移除晶片載體、支架、灌模材料或基板的方式例如可以是一CMP製程、一拋光製程、晶片背面研磨製程,或者,在晶圓或面板製程中,利用一CMP製程、一拋光製程、晶片背面研磨製程移除部分的晶圓或面板使其變薄,在所有的晶圓或面板製程結東後,晶圓或面板可經由切割分離成為複數個別的邏輯驅動器。(II) Filling the gaps between the plurality of wafers and covering the plurality of wafers with a material, resin or compound, such as by spin coating, screen printing or dripping or casting, the casting method includes Pressure casting mold (using upper and lower molds) or pouring casting mold (using dripping method), the material, resin or compound can be a polymer material, such as polyimide, benzocyclobutene, Parylene, epoxy resin base material or compound, photosensitive epoxy resin SU-8, elastomer or silicone (silicone), this polymer can be, for example, photosensitive polyimide/PBOPIMEL provided by Japan Asahi Kasei Company ™, epoxy resin-based casting compound, resin or sealant provided by NagaseChemteX, Japan, which material, resin or compound is applied (via coating, printing, dripping or casting) to wafer carriers, supports, castings Above the material or substrate and on the plurality of wafers to a level, such as (i) filling the gaps of the plurality of wafers; (ii) covering the top of the plurality of wafers; (iii) filling the micro copper pillars on the plurality of wafers or gaps between bumps; (iv) covering the upper surfaces of micro-copper pillars or bumps on a plurality of wafers, the materials, resins and compounds can be cured or cross-linked by heating to a specific temperature, The specific temperature is, for example, higher than or equal to 50°C, 70°C, 90°C, 100°C, 125°C, 150°C, 175°C, 200°C, 225°C, 250°C, 275°C or 300°C, and the material can be a polymer Or pour the mold material, use CMP polishing or grinding to fully expose the surface of the used material, resin or compound to the upper surface of all micro-bumps or pillars on the plurality of wafers. The wafer carrier, support, potting material or substrate may then: (i) After the CMP process and before forming the top interconnect structure (TISD) on the logic driver, the wafer carrier, support, potting material or substrate may be removed , where the TISD will be disclosed below; (ii) the chip carrier, support, potting material or substrate remains in wafer or panel form during subsequent steps of manufacturing the logic drive, after all process steps of producing or fabricating the logic drive The wafer carrier, support, potting material or substrate is removed, or (iii) is retained as part of the finalized and separated logic drive product, and the wafer carrier, support, potting material or substrate can be removed in a manner such as a CMP process, a polishing process, a wafer back grinding process, or, in a wafer or panel process, a CMP process, a polishing process, a wafer back grinding process to remove part of the wafer or panel to make it thinner, in all After the wafer or panel process is completed, the wafer or panel can be separated into a plurality of individual logic drivers by dicing.

(III)經由一晶圓或面板製程形成邏輯驅動器上的頂部交互連接線結構(TISD)在平坦化材料、樹脂或化合物上及在微型金屬柱或凸塊曝露的上表面,TISD包括複數金屬層,在每一金屬層之間具有金屬間介電層,及可選擇性的包括絕緣介電層在平坦化材料、樹脂或化合物層上及在平坦化材料、樹脂或化合物層與TISD的最底端交互連接線金屬層之間,TISD中的複數交互連接線金屬層的金屬線或連接線位在複數晶片上方及水平延伸越過複數晶片的邊緣,換句話說,金屬線或連接線穿過邏輯驅動器的複數晶片之間的間隙,TISD中的複數交互連接線金屬層的金屬線或連接線連接或耦接邏輯驅動器的二個或更多的晶片的電路,TISD形成的步驟如下:TISD的絕緣介電層接著沉積在整個晶圓上,包括在平坦化材料、樹脂或化合物層及微型銅柱或凸塊曝露的上表面上,絕緣介電層具有平坦化的功能,一聚合物材質可被用於TISD的絕緣介電層,例如包括聚酰亞胺、苯並環丁烯、聚對二甲苯、環氧樹脂基底材質或化合物、光感性環氧樹脂SU-8、彈性體或矽膠(silicone),TISD的絕緣介電層所使用的材質包括有機材質,例如是一聚合物、或材質化合物包括碳,此聚合物層可經由旋塗、網版印刷、滴注或灌模成型的方式形成,聚合物的材質可以是光感性材質,可用於光組層中圖案化開口,以便在之後的程序中形成金屬栓塞,也就是將光感性光阻聚合物層塗佈、及經由一光罩曝光,接著顯影及蝕刻而形成複數開口在聚合物層內,在光感性光阻絕緣介電層中的開口與微型銅柱或凸塊曝露的上表面、邏輯驅動器中的複數晶片上的微型銅柱或凸塊之曝露的上表面重疊,在某些應用或設計中,在聚合物層中的開口尺寸係小於微型銅柱或凸塊的上表面尺寸,在其它的應用或設計中,在聚合物層中的開口尺寸係大於微型銅柱或凸塊的上表面尺寸,聚合物層內的開口曝露平坦化材料、樹脂或化合物層的上表面,接著光感性光阻聚合物層(絕緣介電層)在一溫度下固化,例如是高於100℃、125℃、150℃、175℃、200℃、225℃、250℃、275℃或300℃,接著在某些情況下,進行一浮凸(emboss)銅製程在TISD的絕緣介電層上或上方、及在固化後聚合物層內的開口中的微型銅柱或凸塊曝露的上表面上或上方、在固化後聚合物層內的開口中的平坦化材料、樹脂或化合物曝露的上表面上或上方:(a)首先沉積一黏著層在整個晶圓的固化聚合物層上、及在固化聚合物層內的複數開口中的微型銅柱或凸塊曝露的上表面,某些案例中,黏著層可沉積在固化聚合物層內的複數開口中的平坦化材料、樹脂或化合物曝露的上表面,例如,例如係經由濺鍍方式、CVD沉積一Ti層或一TiN層(其厚度例如係介於1nm至50nm之間);(b)接著沉積電鍍用種子層在黏著層上,例如係以濺鍍或CVD沉積的方式(其厚度例如係介於3nm至400nm之間或介於3nm至200nm之間);(c)塗佈、曝露及顯影光阻層在銅種子層上,經由之後接續的製程形成溝槽或開口在光阻層內,用於形成TISD中的複數交互連接線金屬層之金屬線或連接線,其中在光阻層內的溝槽(開口)部分可與固化聚合物層內的開口整個面積重疊,經由後接程序在固化聚合物層開口中的金屬栓塞;曝露在溝槽或開口底部的銅種子層;(d)接著電鍍一銅層(其厚度例如係介於0.3µm至20µm之間、介於0.5µm至5µm之間、介於1µm至10µm之間、介於2µm至10µm之間)在光阻層內的圖案化溝槽或開口底部的銅種子層上;(e)移除剩餘的光阻層;(f)移除或蝕刻未在電鍍銅層下方的銅種子層及黏著層,此浮凸金屬(Ti(TiN)/銅種子層/電鍍銅層)留在或保留在固化聚合物層的開口內,用於作為絕緣介電層內的金屬栓塞;及浮凸金屬(Ti(TiN)/銅種子層/電鍍銅層)留在或保留在光阻層中的溝槽或開口的位置(其中光阻層將在形成電鍍銅層後被移除)用於TISD中的複數交互連接線金屬層之金屬線或連接線,形成絕緣介電層及複數開口的製程及以浮凸銅製程用於形成在絕緣介電層內的複數金屬栓塞及複數交互連接線金屬層中的金屬線或連接線可被重覆以形成複數交互連接線金屬層在TISD中,其中絕緣介電層係沉積在交互連接線金屬層中且在交互連接線之間,其中該絕緣介電層的頂端部分係用作為TISD中的二複數交互連接線金屬層之間的金屬間介電層、及絕緣介電層的頂端部分內的複數金屬栓塞(現在係在金屬間介電層內)用於連接或耦接TISD中的二複數交互連接線金屬層之金屬線或連接線,絕緣介電層的底部部分係用作為TISD之同一交互連接線金屬層中介於交互連接線之間的介電層,意即是該交互連接線金屬層之金屬線或連接線位在絕緣介電層的底部,TISD的最頂端複數交互連接線金屬層被TISD的最頂端絕緣介電層覆蓋,最頂端絕緣介電層具有複數開口在絕緣介電層內且曝露最頂端複數交互連接線金屬層的上表面,TISD可包括2至6層的複數交互連接線金屬層或3至5層的複數交互連接線金屬層,TISD中的交互連接金屬線或連接線具有黏著層(例如是Ti層或TiN層)及銅種子層只位在底部,而沒有位在金屬線或連接線的側壁上,FISC的交互連接金屬線或連接線具有黏著層(例如是Ti層或TiN層)及銅種子層,位在金屬線或連接線的底部及側壁上。(III) Forming a top interconnect structure (TISD) on the logic driver through a wafer or panel process on the planarization material, resin or compound and on the exposed upper surface of the micro metal pillars or bumps, the TISD includes a plurality of metal layers , with an inter-metal dielectric layer between each metal layer, and optionally including an insulating dielectric layer on the planarizing material, resin or compound layer and on the bottom of the planarizing material, resin or compound layer and the TISD Between the metal layers of the terminal interconnects, the metal lines or interconnects of the multiple interconnect metal layers in TISD are located above the plurality of wafers and extend horizontally across the edges of the plurality of wafers. In other words, the metal lines or interconnects pass through the logic The gap between the plurality of chips of the driver, the plurality of interconnecting wires in the TISD, the metal wires or connecting wires of the metal layer connect or couple the circuits of two or more chips of the logic driver, the steps of TISD formation are as follows: TISD insulation The dielectric layer is then deposited over the entire wafer, including on the top surface of the planarizing material, resin or compound layer and the exposed top surface of the micro copper pillars or bumps. The insulating dielectric layer has the function of planarizing, and a polymer material can be Insulating dielectric layers for TISD, such as polyimide, benzocyclobutene, parylene, epoxy base material or compound, photosensitive epoxy SU-8, elastomer or silicone ), the material used for the insulating dielectric layer of TISD includes organic materials, such as a polymer, or a material compound including carbon, and the polymer layer can be formed by spin coating, screen printing, dripping or injection molding , the material of the polymer can be a photosensitive material, which can be used for patterning openings in the photogroup layer to form metal plugs in the subsequent process, that is, coating the photosensitive photoresist polymer layer and exposing it through a photomask , and then develop and etch to form a plurality of openings in the polymer layer, openings in the photosensitive photoresist insulating dielectric layer and the exposed upper surface of the micro copper pillars or bumps, micro copper pillars on the plurality of chips in the logic driver or the exposed top surfaces of the bumps overlap, in some applications or designs, the opening size in the polymer layer is smaller than the size of the top surface of the microcopper pillars or bumps, in other applications or designs, in the polymer layer The size of the openings in the layer is larger than the size of the upper surface of the micro copper pillars or bumps, the openings in the polymer layer expose the upper surface of the planarizing material, resin or compound layer, followed by the photosensitive photoresist polymer layer (insulating dielectric layer). ) curing at a temperature, for example above 100°C, 125°C, 150°C, 175°C, 200°C, 225°C, 250°C, 275°C or 300°C, followed by a relief ( emboss) copper process openings in the cured polymer layer on or over the insulating dielectric layer of the TISD and on or over the exposed upper surface of the micro-copper pillars or bumps in the openings in the cured polymer layer On or over the exposed upper surface of the planarizing material, resin or compound in: (a) first deposit an adhesive layer over the cured polymer layer of the entire wafer, and a plurality of openings in the cured polymer layer The exposed upper surface of the micro-copper pillars or bumps in the, in some cases, an adhesion layer may be deposited in the plurality of openings in the cured polymer layer The upper surface of the exposed planarization material, resin or compound, for example, via Sputtering, CVD deposition of a Ti layer or a TiN layer (the thickness of which is between 1 nm and 50 nm, for example); (b) then depositing a seed layer for electroplating on the adhesion layer, such as by sputtering or CVD deposition way (the thickness of which is, for example, between 3 nm and 400 nm or between 3 nm and 200 nm); (c) coating, exposing and developing a photoresist layer on the copper seed layer, and forming trenches or The opening is in the photoresist layer, which is used to form the metal lines or connecting lines of a plurality of interconnected metal layers in TISD, wherein the trench (opening) part in the photoresist layer can be with the entire area of the opening in the cured polymer layer Overlapping, metal plugs in the cured polymer layer openings via a subsequent procedure; copper seed layers exposed at the bottom of the trenches or openings; (d) followed by electroplating of a copper layer (with a thickness between, for example, 0.3µm to 20µm) , 0.5µm to 5µm, 1µm to 10µm, 2µm to 10µm) on the copper seed layer at the bottom of patterned trenches or openings in the photoresist layer; (e) removed The remaining photoresist layer; (f) remove or etch the copper seed layer and the adhesion layer not under the electroplated copper layer, the relief metal (Ti(TiN)/copper seed layer/electroplated copper layer) remains or remains in In openings in the cured polymer layer for use as metal plugs in the insulating dielectric layer; and trenches where the raised metal (Ti(TiN)/copper seed layer/electroplated copper layer) remains or remains in the photoresist layer Or the location of the openings (wherein the photoresist layer will be removed after the formation of the electroplated copper layer) is used for the metal lines or connecting lines of the plurality of interconnecting metal layers in TISD, the process of forming the insulating dielectric layer and the plurality of openings, and The copper relief process is used to form the plurality of metal plugs and the plurality of interconnection lines in the insulating dielectric layer. The metal lines or connecting lines in the metal layer can be repeated to form the plurality of interconnection line metal layers. In TISD, the insulating dielectric An electrical layer is deposited in the interconnect metal layers and between interconnects, wherein the top portion of the insulating dielectric layer is used as an intermetal dielectric layer between two interconnect metal layers in TISD, and a plurality of metal plugs in the top portion of the insulating dielectric layer (now in the intermetal dielectric layer) for connecting or coupling the metal lines or connecting lines of the two plurality of interconnected metal layers in the TISD, the insulating dielectric The bottom part of the layer is used as a dielectric layer between the interconnecting lines in the same interconnecting metal layer of TISD, which means that the metal lines or connecting lines of the interconnecting metal layer are located at the bottom of the insulating dielectric layer. , the metal layer of the topmost plurality of interconnecting lines of the TISD is covered by the topmost insulating dielectric layer of the TISD, and the topmost insulating dielectric layer has a plurality of openings in the insulating dielectric layer and exposes the upper surface of the metal layer of the topmost plural interconnecting lines , TISD can include 2 to 6 layers of complex interconnection wire gold Metal layers or 3 to 5 layers of multiple interconnecting metal layers, the interconnecting metal lines or interconnecting lines in TISD have an adhesive layer (such as a Ti layer or a TiN layer) and a copper seed layer only at the bottom, not at the bottom On the sidewalls of the metal lines or connecting lines, the interconnecting metal lines or connecting lines of the FISC have an adhesive layer (eg, a Ti layer or a TiN layer) and a copper seed layer on the bottom and sidewalls of the metal lines or connecting lines.

TISD交互連接金屬線或連接線通過複數晶片上的微型金屬柱或凸塊連接或耦接至SISC交互連接金屬線或連接線、FISC交互連接金屬線或連接線及(或)邏輯驅動器中的複數晶片上的電晶體,複數晶片被填在複數晶片之間的間隙之樹脂材料或化合物圍繞,這些晶片的表面也被樹脂材料或化合物覆蓋,TISD中的金屬線或連接線之厚度例如係介於0.3µm至30µm之間、介於0.5µm至20µm之間、介於1µm至10µm之間或介於0.5µm至5µm之間,或是厚度係厚於或等於0.3µm、0.5µm、0.7µm、1µm、1.5µm、2µm、3µm或5µm,TISD中的金屬線或連接線的寬度例如係介於0.3µm至30µm之間、介於0.5µm至20µm之間、介於1µm至10µm之間或介於0.5µm至5µm之間,或是寬度是大於或等於0.3µm、0.5µm、0.7µm、1µm、1.5µm、2µm、3µm或5µm,TISD的金屬間介電層的厚度例如係介於0.3µm至30µm之間、介於0.5µm至20µm之間、介於1µm至10µm之間或介於0.5µm至5µm之間,或是厚度係厚於或等於0.3µm、0.5µm、0.7µm、1µm、1.5µm、2µm、3µm或5µm,TISD中的複數交互連接線金屬層之金屬線或連接線可用於複數可編程交互連接線。TISD interconnecting metal lines or connecting lines are connected or coupled to a plurality of SISC interconnecting metal lines or connecting lines, FISC interconnecting metal lines or connecting lines and/or logic drivers through micro-metal pillars or bumps on a plurality of wafers The transistors on the wafer, the plurality of wafers are surrounded by resin material or compound filling the gaps between the plurality of wafers, the surface of these wafers is also covered with resin material or compound, the thickness of metal wires or connecting lines in TISD is, for example, between 0.3µm to 30µm, 0.5µm to 20µm, 1µm to 10µm, or 0.5µm to 5µm, or thicker than or equal to 0.3µm, 0.5µm, 0.7µm, 1µm, 1.5µm, 2µm, 3µm or 5µm, the width of metal lines or connecting lines in TISD is for example between 0.3µm and 30µm, between 0.5µm and 20µm, between 1µm and 10µm, or between 0.3µm and 30µm. Between 0.5µm and 5µm, or the width is greater than or equal to 0.3µm, 0.5µm, 0.7µm, 1µm, 1.5µm, 2µm, 3µm or 5µm, the thickness of the IMD layer of TISD is, for example, 0.3µm to 30µm, 0.5µm to 20µm, 1µm to 10µm, or 0.5µm to 5µm, or thicker than or equal to 0.3µm, 0.5µm, 0.7µm, 1µm, 1.5µm, 2µm, 3µm or 5µm, the metal lines or connecting lines of the metal layer of the multiple interconnection lines in TISD can be used for the multiple programmable interconnection lines.

(IV)經由上述揭露的銅浮凸製程形成複數銅柱或凸塊在TISD中最頂端絕緣介電層上的複數銅柱或凸塊,及在TISD中最頂端絕緣介電層的複數開口內最頂端複數交互連接線金屬層曝露的上表面,其製程步驟如下:(a)沉積t72在整個晶圓或面板的TISD之最頂端絕緣介電層上,及在TISD中最頂端絕緣介電層的複數開口內的複數交互連接線金屬層曝露的上表面,例如濺鍍或CVD沉積一Ti層或TiN層(其厚度例如係介於1nm至200nm之間或介於5nm至50nm之間);(b)接著沉積一電鍍用種子層在黏著層上,例如是濺鍍或CVD沉積一銅種子層(其厚度例如係介於3nm至400nm之間或介於10nm至200nm之間);(c)經由塗佈、曝光及顯影等製程,在光阻層中圖案化的複數開口及孔洞並曝露銅種子層,用於形成銅接墊,在光阻層內的開口與TISD中開口內頂端絕緣介電層重疊,及可延伸在最頂端絕緣介電層上的開口至TISD最頂端絕緣介電層的一環形區塊複數銅柱或凸塊環繞(TISD的)最頂端絕緣介電層的開口;(d)接著電鍍一銅層(其厚度例如係介於1µm至50µm之間、介於1µm至40µm之間、介於1µm至30µm之間、介於1µm至20µm之間、介於1µm至10µm之間、介於1µm至5µm之間或介於1µm至3µm之間)在光阻層的開口內的銅種子層上;(e)移除剩餘的光阻;(f)移除或蝕刻未在電鍍銅層下方的銅種子層及黏著層,剩下的金屬層被用於作為複數銅柱或凸塊,複數銅柱或凸塊可用於連接或耦接至邏輯驅動器的複數晶片,例如是專用I/O晶片,至邏輯驅動器之外的外部電路或元件,複數銅柱或凸塊的高度例如是介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間,或大於、高於或等於50µm、30µm、20µm、15µm或10µm,複數銅柱或凸塊的剖面視圖中最大直徑(例如是圓形的直徑或方形或長方形的對角線)例如係介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間、介於10µm至30µm之間,或大於或等於60µm、50µm、40µm、30µm、20µm、15µm或10µm,最相近銅柱或凸塊之間的最小空間(間隙)例如係介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間,或大於或等於60µm、50µm、40µm、30µm、20µm、15µm或10µm,複數銅凸塊或銅金屬柱可用於邏輯驅動器驅動器覆晶封裝在基板、軟板或母板上,類似使用在LCD驅動器封裝技術中的覆晶組裝的晶片封裝技術或Chip-On-Film(COF)封裝技術,基板、軟板或母板例如可用在印刷電路板(PCB)、一含有交互連接線結構的矽基板、一含有交互連接線結構的金屬基板、一含有交互連接線結構的玻璃基板、一含有交互連接線結構的陶瓷基板或一含有交互連接線結構的軟板,基板、軟板或母板可包括複數金屬接合接墊或凸塊在其表面,此複數金屬接合接墊或凸塊具有一銲錫層在其頂端表面用於銲錫流或熱壓合程序將複數銅柱或凸塊接合在邏輯驅動器封裝上,此複數銅柱或凸塊設置在邏輯驅動器封裝的正面表面具有球柵陣列(Ball-Grid-Array(BGA))的布局,其中在外圍區域的複數銅柱或凸塊用於訊號I/Os,而中心區域附近的電源/接地(P/G)I/Os,訊號凸塊在外圍區域可圍成一環(圈)形區域在沿著邏輯驅動器驅動器封裝的邊界,例如是1圈、2圈、3圈、4圈、5圈或6圈,複數訊號I/Os的間距在環形區域可小於中心區域附近的電源/接地(P/G)I/Os的間距或靠近邏輯驅動器封裝的中心區域。(IV) Forming a plurality of copper pillars or bumps on the topmost insulating dielectric layer in the TISD through the copper embossing process disclosed above, and in the plurality of openings of the topmost insulating dielectric layer in the TISD On the exposed upper surface of the topmost plurality of interconnected metal layers, the process steps are as follows: (a) deposit t72 on the topmost insulating dielectric layer of the TISD of the entire wafer or panel, and the topmost insulating dielectric layer in the TISD The exposed upper surface of the metal layers of the interconnecting lines in the plurality of openings, such as sputtering or CVD deposition of a Ti layer or TiN layer (the thickness of which is, for example, between 1 nm and 200 nm or between 5 nm and 50 nm); (b) then depositing a seed layer for electroplating on the adhesion layer, such as sputtering or CVD deposition of a copper seed layer (the thickness of which is, for example, between 3 nm and 400 nm or between 10 nm and 200 nm); (c) ) Through the processes of coating, exposure and development, patterned openings and holes in the photoresist layer and exposing the copper seed layer to form copper pads, the openings in the photoresist layer are insulated from the tops of the openings in the TISD Overlapping of dielectric layers, and an annular block of copper pillars or bumps surrounding (TISD) top-most insulating dielectric layer openings that can extend from the top-most insulating dielectric layer to TISD ; (d) followed by electroplating of a copper layer (with a thickness of, for example, between 1 µm and 50 µm, between 1 µm and 40 µm, between 1 µm and 30 µm, between 1 µm and 20 µm, between 1 µm and 10µm, 1µm to 5µm, or 1µm to 3µm) on the copper seed layer within the opening of the photoresist layer; (e) remove the remaining photoresist; (f) remove or etch The copper seed layer and the adhesion layer not under the electroplated copper layer, the remaining metal layer is used as a plurality of copper pillars or bumps, and the plurality of copper pillars or bumps can be used for connection or coupling to a plurality of chips of logic drivers, such as It is a dedicated I/O chip to external circuits or components other than logic drivers. The height of the plurality of copper pillars or bumps is, for example, between 5µm and 120µm, between 10µm and 100µm, and between 10µm and 60µm. between 10µm and 40µm or between 10µm and 30µm, or greater than, higher than or equal to 50µm, 30µm, 20µm, 15µm or 10µm, the largest diameter in cross-sectional view of a plurality of copper pillars or bumps (e.g. diameter of a circle or diagonal of a square or rectangle) e.g. between 5µm and 120µm, between 10µm and 100µm, between 10µm and 60µm, between 10µm and 40µm, between 10µm Between 30µm, or greater than or equal to 60µm, 50µm, 40µm, 30µm, 20µm, 15µm or 10µm, the minimum space (gap) between the nearest copper pillars or bumps is, for example, between 5µm and 120µm, between 10µm to 100µm, 10µm to 60µ m, 10µm to 40µm or 10µm to 30µm, or greater than or equal to 60µm, 50µm, 40µm, 30µm, 20µm, 15µm or 10µm, copper bumps or copper metal pillars can be used for logic drivers The driver is flip-chip packaged on a substrate, flex board or motherboard, similar to the flip-chip assembly chip packaging technology or Chip-On-Film (COF) packaging technology used in LCD driver packaging technology, such as a substrate, flex board or motherboard. It can be used on a printed circuit board (PCB), a silicon substrate with an interconnect structure, a metal substrate with an interconnect structure, a glass substrate with an interconnect structure, a ceramic substrate with an interconnect structure, or a A flexible board with an interconnecting wire structure, a substrate, a flexible board or a motherboard may include a plurality of metal bonding pads or bumps on its surface, the plurality of metal bonding pads or bumps having a solder layer on its top surface for soldering A flow or thermal bonding process bonds a plurality of copper pillars or bumps on the logic driver package, the plurality of copper pillars or bumps being arranged on the front surface of the logic driver package with a Ball-Grid-Array (BGA) A layout in which a plurality of copper pillars or bumps in the peripheral area are used for signal I/Os, and the power/ground (P/G) I/Os near the central area, and the signal bumps can form a ring (circle) in the peripheral area The shape area is along the boundary of the logic driver driver package, such as 1 circle, 2 circles, 3 circles, 4 circles, 5 circles or 6 circles, and the spacing of the complex signal I/Os in the circle area can be smaller than the power/ Ground (P/G) I/Os on or near the center area of the logic driver package.

或者,複數銲錫凸塊可經由浮凸銅/銲錫製程形成在TISD中最頂端絕緣介電層上或上方、TISD中最頂端絕緣介電層的複數開口內最頂端複數交互連接線金屬層之曝露上表面,其製程步驟如下:(a)沉積黏著層在整個晶圓或面板上TISD中最頂端絕緣介電層上或上方、TISD中最頂端絕緣介電層的複數開口內最頂端複數交互連接線金屬層之曝露上表面,例如濺鍍或CVD沉積一Ti層或TiN層(其厚度例如係介於1nm至200nm之間或介於5nm至50nm之間);(b)接著沉積一電鍍用種子層在黏著層上,例如是濺鍍或CVD沉積一銅種子層(其厚度例如係介於3nm至400nm之間或介於10nm至200nm之間);(d)經由塗佈、曝光及顯影等製程,在光阻層中圖案化的複數開口及孔洞並曝露銅種子層,用於形成之後的複數銲錫凸塊,在光阻層內的開口與TISD中最頂端絕緣介電層中的開口重疊;及最頂端絕緣介電層的開口延伸至TISD中最頂端絕緣介電層的一區域或一環形區域環繞最頂端絕緣介電層內的開口;(d)接著電鍍一銅阻障層(其厚度例如係介於1µm至50µm之間、介於1µm至30µm之間、介於1µm至20µm之間、介於1µm至10µm之間、介於1µm至5µm之間或介於1µm至3µm之間)在光阻層的開口內的銅種子層上;(e)接著電鍍一銲錫層(其厚度例如係介於1µm至150µm之間、介於1µm至120µm之間、介於5µm至120µm之間、介於5µm至100µm之間、介於5µm至75µm之間、介於5µm至50µm之間、介於5µm至40µm之間、介於5µm至30µm之間、介於5µm至20µm之間、介於5µm至10µm之間或介於1µm至3µm之間)在在光組層的開口內的電鍍銅阻障層上;(f)移除剩餘的光阻;(g)移除或蝕刻未在電鍍銅阻障層及電鍍銲層下方的銅種子層及黏著層;(h)迴銲銲錫層形成複數銲錫凸塊,剩下的金屬(Ti層(或TiN層)/銅種子層/阻障銅層/銲錫層)經由銲錫流的製程並用於作為複數銲錫凸塊,此複數銲錫凸塊的材質可以是無铅銲錫,此無铅銲錫在商業用途可包括含錫合金、銅金屬、銀金屬、鉍金屬、銦金屬、鋅金屬、銻金屬或其他金屬,例如此無铅銲錫可包括錫-銀-銅銲錫、錫-銀銲錫或錫-銀-銅-鋅銲錫,複數銲錫凸塊用於連接或耦接至邏輯驅動器的複數晶片,例如是專用I/O晶片,至邏輯驅動器之外的外部電路或元件,複數銲錫凸塊的高度例如是介於5µm至150µm之間、介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間,或大於、高於或等於75µm、50µm、30µm、20µm、15µm或10µm,銲錫凸塊的高度是從TISD中最頂端絕緣介電層至銲錫凸塊頂端表面之間的距離,複數銲錫凸塊的剖面視圖中最大直徑(例如是圓形的直徑或方形或長方形的對角線)例如係介於5µm至200µm之間、介於5µm至150µm之間、介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間、介於10µm至30µm之間,或大於或等於100µm、60µm、50µm、40µm、30µm、20µm、15µm或10µm,最相近銲錫凸塊之間的最小空間(間隙)例如係介於5µm至150µm之間、介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間,或大於或等於60µm、50µm、40µm、30µm、20µm、15µm或10µm,複數銲錫凸塊可用於邏輯驅動器驅動器覆晶封裝在基板、軟板或母板上,類似使用在LCD驅動器封裝技術中的覆晶組裝的晶片封裝技術或Chip-On-Film(COF)封裝技術,銲錫凸塊封裝製程可包括一使用銲錫銲劑(solderflux)或不使用銲錫銲劑情況下進行銲錫流(solderflow)或迴銲(reflow)程序,基板、軟板或母板例如可用在印刷電路板(PCB)、一含有交互連接線結構的矽基板、一含有交互連接線結構的金屬基板、一含有交互連接線結構的玻璃基板、一含有交互連接線結構的陶瓷基板或一含有交互連接線結構的軟板,複數銲錫凸塊被設置在邏輯驅動器封裝的底部表面具有球柵陣列(Ball-Grid-Array(BGA))的布局,其中在外圍區域的複數銲錫凸塊用於訊號I/Os,而中心區域附近的電源/接地(P/G)I/Os,訊號凸塊在外圍區域可圍成一環(圈)形區域在靠近邏輯驅動器驅動器封裝邊界,例如是1圈、2圈、3圈、4圈、5圈或6圈,複數訊號I/Os的間距在環形區域可小於中心區域附近的電源/接地(P/G)I/Os的間距。Alternatively, a plurality of solder bumps may be formed on or over the topmost insulating dielectric layer in the TISD through a copper relief/solder process, and the topmost plurality of interconnection metal layers within the plurality of openings of the topmost insulating dielectric layer in the TISD are exposed On the upper surface, the process steps are as follows: (a) depositing an adhesive layer on or above the topmost insulating dielectric layer in the TISD on the entire wafer or panel, and the topmost multiple interconnections in the plurality of openings of the topmost insulating dielectric layer in the TISD The exposed upper surface of the wire metal layer, such as sputtering or CVD deposition of a Ti layer or TiN layer (the thickness of which is, for example, between 1 nm and 200 nm or between 5 nm and 50 nm); (b) Then deposit a layer for electroplating The seed layer is on the adhesion layer, for example, by sputtering or CVD deposition of a copper seed layer (the thickness of which is, for example, between 3 nm and 400 nm or between 10 nm and 200 nm); (d) by coating, exposing and developing and other processes, patterned multiple openings and holes in the photoresist layer and exposed the copper seed layer for the formation of subsequent multiple solder bumps, openings in the photoresist layer and openings in the topmost insulating dielectric layer in the TISD and the opening in the topmost insulating dielectric layer extends to a region of the topmost insulating dielectric layer in the TISD or an annular region surrounding the opening in the topmost insulating dielectric layer; (d) then electroplating a copper barrier layer ( Its thickness is, for example, between 1 µm and 50 µm, between 1 µm and 30 µm, between 1 µm and 20 µm, between 1 µm and 10 µm, between 1 µm and 5 µm, or between 1 µm and 3 µm. between) on the copper seed layer in the opening of the photoresist layer; (e) then electroplating a solder layer (with a thickness of, for example, between 1 µm and 150 µm, between 1 µm and 120 µm, between 5 µm and 120 µm) , 5µm to 100µm, 5µm to 75µm, 5µm to 50µm, 5µm to 40µm, 5µm to 30µm, 5µm to 20µm, between 5µm and 10µm or between 1µm and 3µm) on the electroplated copper barrier layer within the opening of the photogroup layer; (f) remove the remaining photoresist; (g) remove or etch the remaining photoresist Copper seed layer and adhesion layer under electroplated copper barrier layer and electroplated solder layer; (h) Reflow solder layer to form multiple solder bumps, remaining metal (Ti layer (or TiN layer)/Cu seed layer/resistor layer) Barrier copper layer/solder layer) through the solder flow process and used as a plurality of solder bumps, the material of the plurality of solder bumps can be lead-free solder, the lead-free solder in commercial applications can include tin alloys, copper metal, silver Metal, bismuth metal, indium metal, zinc metal, antimony metal, or other metals, such as lead-free solder can include tin-silver-copper solder, tin-silver solder, or tin-silver-copper-zinc solder, for multiple solder bumps For multiple chips connected or coupled to logic drivers, such as dedicated I/O chips, to external circuits or components other than logic drivers, the height of the plurality of solder bumps is, for example, between 5µm and 150µm, 5µm to 120µm, 10µm to 100µm, 10µm to 60µm, 10µm to 40µm, or 10µm to 30µm, or greater than, higher than, or Equal to 75µm, 50µm, 30µm, 20µm, 15µm or 10µm, the height of the solder bump is the distance from the topmost insulating dielectric layer in the TISD to the top surface of the solder bump, the largest diameter in the cross-sectional view of the plurality of solder bumps ( e.g. diameter of a circle or diagonal of a square or rectangle) e.g. between 5µm and 200µm, between 5µm and 150µm, between 5µm and 120µm, between 10µm and 100µm, between 5µm and 100µm Between 10µm and 60µm, between 10µm and 40µm, between 10µm and 30µm, or greater than or equal to 100µm, 60µm, 50µm, 40µm, 30µm, 20µm, 15µm or 10µm, between the nearest solder bumps The minimum space (gap) is, for example, between 5µm and 150µm, between 5µm and 120µm, between 10µm and 100µm, between 10µm and 60µm, between 10µm and 40µm, or between Between 10µm and 30µm, or greater than or equal to 60µm, 50µm, 40µm, 30µm, 20µm, 15µm or 10µm, multiple solder bumps can be used for logic driver driver flip-chip packaging on substrates, flex boards or motherboards, similar to those used in LCDs In the driver packaging technology, the chip packaging technology of flip chip assembly or the Chip-On-Film (COF) packaging technology, the solder bump packaging process may include a solder flow with or without solder flux (solder flux) ) or reflow process, substrates, flex boards or motherboards can be used, for example, on printed circuit boards (PCBs), a silicon substrate with interconnecting line structures, a metal substrate with interconnecting line structures, a A glass substrate with a wire structure, a ceramic substrate with an interconnected wire structure, or a flexible board with an interconnected wire structure, a plurality of solder bumps are arranged on the bottom surface of the logic driver package with a Ball-Grid-Array (Ball-Grid-Array (Ball-Grid-Array) BGA)) layout, in which a plurality of solder bumps in the peripheral area are used for signal I/Os, and the power/ground (P/G) I/Os near the central area, the signal bumps can form a ring in the peripheral area ( The circle)-shaped area is close to the boundary of the logic driver driver package, such as 1 circle, 2 circles, 3 circles, 4 circles, 5 circles or 6 circles, the spacing of the complex signal I/Os in the circle area can be smaller than the power / Ground (P/G) I/Os spacing.

或者,金凸塊可可經由浮凸金製程被形成在TISD最上端絕緣介電層上或上方,及在TISD中最頂端絕緣介電層的複數開口內最頂端複數交互連接線金屬層曝露的上表面,其製程步驟如下:(a)沉積t72在整個晶圓或面板的TISD之最頂端絕緣介電層上,及在TISD中最頂端絕緣介電層的複數開口內的複數交互連接線金屬層曝露的上表面,例如濺鍍或CVD沉積一Ti層或TiN層(其厚度例如係介於1nm至200nm之間或介於5nm至50nm之間);(b)接著沉積一電鍍用種子層在黏著層上,例如是濺鍍或CVD沉積一金種子層(其厚度例如係介於1nm至300nm之間或介於1nm至50nm之間);(c)經由塗佈、曝光及顯影等製程,在光阻層中圖案化的複數開口及孔洞並曝露金種子層,用於之後的製程形成金凸塊,在光阻層內的開口與TISD中開口內頂端絕緣介電層重疊,及可延伸在最頂端絕緣介電層上的開口至TISD最頂端絕緣介電層的一區域或一環形區域環繞最頂端絕緣介電層內的開口;(d)接著電鍍一金層(其厚度例如係介於3µm至40µm之間、介於3µm至30µm之間、介於3µm至20µm之間、介於3µm至15µm之間或介於3µm至10µm之間)在光阻層的開口內的金種子層上;(f)移除剩餘的光阻;(g)移除或蝕刻未在電鍍金層下方的金種子層及黏著層,剩下的金屬層(Ti層(或TiN層)/金種子層/電鍍金層)被用於作為複數金凸塊,複數金凸塊可用於連接或耦接至邏輯驅動器的複數晶片,例如是專用I/O晶片,至邏輯驅動器之外的外部電路或元件,複數金凸塊的高度例如是介於3µm至40µm之間、介於3µm至30µm之間、介於3µm至20µm之間、介於3µm至15µm之間或介於3µm至10µm之間,或小於、低於或等於40µm、30µm、20µm、15µm或10µm,複數金凸塊的剖面視圖中最大直徑(例如是圓形的直徑或方形或長方形的對角線)例如係介於3µm至40µm之間、介於3µm至30µm之間、介於3µm至20µm之間、介於3µm至15µm之間或介於3µm至10µm之間,或小於或等於40µm、30µm、20µm、15µm或10µm,最相近金柱或金凸塊之間的最小空間(間隙)例如係介於3µm至40µm之間、介於3µm至30µm之間、介於3µm至20µm之間、介於3µm至15µm之間或介於3µm至10µm之間,或小於或等於40µm、30µm、20µm、15µm或10µm,複數金凸塊可用於邏輯驅動器驅動器覆晶封裝在基板、軟板或母板上,類似使用在LCD驅動器封裝技術中的覆晶組裝的晶片封裝技術或Chip-On-Film(COF)封裝技術,基板、軟板或母板例如可用在印刷電路板(PCB)、一含有交互連接線結構的矽基板、一含有交互連接線結構的金屬基板、一含有交互連接線結構的玻璃基板、一含有交互連接線結構的陶瓷基板或一含有交互連接線結構的軟板,當複數金凸塊使用COF技術時,複數金凸塊係利用熱壓接合方至接合至軟性電路軟板(flexiblecircuitfilmortape.)上,COF封裝所使用的複數金凸塊具有非常高數量的I/Os在一小面積上,且每一金凸塊之間的間距小於20µm,在邏輯驅動器封裝4邊周圍區域複數金凸塊或I/Os用於複數訊號輸入或輸出,例如10nm寬度的方形的邏輯驅動器封裝具有二圈(環)(或二行)沿著邏輯驅動器封裝體的4邊,例如是大於或等於5000個I/Os(金凸塊之間的間距為15µm)、4000個I/Os(金凸塊之間的間距為20µm)或2500個I/Os(金凸塊之間的間距為15µm),使用2圈或二行的沿著邏輯驅動器封裝邊界設計理由是因為當邏輯驅動器封裝體的單層在單邊金屬線或連接線使用時,可容易從邏輯驅動器封裝體扇出連接(fan-out),在軟性電路板的複數金屬接墊具有金層或銲錫層在最頂層表面,當軟性電路板的複數金屬接墊具有金層在最頂層表面時,可使用金層至金層的熱壓接合的COF組裝技術,當軟性電路板的複數金屬接墊具有銲錫層在最頂層表面時,可使用金層至銲錫層的熱壓接合的COF組裝技術,此複數金凸塊設置在邏輯驅動器封裝的正面表面具有球柵陣列(Ball-Grid-Array(BGA))的布局,其中在外圍區域的複數金凸塊用於訊號I/Os,而中心區域附近的電源/接地(P/G)I/Os,訊號凸塊在外圍區域可圍成一環(圈)形區域在沿著邏輯驅動器封裝的邊界,例如是1圈、2圈、3圈、4圈、5圈或6圈,複數訊號I/Os的間距在環形區域可小於中心區域附近的電源/接地(P/G)I/Os的間距或靠近邏輯驅動器驅動器封裝的中心區域。Alternatively, gold bumps may be formed on or over the topmost insulating dielectric layer of the TISD via a gold embossing process, and on the exposed topmost interconnection line metal layer within the plurality of openings in the topmost insulating dielectric layer in the TISD The surface, the process steps are as follows: (a) deposit t72 on the topmost insulating dielectric layer of the TISD of the entire wafer or panel, and a plurality of interconnecting metal layers in the plurality of openings of the topmost insulating dielectric layer in the TISD The exposed upper surface is, for example, sputtered or CVD deposited a layer of Ti or TiN (the thickness of which is, for example, between 1 nm and 200 nm or between 5 nm and 50 nm); (b) a seed layer for electroplating is then deposited on the On the adhesion layer, for example, a gold seed layer is deposited by sputtering or CVD (the thickness of which is, for example, between 1 nm and 300 nm or between 1 nm and 50 nm); (c) through the processes of coating, exposure and development, A plurality of openings and holes are patterned in the photoresist layer and the gold seed layer is exposed for the subsequent process to form gold bumps. The openings in the photoresist layer overlap with the top insulating dielectric layer in the openings in the TISD, and can be extended An area from the opening on the topmost insulating dielectric layer to the topmost insulating dielectric layer of the TISD or an annular area surrounding the opening in the topmost insulating dielectric layer; (d) then electroplating a gold layer (with a thickness such as dielectric between 3µm and 40µm, between 3µm and 30µm, between 3µm and 20µm, between 3µm and 15µm, or between 3µm and 10µm) gold seed layer within the opening of the photoresist layer (f) remove the remaining photoresist; (g) remove or etch the gold seed layer and the adhesion layer not under the electroplated gold layer, the remaining metal layer (Ti layer (or TiN layer)/gold seed layer) / electroplating gold layer) is used as gold bumps that can be used to connect or couple to a plurality of chips of logic drivers, such as dedicated I/O chips, to external circuits or components outside the logic drivers, The height of the plurality of gold bumps is, for example, between 3 µm and 40 µm, between 3 µm and 30 µm, between 3 µm and 20 µm, between 3 µm and 15 µm, or between 3 µm and 10 µm, or less than , less than or equal to 40µm, 30µm, 20µm, 15µm or 10µm, the largest diameter in the cross-sectional view of the plurality of gold bumps (for example, the diameter of a circle or the diagonal of a square or rectangle), for example, between 3µm and 40µm , 3µm to 30µm, 3µm to 20µm, 3µm to 15µm, or 3µm to 10µm, or less than or equal to 40µm, 30µm, 20µm, 15µm or 10µm, closest to gold Minimum space (gap) between pillars or gold bumps, for example, between 3µm to 40µm, 3µm to 30µm, 3µm to 20µm, 3µm to 15µm, or 3µm Between 10µm, or less than or equal to 40µm, 30µm, 20µm, 15µ m or 10µm, multiple gold bumps can be used for logic driver driver flip chip packaging on substrates, flex boards or motherboards, similar to flip chip packaging used in LCD driver packaging technology or Chip-On-Film (COF ) packaging technology, substrates, flexible boards or motherboards such as printed circuit boards (PCBs), a silicon substrate with interconnecting line structures, a metal substrate with interconnecting line structures, a glass substrate with interconnecting line structures 2. A ceramic substrate containing an interconnecting line structure or a flexible board containing an interconnecting interconnecting line structure. When a plurality of gold bumps use COF technology, the plurality of gold bumps are bonded to a flexible circuit filmortape by thermocompression bonding. .), the multiple gold bumps used in the COF package have a very high number of I/Os in a small area, and the spacing between each gold bump is less than 20µm, and the multiple gold bumps in the area around the 4 sides of the logic driver package Bumps or I/Os are used for complex signal input or output, such as a 10nm-wide square logic driver package with two turns (rings) (or two rows) along the 4 sides of the logic driver package, such as greater than or equal to 5000 I/Os (15µm pitch between gold bumps), 4000 I/Os (20µm pitch between gold bumps), or 2500 I/Os (15µm pitch between gold bumps), The rationale for using 2 turns or two rows along the logical drive package boundary is because when a single layer of the logical drive package is used on a single-sided metal wire or connection line, fan-out connections can be easily made from the logical drive package. ), when the multiple metal pads of the flexible circuit board have a gold layer or a solder layer on the topmost surface, when the multiple metal pads of the flexible circuit board have a gold layer on the topmost surface, the gold layer-to-gold layer hot pressing can be used Bonded COF assembly technology, when the multiple metal pads of the flexible circuit board have a solder layer on the topmost surface, the COF assembly technology of thermocompression bonding from the gold layer to the solder layer can be used, and the plurality of gold bumps are arranged on the logic driver package. The front surface of the device has a Ball-Grid-Array (BGA) layout, where gold bumps in the peripheral area are used for signal I/Os, and power/ground (P/G) I near the center area /Os, the signal bumps can form a ring (circle) in the peripheral area along the boundary of the logic driver package, such as 1 circle, 2 circles, 3 circles, 4 circles, 5 circles or 6 circles, complex signal I The pitch of /Os may be smaller in the annular area than the pitch of power/ground (P/G) I/Os near the center area or near the center area of the logic driver driver package.

單層封裝邏輯驅動器中的TISD交互連接金屬線或連接線可能:(a)包括在單層封裝邏輯驅動器的TISD內的金屬線或連接線之交互連接網或結構用於連接或耦接至複數電晶體、FISC、SISC及(或)單層封裝邏輯驅動器中FPGAIC晶片的微型銅柱或凸塊至在同一單層封裝邏輯驅動器內另一個FPGAIC晶片封裝中的SISC及(或)微型銅柱或凸塊、FISC及複數電晶體,TISD內的金屬線或連接線之交互連接網或結構可通過複數金屬柱或凸塊(複數銅柱或凸塊、複數銲錫凸塊或在TISD上的金凸塊)連接單層封裝邏輯驅動器外界或外面的複數電路或複數元件,在TISD內的金屬線或連接線的交互連接網或結構可以是網狀線路或結構,用於複數訊號、電源或接地供電;(c)包括單層封裝邏輯驅動器的TISD內的交互連接金屬線或連接線可通過單層封裝邏輯驅動器的複數金屬柱或凸塊(複數銅柱或凸塊、複數銲錫凸塊或在TISD上的金凸塊)連接或耦接至單層封裝邏輯驅動器之外界或外面的複數電路或複數元件,TISD內的交互連接金屬線或連接線可用於複數訊號、電源或接地供電。在這種情況下,例如複數金屬柱或凸塊可連接至單層封裝邏輯驅動器中的複數專用I/O晶片中的複數I/O電路,而複數I/O電路在此情況時,複數I/O電路可以是一大型I/O電路,例如是是一雙向I/O(或三態)接墊、I/O電路包括一ESD電路、接收器及驅動器,且具有輸入電容或輸出電容可介於2pF與100pF之間、2pF與50pF之間、2pF與30pF之間、2pF與20pF之間、2pF與15pF之間、2pF與10pF之間或2pF與5pF之間,或大於2pF、5pF、10pF、15pF或20pF;(d)包括在單層封裝邏輯驅動器中TISD內的金屬線或連接線之交互連接網或結構用於連接複數電晶體、FISC、SISC及(或)單層封裝邏輯驅動器內的FPGAIC晶片之微型銅柱或凸塊至相同單層封裝邏輯驅動器內另一FPGAIC晶片封裝之微型銅柱或凸塊及(或)複數電晶體、FISC、SISC,但是未連接至單層封裝邏輯驅動器之外界或外面的複數電路或複數元件,在單層封裝邏輯驅動器沒有複數金屬柱或凸塊(複數銅柱或凸塊、複數銲錫凸塊或在TISD上的金凸塊)連接或耦接至單層封裝邏輯驅動器內的複數FPGA晶片封裝之複數I/O電路,此I/O電路在此情況下可以是小型的I/O電路,例如是一雙向I/O(或三態)接墊、I/O電路包括一ESD電路、接收器及驅動器,且具有輸入電容或輸出電容可介於0.1pF與10pF之間、0.1pF與5pF之間、0.1pF與2pF之間,或小於10pF、5pF、3pF、2pF或1pF;(e)包括在單層封裝邏輯驅動器中的TISD內的金屬線或連接線之交互連接網或結構用於連接或耦接至單層封裝邏輯驅動器內的IC晶片的複數微型銅柱或凸塊,但沒有連接至單層封裝邏輯驅動器之外界或外面的複數電路或複數元件,也就是說,沒有單層封裝邏輯驅動器中的複數金屬柱或凸塊(複數銅柱或凸塊、複數銲錫凸塊或在TISD上的金凸塊)連接至TISD內的金屬線或連接線之交互連接網或結構,此種情況下,TISD內的金屬線或連接線之交互連接網或結構可連接或耦接至複數電晶體、FISC、SISC及(或)單層封裝邏輯驅動器中的FPGAIC晶片之微型銅柱或凸塊,而沒有通過任何FPGAIC晶片的I/O電路。TISDs in a single-level packaged logic driver may: (a) include an interconnection network or structure of metal lines or bonds within the TISD of a single-level packaged logic driver for connecting or coupling to a plurality of Transistor, FISC, SISC, and/or micro-copper pillars or bumps of an FPGAIC chip in a single-level package logic driver to SISC and/or micro-copper pillars in another FPGAIC chip package within the same single-level package logic driver or Bumps, FISCs, and transistors, interconnecting nets or structures of metal lines or connecting lines within TISDs can be through multiple metal pillars or bumps (copper pillars or bumps, solder bumps, or gold bumps on the TISD) Blocks) connect multiple circuits or multiple components outside or outside of a single-layer packaged logic driver, and the interconnecting network or structure of metal lines or connecting lines within the TISD may be a mesh line or structure for multiple signals, power or ground power supply ; (c) Interconnecting metal lines or connecting lines within a TISD including a single-level package logic driver may pass through a plurality of metal pillars or bumps (copper pillars or bumps, solder bumps, or solder bumps in the TISD) of the single-level package logic driver; The gold bumps on the TISD are connected or coupled to the outside of the single-level package logic driver or to multiple circuits or multiple components outside. In this case, for example, the plurality of metal pillars or bumps may be connected to the plurality of I/O circuits in the plurality of dedicated I/O die in the single-level package logic driver, which in this case, the plurality of I/O circuits The /O circuit can be a large I/O circuit, such as a bidirectional I/O (or tri-state) pad, the I/O circuit includes an ESD circuit, a receiver and a driver, and has an input capacitor or an output capacitor that can be Between 2pF and 100pF, between 2pF and 50pF, between 2pF and 30pF, between 2pF and 20pF, between 2pF and 15pF, between 2pF and 10pF, or between 2pF and 5pF, or greater than 2pF, 5pF, 10pF, 15pF or 20pF; (d) Interconnected nets or structures of metal lines or connecting lines included in TISDs in single-level package logic drivers for connecting multiple transistors, FISCs, SISCs and/or single level package logic drivers Micro-copper pillars or bumps of an FPGAIC chip within the same single-level package logic driver to another FPGAIC chip package within the same single-level package and/or multiple transistors, FISC, SISC, but not connected to the single-level package Circuits or components outside or outside the logic driver, no metal pillars or bumps (copper pillars or bumps, solder bumps, or gold bumps on TISD) connected or coupled in a single-level package logic driver A plurality of I/O circuits connected to a plurality of FPGA chip packages in a single-level package logic driver, the I/O circuit in this case may be a small I/O circuit, such as a bidirectional I/O (or tri-state) The pad, I/O circuit includes an ESD circuit, receiver and driver, and has an input capacitance or an output capacitance between 0.1pF and 10pF, between 0.1pF and 5pF, between 0.1pF and 2pF, or less than 10pF, 5pF, 3pF, 2pF or 1pF; (e) an interconnecting network or structure of metal lines or connecting lines included in a TISD in a single-level packaged logic driver for connecting or coupling to a single-level packaged logic driver; Micro-copper pillars or bumps of the IC die, but not connected to or outside the single-level package logic driver circuit or component, that is, without the metal pillars or bumps in the single-level package logic driver ( An interconnection network or structure in which copper pillars or bumps, solder bumps, or gold bumps on a TISD are connected to metal lines or connecting lines in a TISD, in which case the metal lines or connecting lines in a TISD The interconnection network or structure can be connected or coupled to the micro copper pillars or bumps of the FPGAIC chip in the complex transistor, FISC, SISC and/or single level package logic driver without passing through any I/O of the FPGAIC chip circuit.

(V)切割己完成的晶圓或面板,包括經由在二相鄰的邏輯驅動器之間的材料或結構分開、切開,此材料(例如係聚合物)填在二相鄰邏輯驅動器之間的複數晶片被分離或切割成單獨的邏輯驅動器單元。(V) dicing the completed wafer or panel, including separating and slicing through a material or structure between two adjacent logic drivers, the material (eg, polymer) filling a plurality of pieces between two adjacent logic drivers The wafers are separated or diced into individual logical driver units.

晶片朝上且形成上述具有TISD的FOIT,同時將一聚合物材料、樹脂或化合物填入該些晶片之間的間隙中且覆蓋該些晶片的表面。或是,晶片朝下且形成上述具有TISD的FOIT,同時將一聚合物材料、樹脂或化合物填入該些晶片之間的間隙中且覆蓋該些晶片的表面。在形成具有上述TISD的FOIT的步驟(I)中,該些晶片可放置、固定或貼合在該載體、支架、灌模材料或基板上且具有電晶體的晶片之表面或側面朝下,然後將聚合物材料、樹脂或化合物填入該些晶片之間的間隙中且覆蓋該些晶片的表面,如上述步驟(II)。然後,該載體、支架、灌模材料或基板可被移除,以曝露出晶片的上表面(具有電晶體的正面,且該些晶片的微金屬接墊、金屬柱或凸塊的上表面被曝露),接著,經由晶圓或面板製程將TISD形成在該些晶片的上表面且形成在微金屬接墊、金屬柱或凸塊的上表面上或上方,該TSID的揭露內容如上述揭露之TISD內容相同。The wafers are turned up and the above-mentioned FOIT with TISD is formed, while a polymer material, resin or compound is filled into the gaps between the wafers and covers the surfaces of the wafers. Alternatively, the above-mentioned FOIT with TISD is formed with the wafers facing down, while a polymer material, resin or compound is filled into the gaps between the wafers and covers the surfaces of the wafers. In the step (I) of forming the FOIT with the above TISD, the wafers may be placed, fixed or attached to the carrier, support, potting material or substrate with the surface or side of the wafer with transistors facing down, and then A polymer material, resin or compound is filled into the gaps between the wafers and covers the surfaces of the wafers, as in step (II) above. The carrier, support, potting material, or substrate can then be removed to expose the upper surface of the wafers (the front side with the transistors and the upper surface of the micro-metal pads, metal posts or bumps of the wafers exposure), then, TISD is formed on the upper surfaces of the chips and on or over the upper surfaces of the micro-metal pads, metal pillars or bumps through a wafer or panel process, the disclosure of the TSID is as disclosed above TISD content is the same.

本發明另一方面提供邏輯驅動器包括複數單層封裝邏輯驅動器,及在多晶片封裝的每一單層封裝邏輯驅動器如上述說明揭露,複數單層封裝邏輯驅動器的數量例如是2、5、6、7、8或大於8,其型式例如是(1)覆晶封裝在印刷電路板(PCB),高密度細金屬線PCB,BGA基板或軟性電路板;或(2)堆疊式封裝(Package-on-Package(POP))技術,此方式就一單層封裝邏輯驅動器封裝在其它單層封裝邏輯驅動器的頂端,此POP封裝技術例如可應用表面黏著技術(SurfaceMountTechnology(SMT))。Another aspect of the present invention provides that the logic driver includes a plurality of single-level packaging logic drivers, and each single-level packaging logic driver in the multi-chip package is disclosed in the above description. 7, 8 or more than 8, the type of which is (1) flip-chip packaging on a printed circuit board (PCB), high-density fine metal wire PCB, BGA substrate or flexible circuit board; or (2) stacking package (Package-on -Package (POP)) technology, in which a single-layer packaging logical driver is packaged on top of other single-layer packaging logical drivers. This POP packaging technology, for example, can be applied to Surface Mount Technology (SMT).

本發明另一方面提供一方法用於單層封裝邏輯驅動器適用於堆疊POP封裝技術,用於POP封裝的單層封裝邏輯驅動器的製程步驟及規格與上述段落中描述的邏輯驅動器FOIT相同,除了在形成貫穿封裝體的通道(Through-Package-Vias,TPVS)或貫穿聚合物的通道(ThoughtPolymerVias,TPVS)在邏輯驅動器的複數晶片的間隙之間、及(或)邏輯驅動器封裝的周邊區域及邏輯驅動器內的晶片邊界之外。TPVS用於連接或耦接在邏輯驅動器上面的電路或元件至邏輯驅動器封裝背面,具有TPVs的單層封裝邏輯驅動器可使用於堆疊邏輯驅動器,此單層封裝邏輯驅動器可是標準型式或標準尺寸,例如單層封裝邏輯驅動器可具有一定寬度、長度及厚度的正方型或長方型,一工業標準可設定單層封裝邏輯驅動器的直徑(尺寸)或形狀,例如單層封裝邏輯驅動器標準的形狀可以是正方形,其寬度係大於或等於4mm、7mm、10mm、12mm、15mm、20mm、25mm、30mm、35mm或40mm,及具有厚度大於或等於0.03mm、0.05mm、0.1mm、0.3mm、0.5mm、1mm、2mm、3mm、4mm或5mm。或者,單層封裝邏輯驅動器標準形狀可以是長方形,其寬度大於或等於3mm、5mm、7mm、10mm、12mm、15mm、20mm、25mm、30mm、35mm或40mm,其長度大於或等於3mm、5mm、7mm、10mm、12mm、15mm、20mm、25mm、30mm、35mm、40mm、45mm或50mm,其厚度大於或等於0.03mm、0.05mm、0.1mm、0.3mm、0.5mm、1mm、2mm、3mm、4mm或5mm。具有TPVs的邏輯驅動器係經由形成複數銅柱或凸塊在晶片載體、支架、灌模材料或基板上,利用設置、固定或黏著複數IC晶片及封裝在晶片載體、支架、灌模材料或基板上,FOIT的製程步驟以形成邏輯驅動器封裝,形成複數銅柱或凸塊(用作為TPVS)在晶片載體、支架、灌模材料或基板上或上方,其製程步驟為:(a)提供一晶片載體、支架、灌模材料或基板及複數IC晶片及封裝,晶片載體、支架、灌模材料或基板可以是晶圓型式(其直徑尺寸為8吋、12吋或18吋的晶圓),或是正方形或長方形的面板型式(其寬度或長度是大於或等於20cm、30cm、50cm、75cm、100cm、150cm、200cm或300cm),晶片載體、支架、灌模材料或基板的材質可以是矽材質、金屬材質、玻璃材質、塑膠材質、聚合物材質、環氧-基底聚合物材質或環氧基底化合物材質。晶圓或面板具有一基礎絕緣層在上面,基礎絕緣層可包括氧化矽層、氮化矽層及(或)聚合物層;(b)沉積一絕緣介電層整個晶圓或面板的基礎絕緣層上,絕緣介電層可以是聚合物材質,例如包括聚酰亞胺、苯並環丁烯(BenzoCycloButene(BCB))、聚對二甲苯、環氧樹脂基底材質或化合物、光感性環氧樹脂SU-8、彈性體或矽膠(silicone),此最底端的聚合物絕緣介電層可經由旋塗、網版印刷、滴注或灌模成型的方式形成,絕緣介電層的形成可以是:(A)經由一非光感性材質或一光感性材質,及沒有複數開口在聚合物絕緣介電層內;或(B)或者,聚合物材質可以是光感性材質,且可用作為光阻層及用於圖案化開口在光阻層內,經由之後的製程步驟形成的金屬栓塞(用作為銅柱或凸塊的底部,也就是TPVS的底部)在光阻層(聚合物層)內,也就是光感性聚合物層塗佈、通過光罩曝光,然後顯影及蝕刻以形成複數開口在光感性聚合物層內,光感性絕緣介電層內的複數開口曝露出基礎絕緣層的上表面。非光感性聚合物層或光感性聚合物層可用於(A)選項或(B)選項中的絕緣介電層,然後在一溫度下進行固化,例如是高於100℃、125℃、150℃、175℃、200℃、225℃、250℃、275℃或300℃,固化後的聚合物的厚度例如係介於2µm至50µm之間、介於3µm至50µm之間、介於3µm至30µm之間、介於3µm至20µm之間或介於3µm至15µm之間,或厚度大於或等於2µm、3µm、5µm、10µm、20µm或30µm;(c)執行浮凸銅製程以形成微型銅柱或凸塊作為TPVs,對於(A)或(B)選項:(i)沉積一黏著層在整個晶圓或面板之絕緣介電層上或上方(對於(A)及(b)選項)及在固化聚合物層複數開口底部所曝露基礎絕緣層的上表面(對於(B)選項),例如係經由濺鍍方式、CVD沉積一Ti層或一TiN層(其厚度例如係介於1nm至50nm之間);(ii)接著沉積電鍍用種子層在黏著層上,例如係以濺鍍或CVD沉積的方式(其厚度例如係介於3nm至300nm之間或介於10nm至120nm之間);(iii)經由塗佈、曝光、顯影光阻層,在光阻層中的複數開口或孔洞中曝露銅種子層,在光阻層內圖案化複數開口或孔洞可以形成之後的微型銅柱或凸塊,對於(B)選項,在光阻層內的開口及孔洞與在絕緣介電層內的開口重疊,及可延伸T67的開口至一區域或環繞在絕緣介電層中的開口的一環形區域,此環形區域的寬度係介於1µm至15µm之間、介於1µm至10µm之間,介於1µm至5µm之間,對於(A)或(B)選項,在光阻層內的複數開口或孔洞的位置是位在邏輯驅動器內複數晶片之間的間隙中、及(或)在邏輯驅動器封裝外圍區域及邏輯驅動器內複數晶片的邊緣之外(複數晶片可被設置、黏著或固定在之後的製程中);(v)接著電鍍一銅層(其厚度例如係介於5µm至300µm之間、介於5µm至200µm之間、介於5µm至150µm之間、介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間)在光阻層的圖案化開口或孔洞內的銅種子層上;(e)移除剩餘的光阻層;(f)移除或蝕刻未在電鍍銅下方的銅種子層及黏著層。對於選項(A)剩餘或保留的金屬(Ti層(或TiN層)Cu種子層/電鍍銅層)在光阻層(在此時光阻層己被移除)內的複數開口或孔洞內位置上,用作為銅柱或凸塊(TPVs),對於選項(B)剩餘或保留的金屬(Ti層(或TiN層)Cu種子層/電鍍銅層)在光阻層(在此時光阻層己被移除)內的複數開口或孔洞的位置上,作為複數銅柱或凸塊(TPVS)主要部分;及剩餘或保留的金屬(Ti層(或TiN層)Cu種子層/電鍍銅層)在絕緣介電層的複數開口內,用作為複數銅柱或凸塊(TPVS)的底部部分,對於(A)及(B)選項,複數銅柱或凸塊的高度(從絕緣介電層的上表面至複數銅柱或凸塊的上表面之間的距離)例如係介於5µm至300µm之間、介於5µm至200µm之間、介於5µm至150µm之間、介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間、介於10µm至30µm之間,或大於、高於或等於50µm、30µm、20µm、15µm或5µm,複數銅柱或凸塊的剖面視圖中最大直徑(例如是圓形的直徑或方形或長方形的對角線)例如係介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間、介於10µm至30µm之間,或大於或等於60µm、50µm、40µm、30µm、20µm、15µm或10µm,最相近銅柱或凸塊之間的最小空間(間隙)例如係介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間,或大於或等於60µm、50µm、40µm、30µm、20µm、15µm或10µm。Another aspect of the present invention provides a method for a single-level packaging logic driver suitable for stacking POP packaging technology. The process steps and specifications of the single-level packaging logic driver for POP packaging are the same as the logical driver FOIT described in the above paragraphs, except that in Form through-package vias (TPVS) or through-polymer vias (ThoughtPolymerVias, TPVS) between the gaps of the plurality of chips of the logic driver, and/or the peripheral area of the logic driver package and the logic driver inside the wafer boundary. TPVS is used to connect or couple circuits or components on top of the logic driver to the back of the logic driver package. Single-level packaged logic drivers with TPVs can be used to stack logic drivers. The single-level packaged logic drivers can be standard type or standard size, such as The single-level package logic driver can have a square or rectangular shape with a certain width, length and thickness. An industry standard can set the diameter (size) or shape of the single-level package logic driver. For example, the standard shape of the single-level package logic driver can be Square, the width of which is greater than or equal to 4mm, 7mm, 10mm, 12mm, 15mm, 20mm, 25mm, 30mm, 35mm or 40mm, and the thickness is greater than or equal to 0.03mm, 0.05mm, 0.1mm, 0.3mm, 0.5mm, 1mm , 2mm, 3mm, 4mm or 5mm. Alternatively, the standard shape of a single-level package logic driver may be a rectangle with a width greater than or equal to 3mm, 5mm, 7mm, 10mm, 12mm, 15mm, 20mm, 25mm, 30mm, 35mm or 40mm and a length greater than or equal to 3mm, 5mm, 7mm , 10mm, 12mm, 15mm, 20mm, 25mm, 30mm, 35mm, 40mm, 45mm or 50mm with a thickness greater than or equal to 0.03mm, 0.05mm, 0.1mm, 0.3mm, 0.5mm, 1mm, 2mm, 3mm, 4mm or 5mm . Logic drivers with TPVs are formed by forming copper pillars or bumps on a chip carrier, support, potting material or substrate by placing, fixing or adhering a plurality of IC chips and packaging on the chip carrier, support, potting material or substrate. , the process steps of FOIT to form a logic driver package, to form a plurality of copper pillars or bumps (used as TPVS) on or over a chip carrier, support, molding material or substrate, and the process steps are: (a) Provide a chip carrier , holder, potting material or substrate and a plurality of IC chips and packages, the chip carrier, holder, potting material or substrate may be in wafer type (wafers with diameters of 8", 12" or 18"), or Square or rectangular panel type (the width or length of which is greater than or equal to 20cm, 30cm, 50cm, 75cm, 100cm, 150cm, 200cm or 300cm), the material of the chip carrier, bracket, casting material or substrate can be silicon, metal material, glass material, plastic material, polymer material, epoxy-based polymer material or epoxy-based compound material. The wafer or panel has a basic insulating layer thereon, the basic insulating layer may include a silicon oxide layer, a silicon nitride layer and/or a polymer layer; (b) depositing an insulating dielectric layer The basic insulating layer of the entire wafer or panel On the layer, the insulating dielectric layer can be a polymer material, such as polyimide, benzocyclobutene (BenzoCycloButene (BCB)), parylene, epoxy resin base material or compound, photosensitive epoxy resin SU-8, elastomer or silicone, the bottommost polymer insulating dielectric layer can be formed by spin coating, screen printing, dripping or molding, and the insulating dielectric layer can be formed by: (A) through a non-photosensitive material or a photosensitive material, and without a plurality of openings in the polymer insulating dielectric layer; or (B) alternatively, the polymer material can be a photosensitive material and can be used as a photoresist layer and For patterning the openings in the photoresist layer, the metal plugs (used as the bottoms of copper pillars or bumps, that is, the bottom of TPVS) formed by subsequent process steps are in the photoresist layer (polymer layer), that is The photosensitive polymer layer is coated, exposed through a mask, then developed and etched to form a plurality of openings in the photosensitive polymer layer, and the plurality of openings in the photosensitive insulating dielectric layer expose the upper surface of the base insulating layer. A non-photosensitive polymer layer or a photosensitive polymer layer can be used as the insulating dielectric layer in option (A) or option (B), and then cured at a temperature, eg above 100°C, 125°C, 150°C , 175°C, 200°C, 225°C, 250°C, 275°C or 300°C, the thickness of the cured polymer is, for example, between 2 µm and 50 µm, between 3 µm and 50 µm, between 3 µm and 30 µm. , between 3µm and 20µm or between 3µm and 15µm, or with a thickness greater than or equal to 2µm, 3µm, 5µm, 10µm, 20µm or 30µm; (c) perform a copper relief process to form micro copper pillars or bumps Blocks as TPVs, for options (A) or (B): (i) deposit an adhesive layer on or over the insulating dielectric layer of the entire wafer or panel (for options (A) and (b)) and cure the polymer The upper surface of the base insulating layer exposed at the bottom of the plurality of openings in the material layer (for option (B)), for example, a Ti layer or a TiN layer (the thickness of which is, for example, between 1 nm and 50 nm) is deposited by sputtering or CVD. (ii) then depositing a seed layer for electroplating on the adhesion layer, for example by sputtering or CVD deposition (the thickness of which is, for example, between 3 nm and 300 nm or between 10 nm and 120 nm); (iii) After coating, exposing, and developing the photoresist layer, the copper seed layer is exposed in the plurality of openings or holes in the photoresist layer, and the plurality of openings or holes in the photoresist layer can be patterned to form subsequent micro copper pillars or bumps. (B) Option, the openings and holes in the photoresist layer overlap the openings in the insulating dielectric layer, and may extend the opening of T67 to an area or an annular area surrounding the opening in the insulating dielectric layer, which The width of the annular region is between 1µm and 15µm, between 1µm and 10µm, between 1µm and 5µm, for options (A) or (B), the width of the openings or holes in the photoresist layer. The location is in the gap between the dice within the logical driver, and/or outside the logic driver package peripheral area and the edge of the dice within the logical driver (the dice may be placed, attached, or fixed in a later process) ); (v) then electroplating a copper layer (with a thickness of, for example, between 5µm and 300µm, between 5µm and 200µm, between 5µm and 150µm, between 5µm and 120µm, between 10µm to 100µm, 10µm to 60µm, 10µm to 40µm, or 10µm to 30µm) on the copper seed layer in patterned openings or holes in the photoresist layer; (e) shifting Remove the remaining photoresist layer; (f) remove or etch the copper seed layer and the adhesion layer not under the electroplated copper. For option (A) the remaining or remaining metal (Ti layer (or TiN layer) Cu seed layer/electroplated copper layer) in the photoresist layer (at which point the photoresist layer has been removed) at positions within the plurality of openings or holes , used as copper pillars or bumps (TPVs), for option (B) the remaining or reserved metal (Ti layer (or TiN layer) Cu seed layer / electroplated copper layer) in the photoresist layer (at which point the photoresist layer has been At the position of the plurality of openings or holes in the removed), as the main part of the plurality of copper pillars or bumps (TPVS); and the remaining or retained metal (Ti layer (or TiN layer) Cu seed layer/electroplating copper layer) in the insulating Inside the openings of the dielectric layer, used as the bottom portion of the pillars or bumps (TPVS), for options (A) and (B), the height of the pillars or bumps (from the top surface of the insulating dielectric layer) The distance to the upper surface of the plurality of copper pillars or bumps) is, for example, between 5µm and 300µm, between 5µm and 200µm, between 5µm and 150µm, between 5µm and 120µm, and between 5µm and 120µm. Between 10µm and 100µm, between 10µm and 60µm, between 10µm and 40µm, between 10µm and 30µm, or greater than, greater than or equal to 50µm, 30µm, 20µm, 15µm or 5µm, plural copper The largest diameter in the cross-sectional view of the column or bump (for example, the diameter of a circle or the diagonal of a square or rectangle) is, for example, between 5 µm and 120 µm, between 10 µm and 100 µm, between 10 µm and 60 µm. between 10µm and 40µm, between 10µm and 30µm, or greater than or equal to 60µm, 50µm, 40µm, 30µm, 20µm, 15µm or 10µm, the smallest space (gap) between the nearest copper pillars or bumps ), for example, between 5µm and 120µm, between 10µm and 100µm, between 10µm and 60µm, between 10µm and 40µm, or between 10µm and 30µm, or greater than or equal to 60µm, 50µm , 40µm, 30µm, 20µm, 15µm or 10µm.

具有絕緣介電層及複數銅柱或凸塊(TPVS)的晶圓或面板用於晶片載體、支架、灌模材料或基板,接著用上述揭露及說明以形成邏輯驅動器,形成邏輯驅動器的所有製程如上述揭露及說明相同,一些製程步驟以下再次的列出:(2)以形成上述邏輯驅動器、利用樹脂材料或化合物來(i)填入複數晶片之間的間隙;(ii)覆蓋複數晶片的上表面;(iii)填入複數晶片上的微型銅柱或凸塊之間的間隙;(iv)覆蓋複數晶片之微型銅柱或凸塊的上表面;(v)填入晶圓或面板上或上方的複數銅柱或凸塊(TPVs)之間的間隙;(vi)覆蓋晶圓或面板上或上方的複數銅柱或凸塊的上表面,使用CMP程序、研磨程序平坦化應用材料、樹脂或化合物的表面至一水平面至(i)複數晶片上所有微型金屬柱或凸塊的上表面;(ii)晶圓或面板上或上方所有的複數銅柱或凸塊(TPVs)的上表面,全部被曝露。TISD結構接著形成在平坦化材料、樹脂或化合物的平坦表面上,及連接或耦接至複數晶片上微型金屬柱或凸塊曝露上表面,及(或)在晶圓或面板上或上方複數銅柱或凸塊(TPVS)的上表面,如上述揭露及說明。接著TISD上或上方形成的複數銅柱或凸塊、複數銲錫凸塊、金凸塊,用於連接或耦接至TISD的複數交互連接線金屬層內的金屬線或連接線,如上述揭露及說明,複數銅柱或凸塊在晶圓或面板上或上方,及在固化後或交聯的平坦化材料、樹脂或化合物的平坦表面上,複數銅柱或凸塊用於複數金屬栓塞(TPVs)以連接或耦接至複數電路、交互連接層金屬結構、複數金屬接墊、複數金屬柱或凸塊及(或)邏輯驅動器封裝背面上的複數元件,晶片載體、支架、灌模材料或基板可:(i)在CMP製程後及在形成頂部交互連接線結構在邏輯驅動器上或上方之前被移除;(ii)在整個製程步驟中保留,在製程結束後移除。晶片載體、支架、灌模材料或基板可經由剝離製程、CMP製程或背面研磨製程移除,在晶片載體、支架、灌模材料或基板移除後,對於選項(A),絕緣介電層及黏著層(假設複數IC晶片的具有電晶體之正面朝上)位在TPVS的底部表面可經由CMP製程或背面研磨製程移除,而曝露銅種子層的底表面或複數銅柱或凸塊的電鍍銅層(意即絕緣介電層整層被移除),對於選項(B),在晶片載體、支架、灌模材料或基板移除後,絕緣介電層的底部部分(假設複數IC晶片的具有電晶體之正面朝上)及位在TPVS底部表面的黏著層可經由CMP製程移除或背面研磨製程使複數銅柱或凸塊的底部部分曝露(註:複數銅柱或凸塊的底部為在絕緣介電層的開口中的金屬栓塞);即絕緣介電層移除的製程一直進行直到銅種子層或位在複數銅柱或凸塊(在絕緣介電層的開口內)底部的電鍍銅被曝露,在選項(B)內,絕緣介電層剩餘的部分變成完成後邏輯驅動器的一部分位在邏輯驅動器封裝的底部,且銅種子層的表面或位在剩餘絕緣介電層開口內的電鍍銅層被曝露,對於選項(A)或(B),銅種子層曝露的底部表面或複數銅柱或凸塊的電鍍銅層形成複數銅接墊在邏輯驅動器背面,用於連接或耦接至複數電晶體、複數電路、交互連接層金屬結構、複數金屬接墊、複數金屬柱或凸塊及(或)位在邏輯驅動器正面(或頂面,仍假設複數IC晶片的具有電晶體之正面朝上)的複數元件,堆疊邏輯驅動器可經由以下製程步驟形成:(i)提供一第一單層封裝邏輯驅動器,第一單層封裝邏輯驅動器為分離或晶圓或面板型式,其具有複數銅柱或凸塊、複數銲錫凸塊或複數金凸塊朝下,及其曝露的TPVs複數銅接墊上(複數IC晶片係朝下);(ii)經由表面黏著或覆晶封裝方式形成POP堆疊封裝,一第二分離單層封裝邏輯驅動器設在所提供第一單層封裝邏輯驅動器的頂端,表面黏著製程係類似使用在複數元件封裝設置在PCB上的SMT技術,經由印刷銲錫層或銲錫膏、或光阻層的銅接墊上的助銲劑,接著覆晶封裝、連接或耦接複數銅柱或凸塊、複數銲錫凸塊或在第二分離單層封裝邏輯驅動器的複數金凸塊至第一單層封裝邏輯驅動器的TPVS之銅接墊上的銲錫或銲錫膏,經由覆晶封裝方式進行封裝製程,此製程係類似於使用在IC堆疊技術的POP技術,連接或耦接至第二分離單層封裝邏輯驅動器上的複數銅柱或凸塊、複數銲錫凸塊或複數金凸塊至第一單層封裝邏輯驅動器的TPVS上的銅接墊,一第三分離單層封裝邏輯驅動器可被覆晶封裝組裝、並連接或耦接至第二單層封裝邏輯驅動器的TPVS所曝露的複數銅接墊,可重覆POP堆疊封裝製程,用於組裝更多分離的單層封裝邏輯驅動器(例如多於或等於n個分離單層封裝邏輯驅動器,其中n是大於或等於2、3、4、5、6、7、8)以形成完成堆疊邏輯驅動器,當第一單層封裝邏輯驅動器為分離型式,它們可以是第一覆晶封裝組裝至一載板或基板,例如是PCB、或BGA板,然後進行POP製程,而在載板或基板型式,形成複數堆疊邏輯驅動器,接著切割此載板或基板而產生複數分離完成堆疊邏輯驅動器,當第一單層封裝邏輯驅動器仍是晶圓或面板型式,對於進行POP堆疊製程形成複數堆疊邏輯驅動器時,晶圓或面板可被直接用作為載板或基板,接著將晶圓或面板切割分離,而產生複數分離的堆疊完成邏輯驅動器。A wafer or panel with an insulating dielectric layer and a plurality of copper pillars or bumps (TPVS) is used for a chip carrier, a support, a potting material or a substrate, and then the above disclosure and description are used to form a logic driver, and all processes for forming a logic driver As disclosed and described above, some of the process steps are again listed below: (2) to form the above-mentioned logic driver, using a resin material or compound to (i) fill the gaps between the plurality of chips; (ii) cover the plurality of chips upper surface; (iii) filling the gaps between the micro copper pillars or bumps on the plurality of chips; (iv) covering the upper surface of the micro copper pillars or bumps on the plurality of chips; (v) filling the wafer or panel or the gaps between the copper pillars or bumps (TPVs) above; (vi) cover the upper surface of the copper pillars or bumps on or over the wafer or panel, use a CMP process, a grinding process to planarize the applied material, The surface of the resin or compound to a level to (i) the upper surface of all micro metal pillars or bumps on the wafer; (ii) the upper surface of all copper pillars or bumps (TPVs) on or over the wafer or panel , all exposed. The TISD structure is then formed on the planar surface of the planarizing material, resin or compound, and is connected or coupled to the exposed upper surface of a plurality of on-chip micro metal pillars or bumps, and/or a plurality of copper on or over the wafer or panel The top surface of the pillar or bump (TPVS), as disclosed and described above. Then a plurality of copper pillars or bumps, a plurality of solder bumps, and gold bumps are formed on or over the TISD for connecting or coupling to the metal lines or connecting lines in the plurality of interconnection line metal layers of the TISD, as disclosed above and Illustratively, copper pillars or bumps are used for metal plugs (TPVs) on or over wafers or panels, and on flat surfaces of cured or cross-linked planarizing materials, resins, or compounds. ) to connect or couple to circuits, interconnect layer metal structures, metal pads, metal posts or bumps and/or components on the backside of a logic driver package, chip carrier, support, potting material or substrate Can be: (i) removed after the CMP process and before forming the top interconnect structure on or over the logical drivers; (ii) retained throughout the process steps and removed after the process is complete. The wafer carrier, support, pot material or substrate can be removed via a lift-off process, a CMP process or a backgrinding process. After the wafer carrier, support, pot material or substrate is removed, for option (A), the insulating dielectric layer and The adhesion layer (assuming that the side with transistors of the IC chips is facing up) on the bottom surface of the TPVS can be removed by a CMP process or a back grinding process, exposing the bottom surface of the copper seed layer or the electroplating of the copper pillars or bumps The copper layer (meaning that the insulating dielectric layer is removed in its entirety), for option (B), the bottom portion of the insulating dielectric layer (assuming the The adhesive layer on the bottom surface of the TPVS can be removed by a CMP process or a back grinding process to expose the bottom portion of the plurality of copper pillars or bumps (note: the bottom of the plurality of copper pillars or bumps is metal plugs in the openings of the insulating dielectric layer); that is, the process of removing the insulating dielectric layer is carried out until the copper seed layer or electroplating at the bottom of the plurality of copper pillars or bumps (in the openings of the insulating dielectric layer) The copper is exposed, and in option (B), the remaining portion of the insulating dielectric layer becomes part of the completed logic driver on the bottom of the logic driver package, and the surface of the copper seed layer or the remaining portion of the insulating dielectric layer is located within the opening of the remaining insulating dielectric layer. The electroplated copper layer is exposed, for option (A) or (B), the exposed bottom surface of the copper seed layer or the electroplated copper layer of the plurality of copper pillars or bumps forms the plurality of copper pads on the backside of the logic driver for connection or coupling To transistors, circuits, interconnect metal structures, metal pads, metal pillars or bumps and/or on the front side (or top side) of the logic driver, still assuming the front side of the IC chip with transistors facing up), a stacked logic driver can be formed by the following process steps: (i) providing a first single-level package logic driver, the first single-level package logic driver being a discrete or wafer or panel type having a plurality of copper Pillars or bumps, solder bumps or gold bumps face down, and their exposed TPVs on copper pads (IC chips face down); (ii) POP stacked package via surface mount or flip chip packaging , a second separate single-layer package logic driver is provided on top of the provided first single-layer package logic driver, and the surface mount process is similar to the SMT technology used in the packaging of multiple components on the PCB, by printing a solder layer or solder paste, or flux on the copper pads of the photoresist layer, followed by flip chip packaging, connecting or coupling copper pillars or bumps, solder bumps, or gold bumps of the logic driver in a second separate monolayer package to the first The solder or solder paste on the copper pads of the TPVS of the single-layer packaged logic driver is packaged through a flip-chip packaging process, which is similar to the POP technology used in IC stacking technology, connected or coupled to a second separate single layer A plurality of copper pillars or bumps, a plurality of solder bumps or a plurality of gold bumps on the packaged logic driver to copper pads on the TPVS of the first single-level packaged logic driver, a third separate single-level packaged logic driver may be flip-chip encapsulated A plurality of copper pads exposed by the TPVS assembled, connected or coupled to the second single-level package logic driver, the POP stack packaging process can be repeated for assembling more discrete single-level package logic drivers (eg, more than or equal to n discrete single-level package logic drives, where n is greater than or equal to 2, 3, 4, 5, 6, 7, 8) to form a complete stacked logic drive, when the first single-level package logic drive is of the discrete type, They can be a first flip chip package assembled to a carrier or substrate, such as a PCB, or a BGA board, and then a POP process is performed to form a plurality of stacked logic drivers in the carrier or substrate type, and then the carrier or substrate is cut. In order to generate a plurality of separate stacked logic drivers, when the first single-level package logic driver is still in the form of a wafer or a panel, the wafer or panel can be directly used as a carrier or substrate when the POP stacking process is performed to form a plurality of stacked logic drivers. , and then the wafer or panel is diced and separated to produce a plurality of separated stacks to complete the logic driver.

本發明另一方面提供適用於堆疊POP組裝技術的一單層封裝邏輯驅動器的方法,單層封裝邏輯驅動器用於POP封裝組裝係依照上述段落中描述的複數FOIT相同的製程步驟及規格,除了形成位在單層封裝邏輯驅動器底部的邏輯驅動器內(或上)的底層交互連接線結構(BottomInterconnectionSchemein,onorofthelogicdrive(BISD))及封裝穿孔或聚合物穿孔(TPVS)在邏輯驅動器中複數晶片之間的間隙,及(或)在邏輯驅動器封裝周圍區域及在邏輯驅動器內複數晶片邊界,BISD形成晶片載體、支架、灌模材料或基板上,BISD包括在複數交互連接線金屬層內的複數金屬線、連接線或金屬平面,且設置、黏著或固定晶片載體、支架、灌模材料或基板之前,可使用相同或相似的製程步驟形成上述揭露的TISD,TPVS形成在BISD上或上方,且使用相同或相似的製程步驟形成複數金屬柱或凸塊(複數銅柱或凸塊、複數銲錫凸塊或金凸塊)在TISD上,BISD提供額外交互連接線金屬層在邏輯驅動器封裝底部或背面的連接層,及提供曝露複數金屬接墊或銅接墊在單層封裝邏輯驅動器底部的區域陣列上,其位置包括在邏輯驅動器中的複數IC晶片的正下方上,TPVS被用於連接或耦接邏輯驅動器上面的複數電路或元件(例如是TISD)至邏輯驅動器封裝背面的上的複數電路或元件(例如是BISD),具有FPGA晶片0的單層封裝邏輯驅動器可用於堆疊邏輯驅動器,此單層封裝邏輯驅動器可是標準型式或標準尺寸,例如單層封裝邏輯驅動器可具有一定寬度、長度及厚度的正方型或長方型,及(或)複數銅接墊的位置具有標準布局,一工業標準可設定單層封裝邏輯驅動器的直徑(尺寸)或形狀,例如單層封裝邏輯驅動器標準的形狀可以是正方形,其寬度係大於或等於4mm、7mm、10mm、12mm、15mm、20mm、25mm、30mm、35mm或40mm,及具有厚度大於或等於0.03mm、0.05mm、0.1mm、0.3mm、0.5mm、1mm、2mm、3mm、4mm或5mm。或者,單層封裝邏輯驅動器標準形狀可以是長方形,其寬度大於或等於3mm、5mm、7mm、10mm、12mm、15mm、20mm、25mm、30mm、35mm或40mm,其長度大於或等於3mm、5mm、7mm、10mm、12mm、15mm、20mm、25mm、30mm、35mm、40mm、45mm或50mm,其厚度大於或等於0.03mm、0.05mm、0.1mm、0.3mm、0.5mm、1mm、2mm、3mm、4mm或5mm。具有BISD及TPVs的邏輯驅動器形成,係經由形成複數金屬線、連接線或金屬平面在由晶片載體、支架、灌模材料或基板提供的複數交互連接線金屬層上,用於設置、固定或黏著複數IC晶片,或是封裝方式在邏輯驅動器上,然後形成複數銅柱或凸塊(TPVS)在BISD上,具有BISD及TPVS的晶片載體、支架、灌模材料或基板用於FOIT製程中,其中FOIT製程如形成邏輯驅動器封裝內的FOIT之製程步驟(1)中所述,形成BISD及複數銅柱或凸塊(用作為TPVS)在晶片載體、支架、灌模材料或基板上或上方的製程步驟為:(a)提供晶片載體、支架、灌模材料或基板及複數IC晶片或封裝,此晶片載體、支架、灌模材料或基板的形式可以一晶圓型式(例如直徑是8吋、12吋或18吋的晶圓),或正方形面板型式或長方形面板型式(例如是寬度或長度大於或等於20公分(cm)、30cm、50cm、75cm、100cm、150cm、200cm或300cm),此晶片載體、支架、灌模材料或基板的材質可以是矽材質、金屬材質、陶瓷材質、玻璃材質、鋼金屬材質、塑膠材質、聚合物材質、環氧樹脂基底聚合物材質或環氧樹脂基底化合物材質,晶圓或面板上具有一基底絕緣層,此基底絕緣層可包括一氧化矽層、氮化矽層及(或)一聚合物層;(b)沉積一最底端的絕緣介電層在整個晶圓或面板上及在基底絕緣層上,最底端絕緣介電層可以是聚合物材質,例如包括聚酰亞胺、苯並環丁烯(BenzoCycloButene(BCB))、聚對二甲苯、環氧樹脂基底材質或化合物、光感性環氧樹脂SU-8、彈性體或矽膠(silicone),此最底端的聚合物絕緣介電層可經由旋塗、網版印刷、滴注或灌模成型的方式形成,聚合物的材質可以是光感性材質,可用於光組層中圖案化開口,以便在之後的程序中形成金屬栓塞,也就是將光感性光阻聚合物層塗佈、及經由一光罩曝光,接著顯影及蝕刻而形成複數開口在聚合物層內,在最底端感光性絕緣介電層內的複數開口曝露基底絕緣層的上表面,最底端感光性聚合物層(絕緣介電層)在一溫度下固化,例如是高於100℃、125℃、150℃、175℃、200℃、225℃、250℃、275℃或300℃,固化最底端聚合物層的厚度係介於3µm至50µm之間、介於3µm至30µm之間、介於3µm至20µm之間或介於3µm至15µm之間,或大於(厚於)或等於3µm、5µm、10µm、20µm或30µm;(c)進行一浮凸(emboss)銅製程以形成金屬栓塞在固化最底端聚合物絕緣介電層的複數開口內,及以形成BISD最底端交互連接線金屬層的複數金屬線、連接線或金屬平面:(i)沉積黏著層在整個晶圓或面板在最底端絕緣介電層上及在固化最底端聚合物層內複數開口的底部基底絕緣層曝露上表面上,例如係經由濺鍍方式、CVD沉積一Ti層或一TiN層(其厚度例如係介於1nm至50nm之間);(ii)接著沉積電鍍用種子層在黏著層上,例如係以濺鍍或CVD沉積的方式(其厚度例如係介於3nm至300nm之間或介於10nm至120nm之間);(iii)經由塗佈、曝露及顯影光阻層,曝露銅種子層在光阻層內複數溝槽、開口或孔洞的底部上,而在光阻層內的溝槽、開口或孔洞可用於形成之後最底端交互連接線金屬層的複數金屬線、連接線或金屬平面,其中在光阻層內的溝槽、開口或孔洞可與最底端絕緣介電層內的開口重疊,及可延伸最底端絕緣介電層的開口;(iv)然後電鍍一銅層(其厚度例如係介於5µm至80µm之間、介於5µm至50µm之間、介於5µm至40µm之間、介於5µm至30µm之間、介於3µm至20µm之間、介於3µm至15µm之間或介於3µm至10µm之間)在光阻層內圖案化溝槽開口或孔洞上;(v)移除剩餘的光阻層;(vi)移除移除或蝕刻未在電鍍銅層下方的銅種子層及黏著層,此金屬(Ti(TiN)/銅種子層/電鍍銅層)留在或保留在光阻層內的內圖案化溝槽開口或孔洞(註:光阻層現在己被清除),其用於作為BISD的最底端交互連接線金屬層之複數金屬線、連接線或金屬平面,及此金屬(Ti(TiN)/銅種子層/電鍍銅層)留在或保留在最底端絕緣介電層複數開口內被用來作為BISD的最底端絕緣介電層之金屬栓塞,形成最底端絕緣介電層的製程及其複數開口,及浮凸銅製程用來形成金屬栓塞在交互連接線金屬層最底端的複數金屬線、連接線或金屬平面及在最底端絕緣介電層內,可被重覆而形成BISD內複數交互連接線金屬層的金屬層;其中重覆最底端絕緣介電層被用作為BISD之複數交互連接線金屬層之間的金屬間介電層及在最底端絕緣介電層(現在在金屬間介電層內)內的金屬栓塞用於連接或耦接BISD的二複數交互連接線金屬層之間的複數金屬線、連接線或金屬平面,也就是金屬栓塞的上面及下面,BISD最頂端交互連接線金屬層覆蓋一BISD的一最頂端絕緣介電層,最頂端絕緣介電層具有複數開口曝露出BISD之最頂端交互連接線金屬層的上表面,在最頂端絕緣介電層內的複數開口的位置係在在邏輯驅動器封裝體周圍區域及邏輯驅動器內複數晶片的邊界外(複數晶片被設置、黏著或固定在之後的製程中),一CMP製程可接著進行,用以平坦化BISD的上表面(也就是平坦化己固化的最頂端絕緣介電層)在後續形成作為TPVS的複數銅柱或凸塊製程前,BISD可包括1至6層的複數交互連接線金屬層或2至5層的複數交互連接線金屬層,BISD的複數金屬線、連接線或金屬平面交互連接線具有黏著層(例如Ti層或TiN層)及銅種子層只位在底部,但沒有在金屬線或連接線的側壁,FISC的交互連接金屬線或連接線具有黏著層(例如Ti層或TiN層)及銅種子層位在金屬線或連接線側壁及底部。Another aspect of the present invention provides a method for a single-level package logic driver suitable for stacked POP assembly technology. The single-level package logic driver for POP package assembly follows the same process steps and specifications as the multiple FOITs described in the preceding paragraphs, except that the formation of The bottom layer interconnect structure (Bottom Interconnection Scheme, onor of the logic drive (BISD)) in (or on) the logic drive at the bottom of the single-level package logic drive and the gap between the plurality of chips in the logic drive through through-package or through-polymer (TPVS) , and/or in the area surrounding the logic driver package and at the die boundaries within the logic driver, the BISD is formed on a die carrier, support, potting material, or substrate, and the BISD includes a plurality of metal lines, connections within a plurality of interconnect metal layers Lines or metal planes, and prior to disposing, adhering or securing the wafer carrier, support, potting material or substrate, the same or similar process steps can be used to form the TISD disclosed above, the TPVS is formed on or over the BISD, and the same or similar process steps are used to form The process steps of forming multiple metal pillars or bumps (multiple copper pillars or bumps, multiple solder bumps or gold bumps) on TISD, BISD provides additional interconnection wire metal layer connection layer on the bottom or back of the logic driver package, and provide exposed metal pads or copper pads on the area array on the bottom of the single-level package logic driver, the location of which is included directly under the plurality of IC chips in the logic driver, TPVS is used to connect or couple above the logic driver Multiple circuits or elements (eg TISD) to multiple circuits or elements (eg BISD) on the backside of the logic driver package, a single level package logic driver with FPGA die 0 can be used to stack the logic drivers, this single level package logic driver However, standard type or standard size, such as a single-layer packaged logic driver can have a square or rectangular shape with a certain width, length and thickness, and/or the position of the plurality of copper pads has a standard layout, an industry standard can set a single-layer The diameter (dimension) or shape of the packaged logic driver, for example, the standard shape of a single-layer packaged logic driver may be a square, and its width is greater than or equal to 4mm, 7mm, 10mm, 12mm, 15mm, 20mm, 25mm, 30mm, 35mm or 40mm, and have a thickness greater than or equal to 0.03mm, 0.05mm, 0.1mm, 0.3mm, 0.5mm, 1mm, 2mm, 3mm, 4mm or 5mm. Alternatively, the standard shape of a single-level package logic driver may be a rectangle with a width greater than or equal to 3mm, 5mm, 7mm, 10mm, 12mm, 15mm, 20mm, 25mm, 30mm, 35mm or 40mm and a length greater than or equal to 3mm, 5mm, 7mm , 10mm, 12mm, 15mm, 20mm, 25mm, 30mm, 35mm, 40mm, 45mm or 50mm with a thickness greater than or equal to 0.03mm, 0.05mm, 0.1mm, 0.3mm, 0.5mm, 1mm, 2mm, 3mm, 4mm or 5mm . Logic drivers with BISD and TPVs are formed by forming a plurality of metal lines, bonding lines or metal planes on a plurality of interconnecting line metal layers provided by a wafer carrier, support, potting material or substrate for placement, attachment or adhesion A plurality of IC chips, or packaged on a logic driver, and then a plurality of copper pillars or bumps (TPVS) are formed on the BISD, and the chip carrier, support, molding material or substrate with BISD and TPVS is used in the FOIT process, wherein FOIT Process The process of forming BISD and a plurality of copper pillars or bumps (used as TPVS) on or over a chip carrier, support, potting material or substrate as described in Process Step (1) for Forming FOIT in Logic Driver Packages The steps are: (a) providing a chip carrier, support, molding material or substrate and a plurality of IC chips or packages, and the chip carrier, support, molding material or substrate can be in the form of a wafer (for example, a diameter of 8 inches, 12 inch or 18-inch wafer), or square panel type or rectangular panel type (for example, width or length greater than or equal to 20 centimeters (cm), 30cm, 50cm, 75cm, 100cm, 150cm, 200cm or 300cm), the chip carrier , The material of bracket, molding material or substrate can be silicon material, metal material, ceramic material, glass material, steel metal material, plastic material, polymer material, epoxy resin base polymer material or epoxy resin base compound material, There is a base insulating layer on the wafer or panel, and the base insulating layer may include a silicon oxide layer, a silicon nitride layer and/or a polymer layer; (b) depositing a bottommost insulating dielectric layer on the entire wafer; On the circle or panel and on the base insulating layer, the bottommost insulating dielectric layer may be a polymer material, such as polyimide, benzocyclobutene (BCB), parylene, epoxy Resin base material or compound, photosensitive epoxy resin SU-8, elastomer or silicone, the bottommost polymer insulating dielectric layer can be formed by spin coating, screen printing, dripping or casting Forming, the polymer material can be a photosensitive material, which can be used for patterning openings in the photogroup layer to form metal plugs in a subsequent process, that is, coating the photosensitive photoresist polymer layer and passing through a photomask. Exposure, followed by development and etching to form a plurality of openings in the polymer layer, the plurality of openings in the bottommost photosensitive insulating dielectric layer expose the upper surface of the base insulating layer, and the bottommost photosensitive polymer layer (insulating dielectric layer) layer) at a temperature, for example above 100°C, 125°C, 150°C, 175°C, 200°C, 225°C, 250°C, 275°C or 300°C, the thickness of the cured bottommost polymer layer depends on between 3µm and 50µm, between 3µm and 30µm, between 3µm and 20µm, or between 3µm and 15µm, or greater than (thicker than) or equal to 3µm, 5µm, 10µm, 20µm or 30µm; ( c ) perform an emboss copper process to form metal plugs in the plurality of openings of the cured bottommost polymer insulating dielectric layer, and to form the plurality of metal lines, connecting lines or Metal Planes: (i) Deposition of an adhesive layer over the entire wafer or panel on the bottommost insulating dielectric layer and on the exposed upper surface of the bottom base insulating layer with multiple openings in the cured bottommost polymer layer, for example by sputtering Plating method, CVD deposition of a Ti layer or a TiN layer (the thickness of which is between 1 nm and 50 nm, for example); (ii) Then deposit a seed layer for electroplating on the adhesion layer, such as by sputtering or CVD deposition (The thickness is, for example, between 3 nm and 300 nm or between 10 nm and 120 nm); (iii) by coating, exposing and developing the photoresist layer, exposing the copper seed layer to a plurality of trenches and openings in the photoresist layer or on the bottom of the hole, while the trenches, openings or holes in the photoresist layer can be used to form a plurality of metal lines, connecting lines or metal planes that interconnect the metal layer at the bottommost end, wherein the grooves in the photoresist layer are formed. The slot, opening or hole may overlap with the opening in the bottommost insulating dielectric layer and may extend the opening of the bottommost insulating dielectric layer; (iv) then electroplating a copper layer (with a thickness of, for example, between 5µm and 80µm) between, 5µm to 50µm, 5µm to 40µm, 5µm to 30µm, 3µm to 20µm, 3µm to 15µm, or 3µm to 10µm ) patterning trench openings or holes in the photoresist layer; (v) removing the remaining photoresist layer; (vi) removing or etching the copper seed layer and the adhesion layer not under the electroplated copper layer, which Intra-patterned trench openings or holes where the metal (Ti(TiN)/Cu seed layer/Copper electroplating) remains or remains in the photoresist layer (note: the photoresist layer has now been cleared), which is used as a BISD A plurality of metal lines, connecting lines or metal planes of the bottommost interconnected metal layer, and this metal (Ti(TiN)/copper seed layer/electroplated copper layer) remains or remains on the bottommost insulating dielectric layer. The opening is used as the metal plug of the bottommost insulating dielectric layer of BISD, the process of forming the bottommost insulating dielectric layer and its plurality of openings, and the raised copper process is used to form the metal plug on the metal layer of the interconnection line The bottommost plurality of metal lines, connecting lines or metal planes and in the bottommost insulating dielectric layer can be repeated to form a metal layer of a plurality of interconnecting line metal layers in the BISD; wherein the bottommost insulating dielectric is repeated Layers are used as the IMD layer between the multiple interconnect metal layers of the BISD and the metal plug in the bottommost insulating dielectric layer (now within the IMD layer) for connecting or coupling the BISD A plurality of metal lines, connecting lines or metal planes between the metal layers of the two plural interconnecting lines, that is, above and below the metal plug, the topmost interconnecting line metal layer of the BISD covers a topmost insulating dielectric layer of a BISD, The topmost insulating dielectric layer has a plurality of openings to expose B On the upper surface of the topmost interconnect metal layer of the ISD, the positions of the plurality of openings in the topmost insulating dielectric layer are located outside the boundaries of the plurality of chips in the surrounding area of the logic driver package and the logic driver (the plurality of chips are arranged, Attached or fixed in a subsequent process), a CMP process can be performed next to planarize the upper surface of the BISD (that is, planarize the topmost insulating dielectric layer that has been cured), and then form a plurality of copper pillars as TPVS or Before the bump process, the BISD can include 1 to 6 layers of multiple interconnect metal layers or 2 to 5 layers of multiple interconnect metal layers. The BISD multiple metal lines, interconnect lines or metal plane interconnect lines have an adhesive layer ( For example, Ti layer or TiN layer) and copper seed layer are only located at the bottom, but not on the sidewalls of metal lines or connecting lines. The interconnecting metal lines or connecting lines of FISC have adhesive layers (such as Ti layer or TiN layer) and copper seeds. The layers are located on the sidewalls and bottoms of the metal lines or connecting lines.

BISD的複數金屬線、連接線或金屬平面的厚度例如係介於0.3µm至40µm之間、介於0.5µm至30µm之間、介於1µm至20µm之間、介於1µm至15µm之間、介於1µm至10µm之間或介於0.5µm至5µm之間,或厚於(大於)或等於0.3µm、0.7µm、1µm、2µm、3µm、5µm、7µm或10µm,BISD的金屬線或連接線寬度例如係介於0.3µm至40µm之間、介於0.5µm至30µm之間、介於1µm至20µm之間、介於1µm至15µm之間、介於1µm至10µm之間或介於0.5µm至5µm之間,或寬於或等於0.3µm、0.7µm、1µm、2µm、3µm、5µm、7µm或10µm,BISD的金屬間介電層厚度例如係介於0.3µm至50µm之間、介於0.5µm至30µm之間、介於0.5µm至20µm之間、介於1µm至10µm之間或介於0.5µm至5µm之間,或厚於或等於0.3µm、0.7µm、1µm、2µm、3µm或5µm,BISD中最底端絕緣介電層內的金屬栓塞的高度或厚度例如係介於3µm至50µm之間、介於3µm至30µm之間、介於3µm至20µm之間或介於3µm至15µm之間,或厚度大於或等於3µm、5µm、10µm、20µm或30µm,金屬平面在BISD的複數交互連接線金屬層之金屬層內,可被用作為電源供應的電源/接地面,及(或)作為散熱器或散熱的擴散器,其中此金屬的厚度更厚,例如係介於5µm至50µm之間、介於5µm至30µm之間、介於5µm至20µm之間或介於5µm至15µm之間,或厚度大於或等於5µm、10µm、20µm或30µm,電源/接地面,及(或)散熱器或散熱的擴散器在BISD的交互連接線金屬層中可被佈置設計成交錯或交叉型式,例如可佈置設計成叉形(forkshape)的型式。The thickness of the plurality of metal lines, connecting lines or metal planes of BISD is, for example, between 0.3 µm and 40 µm, between 0.5 µm and 30 µm, between 1 µm and 20 µm, between 1 µm and 15 µm, and between 1 µm and 15 µm. Between 1µm and 10µm or between 0.5µm and 5µm, or thicker (greater than) or equal to 0.3µm, 0.7µm, 1µm, 2µm, 3µm, 5µm, 7µm or 10µm, BISD metal line or connecting line width For example, between 0.3µm and 40µm, between 0.5µm and 30µm, between 1µm and 20µm, between 1µm and 15µm, between 1µm and 10µm, or between 0.5µm and 5µm , or wider than or equal to 0.3µm, 0.7µm, 1µm, 2µm, 3µm, 5µm, 7µm or 10µm, the IMD thickness of BISD is, for example, between 0.3µm and 50µm, between 0.5µm and 0.5µm 30µm, 0.5µm to 20µm, 1µm to 10µm, or 0.5µm to 5µm, or thicker than or equal to 0.3µm, 0.7µm, 1µm, 2µm, 3µm or 5µm, BISD The height or thickness of the metal plug in the bottommost insulating dielectric layer is, for example, between 3 µm and 50 µm, between 3 µm and 30 µm, between 3 µm and 20 µm, or between 3 µm and 15 µm, Or the thickness is greater than or equal to 3µm, 5µm, 10µm, 20µm or 30µm, the metal plane is in the metal layer of the BISD's multiple interconnecting wire metal layer, and can be used as the power supply/ground plane of the power supply, and/or as a heat sink or diffuser for heat dissipation, where the metal is thicker, for example, between 5µm and 50µm, between 5µm and 30µm, between 5µm and 20µm, or between 5µm and 15µm, or the thickness Greater than or equal to 5µm, 10µm, 20µm or 30µm, power/ground planes, and/or heat sinks or heat spreaders can be arranged in BISD's interconnecting wire metal layers in a staggered or cross pattern, such as a layout design In the form of a fork shape.

BISD形成之後,經由上述揭露的浮凸銅製程形成複數銅柱或凸塊(作為TPVS)在BISD或晶片載體、支架、灌模材料或基板最頂端絕緣介電層上或上方,BISD中最頂端絕緣介電層的開口曝露最頂端之交互連接線金屬層的上表面,製程步驟如下:(a)沉積t72在整個晶圓或面板的BISD之最頂端絕緣介電層上,及在BISD中最頂端絕緣介電層的複數開口內的交互連接線金屬層曝露的上表面,例如濺鍍或CVD沉積一Ti層或TiN層(其厚度例如係介於1nm至200nm之間或介於5nm至50nm之間);(b)接著沉積一電鍍用種子層在黏著層上,例如是濺鍍或CVD沉積一銅種子層(其厚度例如係介於3nm至400nm之間或介於10nm至200nm之間);(c)經由塗佈、曝光及顯影等製程,在光阻層中圖案化的複數開口及孔洞並曝露銅種子層以形成複數銅柱或凸塊(TPVS),在光阻層內的開口與BISD中開口內頂端絕緣介電層重疊,及可延伸在最頂端絕緣介電層上的開口至BISD最頂端絕緣介電層的一區域或一環形區域環繞最頂端絕緣介電層內的開口,此環形區域的寬度係介於1µm至15µm之間、介於1µm至10µm之間或介於1µm至5µm之間,在光阻層內的複數開口及孔洞的位置係位在邏輯驅動器內複數晶片之間的間隙內,及(或)在邏輯驅動器周邊區域及邏輯驅動器內複數晶片的邊界外圍(複數晶片被設置、黏著或固定在之後的製程中);(d)接著電鍍一銅層(其厚度例如係介於5µm至300µm之間、介於5µm至200µm之間、介於5µm至150µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間)在光阻層的開口內的銅種子層上;(e)移除剩餘的光阻層;(f)移除或蝕刻未在電鍍銅層下方的銅種子層及黏著層,剩下的金屬層(Ti層(或TiN層)/銅種子層/電鍍銅層)或保留在光阻層的複數開口及孔洞位置上的金屬層被用於作為複數銅柱或凸塊(TPVs),區分蝕刻停止層12h的高度(從絕緣介電層的上表面至複數銅柱或凸塊上表面之間)例如是介於5µm至300µm之間、介於5µm至200µm之間、介於5µm至150µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間,或其高度高於或等於50µm、30µm、20µm、15µm或5µm,區分蝕刻停止層12h的剖面視圖中最大直徑(例如是圓形的直徑或方形或長方形的對角線)例如係介於5µm至300µm之間、介於5µm至200µm之間、介於5µm至150µm之間、介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間,或大於或等於150µm、100µm、60µm、50µm、40µm、30µm、20µm、15µm或10µm,最相近銅金屬柱或凸塊之間的最小空間(間隙)例如係介於5µm至300µm之間、介於5µm至200µm之間、介於5µm至150µm之間、介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間,或大於或等於150µm、100µm、60µm、50µm、40µm、30µm、20µm、15µm或10µm。After the BISD is formed, a plurality of copper pillars or bumps (as TPVS) are formed on or above the topmost insulating dielectric layer of the BISD or chip carrier, support, molding material or substrate through the above-disclosed raised copper process, the topmost in the BISD The opening of the insulating dielectric layer exposes the upper surface of the topmost interconnecting wire metal layer, and the process steps are as follows: (a) deposit t72 on the topmost insulating dielectric layer of the BISD of the entire wafer or panel, and the topmost insulating dielectric layer in the BISD The exposed upper surface of the interconnecting metal layer in the plurality of openings of the top insulating dielectric layer, such as sputtering or CVD deposition of a Ti layer or TiN layer (the thickness of which is, for example, between 1 nm and 200 nm or between 5 nm and 50 nm. (b) then depositing a seed layer for electroplating on the adhesion layer, such as sputtering or CVD deposition of a copper seed layer (the thickness of which is, for example, between 3 nm and 400 nm or between 10 nm and 200 nm) ); (c) through the processes of coating, exposing and developing, patterning a plurality of openings and holes in the photoresist layer and exposing the copper seed layer to form a plurality of copper pillars or bumps (TPVS), in the photoresist layer The opening overlaps with the top insulating dielectric layer in the opening in the BISD, and can extend from the opening on the topmost insulating dielectric layer to an area of the topmost insulating dielectric layer in the BISD or an annular area surrounding the topmost insulating dielectric layer in the BISD. opening, the width of the annular region is between 1µm and 15µm, between 1µm and 10µm, or between 1µm and 5µm, and the positions of the plurality of openings and holes in the photoresist layer are located in the logic driver In the gap between the plurality of chips, and/or in the logic driver peripheral area and the boundary periphery of the plurality of chips in the logic driver (the plurality of chips are placed, attached or fixed in the subsequent process); (d) then electroplating a copper layer (The thickness is, for example, between 5µm and 300µm, between 5µm and 200µm, between 5µm and 150µm, between 10µm and 100µm, between 10µm and 60µm, between 10µm and 40µm between 10µm and 30µm) on the copper seed layer within the opening of the photoresist layer; (e) remove the remaining photoresist layer; (f) remove or etch the copper not under the electroplated copper layer The seed layer and the adhesive layer, the remaining metal layer (Ti layer (or TiN layer) / copper seed layer / electroplated copper layer) or the metal layer remaining on the multiple openings and holes of the photoresist layer are used as multiple copper layers Pillars or bumps (TPVs), the height of the distinguishing etch stop layer 12h (from the upper surface of the insulating dielectric layer to the upper surface of the plurality of copper pillars or bumps) is, for example, between 5µm to 300µm, 5µm to 5µm 200µm, 5µm to 150µm, 10µm to 100µm, 10µm to 60µm, 10µm to 40µm, or 10µm to 30µm, or its height is higher than or Equal to 50µm, 30µm, 20µm, 15µm or 5µm, different etching The maximum diameter (eg, the diameter of a circle or the diagonal of a square or rectangle) in the cross-sectional view of the stop layer 12h is, for example, between 5 µm and 300 µm, between 5 µm and 200 µm, and between 5 µm and 150 µm. , 5µm to 120µm, 10µm to 100µm, 10µm to 60µm, 10µm to 40µm, or 10µm to 30µm, or greater than or equal to 150µm, 100µm, 60µm , 50µm, 40µm, 30µm, 20µm, 15µm or 10µm, the minimum space (gap) between the nearest copper metal pillars or bumps, for example, between 5µm and 300µm, between 5µm and 200µm, between 5µm to 150µm, 5µm to 120µm, 10µm to 100µm, 10µm to 60µm, 10µm to 40µm, or 10µm to 30µm, or greater than or equal to 150µm , 100µm, 60µm, 50µm, 40µm, 30µm, 20µm, 15µm or 10µm.

具有BISD及複數銅柱或凸塊(TPVS)的晶圓或面板接著用作為複數IC晶片及封裝,以形成上述揭露及說明中的邏輯驅動器,所有形成邏輯驅動器的製程與上述揭露及說明相同,一些製程步驟以下再次的列出:在製程步驟(II)以形成上述邏輯驅動器、利用樹脂材料或化合物來(i)填入複數晶片之間的間隙;(ii)覆蓋複數晶片的上表面;(iii)填入複數晶片上的微型銅柱或凸塊之間的間隙;(iv)覆蓋複數晶片之微型銅柱或凸塊的上表面;(v)填入晶圓或面板上或上方的複數銅柱或凸塊(TPVs)之間的間隙;(vi)覆蓋晶圓或面板上或上方的複數銅柱或凸塊的上表面,使用CMP程序、研磨程序平坦化應用材料、樹脂或化合物的表面至一水平面至(i)複數晶片上所有複數微型凸塊或金屬柱的上表面;(ii)晶圓或面板上或上方所有的複數銅柱或凸塊(TPVs)的上表面,全部被曝露。如上述揭露及說明,複數銅柱或凸塊位在晶圓或面板上或上方,及在固化後或交聯的平坦化材料、樹脂或化合物的平坦表面上,複數銅柱或凸塊用於複數金屬栓塞(TPVs)以連接或耦接位在邏輯驅動器封裝結構正面的複數電路、交互連接層金屬結構(例如TISD)、銅金屬柱或凸塊、銲料凸塊、金凸塊、複數金屬接墊至位在邏輯驅動器封裝結構背面的複數電路、交互連接層金屬結構(例如BISD)、銅接墊、金屬柱或凸塊、及/或複數元件,晶片載體、支架、灌模材料或基板可:(i)在CMP製程後及在形成頂部交互連接線結構在邏輯驅動器上或上方之前被移除;(ii)在整個製程步驟中保留,在製程結束後移除。晶片載體、支架、灌模材料或基板可經由剝離製程、CMP製程或背面研磨製程移除,在晶片載體、支架、灌模材料或基板移除後,最底部的絕緣介電層的底部部分(假設IC晶片具有電晶體的正面朝上)可經由一CMP製程或背面研磨或拋光製程移除,以曝露出在最底部的絕緣介電層之開口中的金屬栓塞,意即是最底部的絕緣介電層的底部部分的移除製程被執行直到最底部的絕緣介電層之開口中的金屬栓塞之電鍍銅層或銅種子層被曝露,絕緣介電層剩餘的部分變成完成後邏輯驅動器的一部分位在邏輯驅動器封裝的底部,且銅種子層的表面或位在剩餘最底層絕緣介電層開口內的電鍍銅層之曝露表面可被,設計或布局為一接墊區域矩陣位在邏輯驅動器背面,位在周邊區域的該些接墊用作為訊號接墊,及位在中心區域的該些接墊用作為電源供應/接地參考(P/G)接墊,位在IC晶片的下方位置之該些接墊可直接地放置或貼合在載體、支架、灌模材料或基板上,位在周邊區域上的訊號接墊可以沿著邏輯驅動器封裝結構底部的邊緣形成1個環,或2、3、4、5或6個環,位在周邊區域上的訊號接墊之間的間距可小於位在邏輯驅動器封裝結構底部中心區域上的P/G接墊之間的間距,在邏輯驅動器背面上或底部表面上所曝露的銅接墊可連接至TPVs,因此銅接墊及TPVs可用作為位在邏輯驅動器封裝結構正面(上側,仍假設IC晶片具有電晶體的那側朝上)上的該些電晶體、電路、交互連接線金屬結構(例如TISD)、金屬接墊、金屬柱或凸塊之間的連接或耦接,以及作為邏輯驅動器封裝結構背面的交互連接線金屬結構(例如BISD)、金屬接墊、金屬柱或凸塊之間的連接或耦接。The wafer or panel with BISD and copper pillars or bumps (TPVS) is then used as a plurality of IC chips and packages to form the logic driver in the above disclosure and description, and all the processes for forming the logic driver are the same as the above disclosure and description, Some of the process steps are listed again below: in process step (II) to form the above-mentioned logic drivers, resin materials or compounds are used to (i) fill the gaps between the plurality of chips; (ii) cover the upper surfaces of the plurality of chips; ( iii) fill in the gaps between the micro copper pillars or bumps on the plurality of chips; (iv) cover the upper surface of the micro copper pillars or bumps on the plurality of chips; (v) fill in the plural numbers on or above the wafer or panel gaps between copper pillars or bumps (TPVs); (vi) covering the upper surface of a plurality of copper pillars or bumps on or over a wafer or panel, using CMP procedures, grinding procedures to planarize the application of materials, resins or compounds Surface to a level to (i) the upper surface of all the plurality of micro-bumps or metal pillars on the plurality of wafers; (ii) the upper surface of all the plurality of copper pillars or bumps (TPVs) on or over the wafer or panel, all covered by exposure. As disclosed and described above, the plurality of copper pillars or bumps are located on or over the wafer or panel, and on the flat surface of the cured or cross-linked planarizing material, resin or compound, the plurality of copper pillars or bumps are used for Metal plugs (TPVs) to connect or couple circuits on the front side of the logic driver package structure, inter-connect layer metal structures (eg TISD), copper metal pillars or bumps, solder bumps, gold bumps, metal contacts Pads to circuits, interconnect layer metal structures (eg BISD), copper pads, metal posts or bumps, and/or components located on the backside of the logic driver package structure, chip carriers, supports, potting materials or substrates may be : (i) removed after the CMP process and prior to forming the top interconnect structure on or over the logical drivers; (ii) retained throughout the process steps and removed after the process is complete. The wafer carrier, support, potting material or substrate can be removed by a lift-off process, a CMP process or a back grinding process. After the wafer carrier, support, potting material or substrate is removed, the bottom portion of the bottommost insulating dielectric layer ( Assuming the IC chip has transistors face up) can be removed via a CMP process or a back grinding or polishing process to expose the metal plugs in the openings in the bottommost insulating dielectric layer, meaning the bottommost insulating The removal process of the bottom portion of the dielectric layer is performed until the electroplated copper layer or copper seed layer of the metal plug in the opening of the bottommost insulating dielectric layer is exposed, and the remaining portion of the insulating dielectric layer becomes the completed logic driver. A portion is located on the bottom of the logic driver package, and the surface of the copper seed layer or the exposed surface of the electroplated copper layer located within the remaining bottommost insulating dielectric layer openings can be designed or laid out as a matrix of pad areas located on the logic driver. On the back side, the pads in the peripheral area are used as signal pads, and the pads in the central area are used as power supply/ground reference (P/G) pads, located between the lower positions of the IC chip. The pads can be directly placed or attached to the carrier, bracket, potting material or substrate, and the signal pads on the peripheral area can form a ring along the edge of the bottom of the logic driver package structure, or 2, 3, 4, 5 or 6 rings, the spacing between the signal pads on the peripheral area can be smaller than the spacing between the P/G pads on the bottom center area of the logic driver package structure, on the back of the logic driver The exposed copper pads on the top or bottom surface can be connected to the TPVs, so the copper pads and TPVs can be used as the TPVs on the front side of the logic driver package structure (the top side, still assuming the side of the IC die with the transistors is facing up). connections or couplings between some transistors, circuits, interconnect metal structures (such as TISD), metal pads, metal posts or bumps, and interconnect metal structures (such as BISD) on the backside of the logic driver package structure , connection or coupling between metal pads, metal posts or bumps.

單層封裝邏輯驅動器的BISD交互連接金屬線或連接線被使用在:(a)用於連接或耦接複數銅接墊、位在單層封裝邏輯驅動器的底部表面(背面)複數銅接墊的銅柱至相對應TPVs;及通過位在單層封裝邏輯驅動器底部表面的相對應TPVs、複數銅接墊連接或耦接至位在單層封裝邏輯驅動器上測(或正面)的TISD之金屬線或連接線,因此連接或耦接複數銅接墊至單層封裝邏輯驅動器上側的複數IC晶片中的複數電晶體、FISC、SISC及微型銅柱或凸塊;(b)連接或耦接單層封裝邏輯驅動器底部表面的複數銅接墊至所對應的TPVS,且通過對應的TPVS,在單層封裝邏輯驅動器底部表面的複數銅接墊連接或耦接至單層封裝邏輯驅動器上側(正面)的TISD之金屬線或連接線,TISD可連接或耦接至TISD上的複數金屬柱或凸塊,因此位在單層封裝邏輯驅動器背面的複數銅接墊連接或耦接至單層封裝邏輯驅動器正面的複數金屬柱或凸塊;(c)直接連接或耦接位在單層封裝邏輯驅動器中的第一FPGA晶片的複數銅接墊至位在單層封裝邏輯驅動器中的第二FPGA晶片的複數銅接墊,經由在BISD內的金屬線或連接線的交互連接網或結構,交互連接網或結構可連接或耦接至單層封裝邏輯驅動器的TPVS;(d)直接連接或耦接單層封裝邏輯驅動器中的FPGA晶片下方的一銅接墊至同一FPGA晶片下方其它的複數銅接墊及另一銅接墊,經由使用BISD內的金屬線或連接線的交互連接網或結構連接,此交互連接網或結構可連接至耦接至單層封裝邏輯驅動器的TPVS;(e)為電源或接地面及散熱器或散熱的擴散器。The BISD interconnection metal lines or connecting lines of the single-level package logic driver are used in: (a) for connecting or coupling the plurality of copper pads on the bottom surface (back side) of the single-level package logic driver. Copper pillars to corresponding TPVs; and metal lines connected or coupled to TISDs located on (or front side) of the single-level package logic driver through corresponding TPVs on the bottom surface of the single-level package logic driver, a plurality of copper pads or connecting lines, thus connecting or coupling the plurality of copper pads to the plurality of transistors, FISC, SISC and micro copper pillars or bumps in the plurality of IC chips on the upper side of the single layer package logic driver; (b) connecting or coupling the single layer The plurality of copper pads on the bottom surface of the packaged logic driver are connected to the corresponding TPVS, and through the corresponding TPVS, the plurality of copper pads on the bottom surface of the single-level packaged logic driver are connected or coupled to the upper side (front side) of the single-level packaged logic driver. Metal lines or connecting lines of TISD, TISD can be connected or coupled to a plurality of metal pillars or bumps on the TISD, so a plurality of copper pads on the back of the single-level package logic driver are connected or coupled to the front side of the single-level package logic driver (c) directly connecting or coupling the plurality of copper pads of the first FPGA die located in the single-level package logic driver to the plural number of copper pads of the second FPGA die located in the single-level package logic driver Copper pads, via an interconnection net or structure of metal lines or connecting lines within the BISD, the interconnection net or structure can be connected or coupled to the TPVS of the single layer package logic driver; (d) Directly connected or coupled to the single layer A copper pad under the FPGA chip in the packaged logic driver is connected to other copper pads and another copper pad under the same FPGA chip through an interconnection network or structure using metal wires or connecting wires in the BISD. An interconnection net or structure may be connected to the TPVS coupled to the single level package logic driver; (e) a power or ground plane and a heat sink or diffuser for heat dissipation.

本發明另一方面提供依據上述揭露的非揮發可編程邏輯驅動器所建構的一非揮發可編程邏輯驅動裝置(一非揮發可編程邏輯驅動器),在多晶片封裝結構(依據上述揭露的FOIT封裝結構)中的非揮發可編程邏輯驅動裝置包括多個標準商業化FPGAIC晶片及一個(或多個)非揮發性IC晶片,其中一個(或多個)非揮發性IC晶片包括祼晶型式或多晶片封裝結構型式的一NAND快閃晶片及/或一NOR快閃晶片,在一實施例中,在多晶片封裝結構(依據上述揭露的FOIT封裝結構)中的非揮發可編程邏輯驅動裝置只包括一個標準商業化FPGAIC晶片及一個非揮發性IC晶片,其中該非揮發性IC晶片包括祼晶型式或多晶片封裝結構型式的一NAND快閃晶片及/或一NOR快閃晶片,具有創新或應用概念或想法的使用者或開發者可購買該可編程邏輯驅動裝置,發展或撰寫軟體原始碼、資料或程序加載至非揮發可編程邏輯驅動裝置的非揮發性IC晶片中,用於實現他們的創新或應用概念或想法,加載在非揮發可編程邏輯驅動裝置的非揮發性IC晶片中的使用者的軟體原始碼、資料、資訊、指令或程序(與創新或應用概念或想法相關)可經由FOIT封裝結構的TISD下載至在非揮發可編程邏輯驅動裝置中標準商業化FPGAIC晶片上的可編程交互連接線(開關包括通過-不通過開關閘極及多工器)之5T或6TSRAM單元及/或可編程邏輯電路、單元、元件或區塊(包括LUTs及多工器)。Another aspect of the present invention provides a non-volatile programmable logic driver (a non-volatile programmable logic driver) constructed according to the above disclosed non-volatile programmable logic driver, in a multi-chip package structure (according to the above disclosed FOIT package structure) The non-volatile programmable logic driver in ) includes a plurality of standard commercial FPGA IC chips and one (or more) non-volatile IC chips, wherein the one (or more) non-volatile IC chips include a bare-type or multi-chip A NAND flash chip and/or a NOR flash chip of the package structure type, in one embodiment, the non-volatile programmable logic drive device in the multi-chip package structure (according to the FOIT package structure disclosed above) only includes one Standard commercial FPGA IC chip and a non-volatile IC chip, wherein the non-volatile IC chip includes a NAND flash chip and/or a NOR flash chip of a bare die type or a multi-chip package structure type, with innovative or application concepts or The user or developer of the idea can purchase the programmable logic drive device, develop or write software source code, data or programs loaded into the non-volatile IC chip of the non-volatile programmable logic drive device for realizing their innovation or Application concepts or ideas, the user's software source code, data, information, instructions or programs (related to innovative or applied concepts or ideas) loaded in the non-volatile IC chip of the non-volatile programmable logic drive device can be packaged through FOIT The TISD of the structure is downloaded to the 5T or 6T SRAM cells and/or the programmable interconnects (switches include pass-through switch gates and multiplexers) on standard commercial FPGAIC chips in non-volatile programmable logic drivers. Program logic circuits, cells, elements or blocks (including LUTs and multiplexers).

非揮發可編程邏輯驅動裝置(非揮發可編程邏輯驅動器)可包括專用I/O晶片或專用控制及I/O晶片與FPGA晶片及非揮發性記憶體IC晶片一起,其中專用I/O晶片或專用控制及I/O晶片如上述所揭露的內容,在非揮發可編程邏輯驅動裝置中該專用I/O晶片或專用控制及I/O晶片與FPGA晶片及非揮發性記憶體IC晶片之間的通訊或電性耦接如上述所揭露內容及說明,非揮發可編程邏輯驅動裝置與非揮發可編程邏輯驅動裝置之外的外部電路之間的通訊或電性耦接如上述所揭露內容及說明,如上述所揭露及說明,專用I/O晶片或專用控制及I/O晶片包括大型I/O電路用於與非揮發可編程邏輯驅動裝置之外的外部電路之間的通訊或電性耦接,且包括小型I/O電路用於與FPGAIC晶片及非揮發性記憶體IC晶片的小型I/O電路之間的通訊或電性耦接,該大型I/O電路與該小型I/O電路如上述所揭露的內容及說明。A non-volatile programmable logic driver (non-volatile programmable logic driver) may include a dedicated I/O chip or a dedicated control and I/O chip together with an FPGA chip and a non-volatile memory IC chip, wherein the dedicated I/O chip or Dedicated Control and I/O Chip As disclosed above, in a non-volatile programmable logic drive device, the dedicated I/O chip or between the dedicated control and I/O chip and the FPGA chip and the non-volatile memory IC chip The communication or electrical coupling between the non-volatile programmable logic driving device and the external circuit outside the non-volatile programmable logic driving device is as disclosed and described above. Note that, as disclosed and described above, a dedicated I/O chip or a dedicated control and I/O chip includes large I/O circuits for communication or electrical functions with external circuits other than the non-volatile programmable logic drive device Coupling and including a small I/O circuit for communication or electrical coupling with the small I/O circuit of the FPGA IC chip and the non-volatile memory IC chip, the large I/O circuit and the small I/O circuit The O circuit is as disclosed and described above.

非揮發可編程邏輯驅動裝置(非揮發可編程邏輯驅動器)可包括HBM記憶體晶片(例如是HBMDRAM、SRAM,MRAM或RRAMIC晶片)與專用I/O晶片或專用控制及I/O晶片、FPGA晶片及非揮發性記憶體IC晶片一起,其中HBM記憶體晶片(例如是HBMDRAM、SRAM,MRAM或RRAMIC晶片)如上述所揭露及說明,HBM記憶體晶片、專用I/O晶片或專用控制及I/O晶片、FPGA晶片及非揮發性記憶體IC晶片之間的通訊或電性耦接,如上述所揭露及說明,非揮發可編程邏輯驅動裝置與非揮發可編程邏輯驅動裝置之外的外部電路之間的通訊或電性耦接如上述所揭露內容及說明,如上述所揭露及說明,如上述所揭露及說明,專用I/O晶片或專用控制及I/O晶片包括大型I/O電路用於與非揮發可編程邏輯驅動裝置之外的外部電路之間的通訊或電性耦接,且包括小型I/O電路用於與FPGAIC晶片、HBM記憶體晶片及非揮發性記憶體IC晶片的小型I/O電路之間的通訊或電性耦接,該大型I/O電路與該小型I/O電路如上述所揭露的內容及說明。The non-volatile programmable logic driver (non-volatile programmable logic driver) may include HBM memory chips (such as HBMDRAM, SRAM, MRAM or RRMIC chips) and dedicated I/O chips or dedicated control and I/O chips, FPGA chips along with non-volatile memory IC chips, wherein HBM memory chips (eg, HBMDRAM, SRAM, MRAM, or RRMIC chips) as disclosed and described above, HBM memory chips, dedicated I/O chips, or dedicated control and I/ The communication or electrical coupling between the O chip, the FPGA chip and the non-volatile memory IC chip, as disclosed and explained above, the non-volatile programmable logic driving device and the external circuits other than the non-volatile programmable logic driving device The communication or electrical coupling between them is as disclosed and explained above, as disclosed and explained above, as disclosed and explained above, dedicated I/O chips or dedicated control and I/O chips include large I/O circuits For communication or electrical coupling with external circuits other than non-volatile programmable logic drivers, and includes small I/O circuits for communication with FPGA IC chips, HBM memory chips, and non-volatile memory IC chips The communication or electrical coupling between the small I/O circuits, the large I/O circuits and the small I/O circuits are as disclosed and described above.

在非揮發可編程邏輯驅動裝置(非揮發可編程邏輯驅動器)中的FPGAIC晶片可包括用於設計安全上的一晶片上安全電路(on-chipsecuritycircuit),該晶片上安全電路係用於防止非故意複製、使用或逆向工程,在非揮發可編程邏輯驅動裝置中的FPG日IC晶片上的該晶片上安全電路提供依據儲存在FPGAIC晶片上的專用記憶體單元中的一解密鑰匙之晶片上位元流(bitstream)解密,解密之晶片上位元流(bitstream)係儲存在非揮發可編程邏輯驅動裝置的非揮發性記憶體晶片中,且被用來配置非揮發可編程邏輯驅動裝置之FPGAIC晶片,加密之晶片上位元流(bitstream)從非揮發性記憶體晶片輸入至FPGAIC晶片中,用於可編程交互連接線(開關包括通過-不通過開關閘極及多工器)及/或在FPGAIC晶片上的可編程邏輯電路、單元、元件或區塊(包括LUTs及多工器),其中加密之晶片上位元流(bitstream)可經由依據儲存在解密記憶體單元中的一解密鑰匙的該晶片上安全電路進行解密,一使用者產生的鑰匙可從真實的隨機來源(trulyrandomsource)來創造,在非揮發可編程邏輯驅動裝置中的FPGAIC晶片儲存該加密鑰匙(encryptionkey)在FPGAIC晶片上的非揮發性記憶體單元,其中在晶片上的非揮發性記憶體單元可包括一浮動閘極MOS電晶體、MRAM或RRAM。或者,在非揮發可編程邏輯驅動裝置中的FPGAIC晶片儲存該加密鑰匙(encryptionkey)在FPGAIC晶片上的專用RAM單元,其中專用RAM單元可經由一小型外部連接電源備份。或者,在FPGAIC晶片上的電子保險絲(e-fuse)或阻抗保險絲(anti-fuse)可用於儲存該加密鑰匙,該電子保險絲(e-fuse)或阻抗保險絲(anti-fuse)可編程為非揮發性而儲存該加密鑰匙,電子保險絲(e-fuse)包括多個保險絲,每一保險絲具有在FPGAIC晶片之FISC或SISC中(如上述揭露內容及說明)交互連接線金屬線或連接線之金屬接線中之一窄小頸部,在非揮發性儲存的編程中,經由施加一高電流通過該保險絲來選擇保險絲被切斷或破壞,該阻抗保險絲包括多個保險絲,每一保險絲具有一薄氧化窗口位在二接點或電極之間,在在非揮發性儲存的編程中,經由施加一高電壓位在二接點或電極之間將薄氧化窗口中的氧化物破壞,該加密鑰匙可經由一特別埠/端口編程在裝置上,該晶片上安全電路在配置期間執行解密輸入位元流的操作,該非揮發可編程邏輯驅動裝置具有使用128,256,512或1024位元加密鑰匙的加密邏輯(依據晶片上安全電路)。The FPGAIC chip in a non-volatile programmable logic driver device (non-volatile programmable logic driver) may include an on-chip security circuit for design security, the on-chip security circuit for preventing unintentional Copying, using or reverse engineering, the on-chip security circuitry on an FPGA IC chip in a non-volatile programmable logic drive device provides an on-chip bitstream based on a decryption key stored in a dedicated memory unit on the FPGAIC chip (bitstream) decryption, the decrypted on-chip bitstream (bitstream) is stored in the non-volatile memory chip of the non-volatile programmable logic drive device, and is used to configure the FPGAIC chip of the non-volatile programmable logic drive device, encrypted The on-chip bitstream input from the non-volatile memory chip into the FPGAIC chip for programmable interconnects (switches including pass-through switch gates and multiplexers) and/or on the FPGAIC chip programmable logic circuits, cells, elements or blocks (including LUTs and multiplexers) in which encrypted on-chip bitstreams can be secured on-chip via a decryption key stored in decryption memory cells The circuit is decrypted, a user-generated key can be created from a true random source, and the FPGAIC chip in the non-volatile programmable logic drive device stores the encryption key in the non-volatile memory of the FPGAIC chip. Bulk cells, where on-chip non-volatile memory cells may include a floating gate MOS transistor, MRAM or RRAM. Alternatively, the FPGAIC chip in the non-volatile programmable logic drive device stores the encryption key in a dedicated RAM cell on the FPGAIC chip, where the dedicated RAM cell can be backed up via a small external connection power supply. Alternatively, an e-fuse or anti-fuse on the FPGAIC chip can be used to store the encryption key, and the e-fuse or anti-fuse can be programmed to be non-volatile The electronic fuse (e-fuse) includes a plurality of fuses, and each fuse has an interconnected metal wire or a metal wire of the connecting wire in the FISC or SISC of the FPGAIC chip (as disclosed and described above). One of the narrow necks, in programming of non-volatile storage, selects the fuse to be cut or destroyed by applying a high current through the fuse, the impedance fuse includes a plurality of fuses, each fuse having a thin oxide window Located between two contacts or electrodes, in non-volatile memory programming, by applying a high voltage between the two contacts or electrodes to destroy the oxide in the thin oxide window, the encryption key can be accessed through a Special ports/ports are programmed on the device, the on-chip security circuit performs operations to decrypt the incoming bit stream during configuration, the non-volatile programmable logic drives the device with encryption logic using 128, 256, 512 or 1024 bit encryption keys (depending on the on-chip security circuit).

在非揮發可編程邏輯驅動裝置中的晶片封裝結構中的非揮發性IC記憶體晶片及FPGAIC晶片為當前的ASICIC晶片設計、製造和業務提供了一種替代方案,該非揮發可編程邏輯驅動裝置中的晶片封裝結構具有:(i)加載及儲存軟體原始碼、資料、資訊、指令或程序(與創新或應用相關)在非揮發性IC記憶體晶片中,及(ii)具有解密/加密之晶片上位元流功能(依據晶片上安全電路)的FPGAIC晶片,可被販賣及使用,像ASICIC晶片一樣。Non-volatile IC memory chips and FPGA IC chips in a chip package structure in a non-volatile programmable logic drive provide an alternative to current ASICIC chip design, manufacture and business. The chip package structure has: (i) loading and storing software source code, data, information, instructions or programs (related to innovation or application) in a non-volatile IC memory chip, and (ii) on-chip with decryption/encryption FPGAIC chips with meta-flow functionality (based on on-chip security circuits) can be sold and used like ASICIC chips.

堆疊邏輯驅動器可使用如前述揭露相同或類似的製程步驟形成,例如經由以下製程步驟:(i)提供一具有TPVs及BISD的第一單層封裝邏輯驅動器,其中單層封裝邏輯驅動器是分離晶片型式或仍以晶圓或面板型式進行,其具有複數銅柱或凸塊、複數銲錫凸塊或複數金凸塊朝下,及其位在BISD上面曝露的複數銅接墊;(ii)POP堆疊封裝,可經由表面黏著及(或)覆晶方去的方式將一第二分離單層封裝邏輯驅動器(也具有TPVS及BISD)設在提供第一單層封裝邏輯驅動器頂端,表面黏著製程係類似使用在複數元件封裝設置在PCB上的SMT技術,例如經由印刷銲錫層或銲錫膏、或曝露銅接墊表面上的助銲劑,接著覆晶封裝、連接或耦接第二分離單層封裝邏輯驅動器上的複數銅柱或凸塊、複數銲錫凸塊或複數金凸塊至第一單層封裝邏輯驅動器曝露複數銅接墊上的銲錫層、銲錫膏或助銲劑,經由覆晶封裝製程連接或耦接複數銅柱或凸塊、複數銲錫凸塊或複數金凸塊在第一單層封裝邏輯驅動器的複數銅接墊的表面,其中此覆晶封裝製程係類似使用在IC堆疊技術的POP封裝技術,這裡需注意,在第二分離單層封裝邏輯驅動器上的複數銅柱或凸塊、複數銲錫凸塊或複數金凸塊接合至第一單層封裝邏輯驅動器的複數銅接墊表面可被設置直接地在複數IC晶片位在第一單層封裝邏輯驅動器的位置上方;一底部填充材料可被填入在第一單層封裝邏輯驅動器與第二單層封裝邏輯驅動器之間的間隙,第三分離單層封裝邏輯驅動器(也具有TPVS及BISD)可被覆晶封裝連接至耦接至第二單層封裝邏輯驅動器的TPVS所曝露的表面,POP堆疊封裝製程可被重覆封裝複數分離單層封裝邏輯驅動器(數量例如是大於或等於n個分離單層封裝邏輯驅動器,其中n是大於或等於2、3、4、5、6、7或8)以形成完成型堆疊邏輯驅動器,當第一單層封裝邏輯驅動器是分離型式,它們可以是第一覆晶封裝組裝至一載板或基板,例如是PCB、或BGA板,然後進行POP製程,而在載板或基板型式,形成複數堆疊邏輯驅動器,接著切割此載板或基板而產生複數分離完成堆疊邏輯驅動器,當第一單層封裝邏輯驅動器仍是晶圓或面板型式,對於進行POP堆疊製程形成複數堆疊邏輯驅動器時,晶圓或面板可被直接用作為POP堆疊製程的載板或基板,接著將晶圓或面板切割分離,而產生複數分離的堆疊完成邏輯驅動器。The stacked logic driver can be formed using the same or similar process steps as disclosed above, for example, by the following process steps: (i) providing a first single-level package logic driver with TPVs and BISD, wherein the single-level package logic driver is a split chip type Or still in wafer or panel format with copper pillars or bumps, solder bumps or gold bumps down, and copper pads exposed on top of the BISD; (ii) POP stacked package , a second separate single-level package logic driver (also with TPVS and BISD) can be provided on top of the first single-level package logic driver by surface mount and/or flip chip method, and the surface mount process is similarly used SMT technology where multiple component packages are placed on a PCB, for example by printing a layer of solder or solder paste, or flux on exposed copper pad surfaces, followed by flip chip packaging, connecting or coupling on a second separate single-level package logic driver The plurality of copper pillars or bumps, the plurality of solder bumps or the plurality of gold bumps to the first single-level package logic driver exposes the solder layer, solder paste or flux on the plurality of copper pads, and connects or couples the plurality of Copper pillars or bumps, solder bumps or gold bumps on the surface of the copper pads of the first single-level package logic driver, wherein the flip chip packaging process is similar to the POP packaging technology used in IC stacking technology, here Note that copper pillars or bumps, solder bumps, or gold bumps on the second separate single-level package logic driver to bond to the copper pad surfaces of the first single-level package logic driver can be placed directly After the plurality of IC dies are positioned over the location of the first single-level package logic driver; an underfill material may be filled in the gap between the first single-level package logic driver and the second single-level package logic driver, the third separate single-level package logic driver Layer-packaged logic drivers (also with TPVS and BISD) can be flip-chip connected to the exposed surface of the TPVS coupled to the second single-level packaged logic driver, and the POP stack package process can be repackaged for multiple separate single-level packaged logic drivers (The number is, for example, greater than or equal to n discrete single-level package logic drivers, where n is greater than or equal to 2, 3, 4, 5, 6, 7, or 8) to form a completed stacked logic driver, when the first single-level package logic driver is Logic drivers are separate types, they can be assembled to a carrier or substrate, such as a PCB or BGA board, in a first flip-chip package, and then a POP process is performed, and in the carrier or substrate type, a plurality of stacked logic drivers are formed, and then The carrier or substrate is diced to generate multiple separations to complete the stacked logic drivers. When the first single-layer packaged logic drivers are still in the wafer or panel type, the wafers or panels can be directly separated when the POP stacking process is performed to form the multiple stacked logic drivers. Used as a carrier or substrate for the POP stacking process, the wafer or panel is then diced and separated to produce a plurality of separate stacks to complete the logic driver.

本發明另一方面提供單層封裝邏輯驅動器的TPVS的數種可替換的交互連接線:(a)TPV可被用作為一穿孔連接單層封裝邏輯驅動器上方的另一單層封裝邏輯驅動器及下方的另一單層封裝邏輯驅動器,而不連接或耦接至單層封裝邏輯驅動器的任何IC晶片上的FISC、SISC或微型銅柱或凸塊,在此種情況下,一堆疊結構的形成,從底端至頂端為:(i)銅接墊(BISD中最底部絕緣介電層的金屬栓塞);(ii)複數堆疊交互連接層及在TISD的介電層內的金屬栓塞;(iii)TPV層;(iv)複數堆疊交互連接層及在TISD的的介電層內的金屬栓塞;(v)金屬金屬柱或凸塊;(b)TPV被堆疊作為在(a)結構中穿過TISD的金屬線或連接線之直通的TPV(throughTPV),但係連接或耦接至單層封裝邏輯驅動器的一或複數IC晶片上的FISC、SISC或微型銅柱或凸塊;(c)TPV只堆疊在底部,而沒有堆疊在頂部,在此種情況,TPV連接結構的形成,從底端至頂端分別為:(i)銅接墊(BISD中最底部絕緣介電層的金屬栓塞);(ii)複數堆疊交互連接線層及在BISD的介電層的金屬栓塞;(iii)TPV;(iv)TPV頂端通過TISD複數溝槽或複數開孔電層內的複數交互連接線金屬層及金屬栓塞連接或耦接至單層封裝邏輯驅動器的一或複數IC晶片上的FISC、SISC或微型銅柱或凸塊,沒有金屬金屬柱或凸塊直接地位在TPV的上面及連接或耦接至TPV;(v)金屬金屬柱或凸塊(在TISD上)連接或耦接至TPV的頂部,但其中金屬金屬柱或凸塊之一位置沒有直接地在TPV的頂面;(d)TPV連接結構形成,由底部至頂部為(i)一銅接墊(BISD中最底端絕緣介電層的金屬栓塞)直接地在單層封裝邏輯驅動器的IC晶片下方;(ii))在BISD上銅接墊、柱或凸塊通過BISD的介電層內的複數交互連接線金屬層及金屬栓塞連接或耦接至TPV底部(其位在複數晶片之間的間隙或在沒有放置晶片的周邊區域);(iii)TPV;(iv)上面的TPVs通過在TISD的絕緣介電層內的複數交互連接線金屬層及金屬栓塞連接或耦接至在單層封裝邏輯驅動器的一或複數IC晶片上的FISC、R94或微型銅柱或凸塊;(v)金屬金屬柱或凸塊(在TISD上)連接或耦接至TPV頂部,且其位置沒有直接地位在TPV的上方;(e)TPV連接結構的形成,從底端至頂端分別為:(i)銅接墊(BISD中最底部絕緣介電層的金屬栓塞)直接地位在單層封裝邏輯驅動器中IC晶片的下方;(ii)銅接墊連接或耦接至TPV的底部(其係位在複數晶片之間的間隙或是沒有晶片設置的週邊區域)通過在BISD的介電層內的複數交互連接線金屬層及金屬栓塞;(iii)TPV;(iv)TPV的頂端係通過TISD的介電層內的複數交互連接線金屬層及金屬栓塞連接或耦接至在單層封裝邏輯驅動器的一或複數IC晶片上的FISC、SISC或微型銅柱或凸塊,TISD的介電層內的複數交互連接線金屬層及金屬栓塞包括單層封裝邏輯驅動器的TISD內的金屬線或連接線之一交互連接網或結構,用於連接或耦接電晶體、FISC、SISC、及(或)FPGAIC晶片的微型銅柱或凸塊、或封裝在單層封裝邏輯驅動器內的複數FPGAIC晶片,但交互連接網或結構沒有連接或耦接至單層封裝邏輯驅動器之外的複數電路或元件,也就是說,在單層封裝邏輯驅動器的複數金屬柱或凸塊(複數銅柱或凸塊、複數銲錫凸塊或複數金凸塊)連接至TISD內的金屬線或連接線之交互連接網或結構,因此,沒有單層封裝邏輯驅動器的複數金屬柱或凸塊(複數銅柱或凸塊、複數銲錫凸塊或複數金凸塊)連接或耦接至TPV的頂端。Another aspect of the present invention provides several alternative interconnections for the TPVS of a single-level packaged logic driver: (a) The TPV can be used as a via to connect another single-level packaged logic driver above and below another single-level packaged logic driver Another single-level package logic driver is not connected or coupled to the FISC, SISC or miniature copper pillars or bumps on any IC die of the single-level package logic driver, in which case the formation of a stacked structure, From bottom to top are: (i) copper pads (metal plugs in the bottommost insulating dielectric layer in BISD); (ii) multiple stacked interconnect layers and metal plugs in the dielectric layers of TISD; (iii) TPV layers; (iv) multiple stacked interconnect layers and metal plugs within the dielectric layers of the TISD; (v) metal metal pillars or bumps; (b) TPVs are stacked as in (a) the structure through the TISD Through TPV (through TPV) of metal lines or connecting lines, but connected or coupled to FISC, SISC or micro copper pillars or bumps on one or more IC chips of a single-level package logic driver; (c) TPV only Stacked on the bottom, but not on the top, in this case, the formation of the TPV connection structure, from bottom to top, respectively: (i) copper pads (metal plugs of the bottommost insulating dielectric layer in BISD); ( ii) A plurality of stacked interconnect layers and metal plugs in the dielectric layer of the BISD; (iii) TPV; (iv) The top of the TPV passes through the TISD multiple trenches or multiple open holes in the multiple interconnect metal layers and metal layers in the electrical layer Plug connection or coupling to FISC, SISC or micro copper pillars or bumps on one or more IC chips of single level package logic driver, no metal metal pillars or bumps directly on top of TPV and connected or coupled to TPV ; (v) metal metal pillars or bumps (on TISD) connected or coupled to the top of the TPV, but where one of the metal metal pillars or bumps is not directly on the top surface of the TPV; (d) TPV connection structure form, from bottom to top, (i) a copper pad (metal plug of the bottommost insulating dielectric layer in the BISD) directly under the IC die of the single-level package logic driver; (ii)) a copper pad on the BISD Pads, pillars or bumps are connected or coupled to the bottom of the TPV (in the gaps between the dies or in the peripheral area where no dies are placed) through a plurality of interconnecting wire metal layers and metal plugs within the dielectric layer of the BISD; (iii) TPVs; (iv) The upper TPVs are connected or coupled to FISCs on one or more IC chips of single-level packaged logic drivers through a plurality of interconnecting wire metal layers and metal plugs within the insulating dielectric layer of the TISD , R94, or micro copper pillars or bumps; (v) metal metal pillars or bumps (on TISD) are connected or coupled to the top of the TPV and are not located directly above the TPV; (e) the TPV connection structure is Formed, from bottom to top: (i) copper pads (the bottommost insulating dielectric in BISD) (ii) copper pads connected or coupled to the bottom of the TPV (which is located in the gap between the dies or is not provided with a die) Peripheral area) through a plurality of interconnecting wire metal layers and metal plugs in the dielectric layer of BISD; (iii) TPV; (iv) The top of the TPV is through a plurality of interconnecting wire metal layers and metal plugs in the dielectric layer of TISD The plugs are connected or coupled to FISC, SISC, or micro copper pillars or bumps on one or more IC chips of a single-level packaged logic driver, the plurality of interconnect metal layers and metal plugs within the dielectric layer of the TISD include a single layer One of the interconnecting nets or structures of metal lines or connecting lines within the TISD of the packaged logic driver for connecting or coupling transistors, FISCs, SISCs, and/or miniature copper pillars or bumps of FPGAIC chips, or packaged in A plurality of FPGAIC chips within a single-level packaged logic driver, but the interconnection nets or structures are not connected or coupled to a plurality of circuits or elements outside the single-level packaged logic driver, that is, a plurality of metal pillars within the single-level packaged logic driver or bumps (copper pillars or bumps, solder bumps, or gold bumps) to the interconnection network or structure of metal lines or connection lines within the TISD, so there are no metal pillars for single-level package logic drivers Or bumps (copper pillars or bumps, solder bumps or gold bumps) are connected or coupled to the top of the TPV.

本發明另一方面揭露在多晶片封裝中的邏輯驅動器型式可更包括一或複數專用可編程SRAM(DPSRAM)晶片,DPSRAM包括複數5TSRAM單元或6TSRAM單元及複數交叉點開關,及被用於作為複數電路或複數商業化標準FPGA晶片的複數交互連接線之間的交互連接線編程,複數可編程交互連接線包括位在複數商業化標準FPGA晶片之間TISD的交互連接金屬線或連接線,其具有TISD的且位在交互連接金屬線或連接線中間之複數交叉點開關電路,例如TISD的n條金屬線或連接線輸入至一交叉點開關電路,及TISD的m條金屬線或連接線從開關電路輸出,交叉點開關電路被設計成TISD的n條金屬線或連接線中每一金屬線或連接線可被編程為連接至TISD的m條金屬線或連接線中的任一條金屬線或連接線,交叉點開關電路可經由例如儲存在DPSRAM晶片內的SRAM單元的編程原始碼控制,SRAM單元可包括6個電晶體(6TSRAM),其中包括二傳輸(寫入)電晶體及4個資料鎖存電晶體,其中2個傳輸(寫入)電晶體係用來寫入編程原始碼或資料至4個資料鎖存電晶體的2個儲存或鎖存節點。或者,SRAM單元可包括5個電晶體(5TSRAM),其中包括一傳輸(寫入)電晶體及4個資料鎖存電晶體,其中1個傳輸電晶體係用來寫入編程原始碼或資料至4個資料鎖存電晶體的2個儲存或鎖存節點,在5TSRAM單元或6TSRAM單元中的儲存(編程)資料被用於TISD的金屬線或連接線之”連接”或”不連接”的編程,複數交叉點開關與上述複數商業化標準FPGAIC晶片中的說明相同,各種類型的複數交叉點開關的細節在上述複數FPGAIC晶片的段落中揭露或說明,複數交叉點開關可包括:(1)n型及p型電晶體成對電路;或(2)複數多工器及複數開關緩衝器,當鎖存在5TSRAM單元或6TSRAM單元的資料被編程在”1”時,一n型及p型成對電晶體的通過/不通過電路切換成”導通”狀態,及連接至通過/不通過電路的二端(分別為成對電晶體的源極及汲極)的TISD的二金屬線或連接線為連接狀態,而鎖存在5TSRAM單元或6TSRAM單元的資料被編程在”0”時,一n型及p型成對電晶體的通過/不通過電路切換成”不導通”狀態,連接至通過/不通過電路的二端(分別為成對電晶體的源極及汲極)的TISD的二金屬線或連接線為不連接狀態,或者,當鎖存在5TSRAM單元或6TSRAM單元的資料被編程在”1”時,在開關緩衝器內的控制N-MOS電晶體及控制P-MOS電晶體切換成”導通”狀態,在輸入金屬線的資料被導通至交叉點開關的輸出金屬線,及連接至交叉點開關的二端點的TISD的二金屬線或連接線為連接或耦接;當鎖存在5TSRAM單元或6TSRAM單元的資料被編程在”0”時,在開關緩衝器內的控制N-MOS電晶體及控制P-MOS電晶體切換成”不導通”狀態,在輸入金屬線的資料不導通至交叉點開關的輸出金屬線,及連接至交叉點開關的二端點的TISD的二金屬線或連接線為不連接或耦接。DPSRAM晶片包括複數5TSRAM單元或6TSRAM單元及複數交叉點開關,複數5TSRAM單元或6TSRAM單元及複數交叉點開關用於邏輯驅動器內複數商業化標準FPGA晶片之間TISD的金屬線或連接線之可編程交互連接線,或者,複數5TSRAM單元或6TSRAM單元及複數交叉點開關用於邏輯驅動器內的複數商業化標準FPGA晶片與TPVS(例如TPVS頂端表面)之間TISD的金屬線或連接線之可編程交互連接線,如上述相同或相似的揭露的方法。在5TSRAM單元或6TSRAM單元內儲存的(編程)資料用於編程二者之間的連接或不連接,例如:(i)TISD的第一金屬線、連接線或網連接至在邏輯驅動器中一或複數IC晶片上的一或複數微型銅柱或凸塊,及(或)連接至邏輯驅動器的TISD上或上方一或複數金屬柱或凸塊,及(ii)TISD的第二金屬線、連接線或網連接至或耦接至一TPV(例如TPV頂部表面),如上述相同或相似的揭露的方法。根據上述揭露內容,TPVS為可編程,也就是說,上述揭露內容提供可編程的TPVS,可編程的TPVS或者可用在可編程交互連接線,包括用在邏輯驅動器的複數FPGA晶片上的複數5TSRAM單元或6TSRAM單元及複數交叉點開關,可編程TPV可被(經由軟體)編程為(i)連接或耦接至邏輯驅動器的一或複數IC晶片中之一或複數微型銅柱或凸塊(為此連接至SISC的及(或)FISC的金屬線或連接線,及(或)複數電晶體),及(或)(ii)連接或耦接至邏輯驅動器的TISD上或上方的一或複數金屬接墊、金屬柱或凸塊,當位在邏輯驅動器的背面的銅接墊(TPV底部表面、位在TPV底部部分的聚合物層內的金屬栓塞的底底表面,或BISD的最底端聚合物層內的金屬栓塞底部表面)連接至可編程TPV,銅接墊變成一可編程銅接墊,位在邏輯驅動器背面的可編程銅接墊可經由編程及通過可編程TPV連接或耦接至(i)位在邏輯驅動器的一或複數IC晶片(為此連接至SISC的及(或)FISC的)正面之一或複數微型銅柱或凸塊;及(或)(ii)在邏輯驅動器正面的TISD上或上方的複數金屬接墊、凸塊或柱。或者,DPSRAM晶片包括複數5TSRAM單元或6TSRAM單元及複數交叉點開關,其可用於邏輯驅動器的TISDs上或上方之複數金屬柱或凸塊(複數銅柱或凸塊、複數銲錫凸塊或複數金凸塊)之間的TISD的金屬線或連接線之可編程交互連接線,以及在邏輯驅動器的一或複數IC晶片上一或複數微型銅柱或凸塊,如上述相同或相似的揭露的方法。在5TSRAM單元或6TSRAM單元內儲存(或編程)的資料可用於二者之間的”連接”或”不連接”的編程,例如:(i)TISD的第一金屬線或連接線連接至在邏輯驅動器的一或複數IC晶片上之一或複數微型銅柱或凸塊,及連接在TISD上的金屬複數金屬柱或凸塊,及(ii)TISD的一第二金屬線或連接線連接或耦接至TISD上或上方的複數金屬接墊、柱或凸塊,如上述相同或相似的揭露的方法。根據上述揭露內容,TISD上或上方的複數金屬柱或凸塊也可編程,換句話說,本發明上述揭露內容提供的TISD上或上方複數金屬接墊、柱或凸塊是可編程,位在TISD上或上方可編程的複數金屬接墊、柱或凸塊或者可用在可編程交互連接線,包括用在邏輯驅動器的複數FPGA晶片上的複數5TSRAM單元或6TSRAM單元及複數交叉點開關,可編程的複數金屬接墊、柱或凸塊可經由編程,連接或耦接邏輯驅動器的一或複數IC晶片(為此連接至SISC的及(或)FISC的金屬線或連接線,及(或)複數電晶體)之一或複數微型銅柱或凸塊。Another aspect of the present invention discloses that the logic driver type in a multi-chip package may further include one or more dedicated programmable SRAM (DPSRAM) chips, the DPSRAM includes a plurality of 5TSRAM cells or 6TSRAM cells and a plurality of cross-point switches, and is used as a plurality of Interconnect programming between circuits or a plurality of interconnecting wires of a plurality of commercial standard FPGA chips, the plurality of programmable interconnecting wires including the interconnecting wires or wires of the TISD located between the plurality of commercial standard FPGA chips, which have A plurality of cross-point switch circuits of TISD and located in the middle of the interconnecting metal lines or connecting lines, such as n metal lines or connecting lines of TISD input to a cross-point switching circuit, and m metal lines or connecting lines of TISD from the switch The circuit output, the cross-point switch circuit is designed so that each of the n wires or connections of the TISD can be programmed to connect to any one of the m wires or connections of the TISD The line, cross-point switch circuits can be controlled via, for example, programming source code for SRAM cells stored in a DPSRAM chip, which can include 6 transistors (6TSRAM) including two transfer (write) transistors and four data locks Storage transistors, of which 2 transfer (write) transistor systems are used to write programming source code or data to the 2 storage or latch nodes of the 4 data latch transistors. Alternatively, the SRAM cell may include 5 transistors (5TSRAM), including a transfer (write) transistor and 4 data latch transistors, of which one transfer transistor system is used to write programming source code or data to 2 storage or latch nodes of 4 data latch transistors, the storage (programming) data in 5TSRAM cells or 6TSRAM cells is used for "connected" or "unconnected" programming of TISD metal lines or connecting lines , the complex crosspoint switches are the same as those described in the complex commercial standard FPGAIC chips above, the details of the various types of complex crosspoint switches are disclosed or described in the paragraphs above for the complex FPGAIC chips, and the complex crosspoint switches may include: (1) n A paired circuit of type and p-type transistors; or (2) a plurality of multiplexers and a plurality of switch buffers, when the data latched in the 5TSRAM cell or the 6TSRAM cell is programmed at "1", an n-type and p-type paired The pass/no pass circuit of the transistor is switched to the "on" state, and the two metal lines or connecting lines of the TISD connected to the two ends of the pass/no pass circuit (respectively the source and drain of the paired transistor) are: In the connected state, and the data latched in the 5TSRAM cell or the 6TSRAM cell is programmed to "0", the pass/no pass circuit of a pair of n-type and p-type transistors switches to a "non-conducting" state, connecting to pass/no The two metal lines or connecting lines of the TISD passing through the two ends of the circuit (respectively the source and drain electrodes of the paired transistors) are not connected, or, when the data latched in the 5TSRAM cell or the 6TSRAM cell is programmed in "1" ", the control N-MOS transistor and the control P-MOS transistor in the switch buffer are switched to the "on" state, the data in the input metal line is turned on to the output metal line of the cross-point switch, and is connected to the cross The two metal lines or connecting lines of the TISD at the two terminals of the point switch are connected or coupled; when the data latched in the 5TSRAM cell or the 6TSRAM cell is programmed to "0", the control N-MOS circuit in the switch buffer The crystal and the control P-MOS transistor are switched to a "non-conducting" state, the data on the input metal line is not conducted to the output metal line of the cross-point switch, and the two metal lines of the TISD connected to the two terminals of the cross-point switch or Connection lines are not connected or coupled. The DPSRAM chip includes a plurality of 5TSRAM cells or 6TSRAM cells and a plurality of crosspoint switches, and the plurality of 5TSRAM cells or 6TSRAM cells and a plurality of crosspoint switches are used for the programmable interaction of the metal lines or connecting lines of the TISD between the plurality of commercial standard FPGA chips in the logic driver. Wires, alternatively, 5TSRAM cells or 6TSRAM cells and crosspoint switches Programmable interconnection of metal wires or wires for TISD between commercial standard FPGA chips in logic drivers and TPVS (eg, TPVS top surface) line, as described above in the same or similar disclosed method. The (programming) data stored in the 5TSRAM cell or the 6TSRAM cell is used to program the connection or disconnection between the two, for example: (i) the first metal line, connection line or net of the TISD is connected to an or One or more miniature copper pillars or bumps on the plurality of IC chips, and/or one or more metal pillars or bumps on or above the TISD connected to the logic driver, and (ii) the second metal line, connecting line of the TISD Or the mesh is connected or coupled to a TPV (eg, TPV top surface), as in the same or similar disclosed methods above. According to the above disclosure, TPVS is programmable, that is, the above disclosure provides programmable TPVS, programmable TPVS or can be used in programmable interconnects, including plural 5TSRAM cells on plural FPGA chips used in logic drivers or 6TSRAM cells and crosspoint switches, programmable TPVs can be programmed (via software) to (i) one or more of one or more IC chips connected or coupled to the logic driver or microcopper pillars or bumps (for this Metal lines or connecting lines connected to SISC and/or FISC, and/or transistors), and/or (ii) one or more metal connections on or above the TISD connected or coupled to the logic driver Pads, metal pillars, or bumps when copper pads on the backside of a logic drive (TPV bottom surface, bottom bottom surface of metal plugs located within the polymer layer of the bottom portion of the TPV, or bottom-most polymer for BISD The metal plug bottom surface in the layer is connected to the programmable TPV, the copper pad becomes a programmable copper pad, and the programmable copper pad on the backside of the logic driver can be programmed and connected or coupled through the programmable TPV to ( i) one or a plurality of miniature copper pillars or bumps on the front side of one or more IC chips (for this purpose connected to the SISC and/or the FISC) of the logical driver; and (or)(ii) the front side of the logical driver A plurality of metal pads, bumps or pillars on or above the TISD. Alternatively, the DPSRAM chip includes 5TSRAM cells or 6TSRAM cells and crosspoint switches, which can be used for metal pillars or bumps (copper pillars or bumps, solder bumps, or gold bumps) on or over the TISDs of the logic driver Programmable interconnections of metal lines or connecting lines of TISD between blocks), and micro copper pillars or bumps on one or more IC chips of the logic driver, as the same or similar methods disclosed above. The data stored (or programmed) in a 5TSRAM cell or a 6TSRAM cell can be used for "connected" or "unconnected" programming between the two, for example: (i) the first metal line or connecting line of the TISD is connected to the logic One or more miniature copper pillars or bumps on one or more IC chips of the driver, and a plurality of metal metal pillars or bumps connected to the TISD, and (ii) a second metal line or connecting line of the TISD is connected or coupled A plurality of metal pads, studs, or bumps are connected to or above the TISD, as in the same or similar disclosed methods above. According to the above disclosure, the plurality of metal studs or bumps on or above the TISD are also programmable. In other words, the plurality of metal pads, studs or bumps on or above the TISD provided by the above disclosure of the present invention are programmable. Programmable metal pads, studs or bumps on or over TISD or can be used in programmable interconnects, including 5TSRAM cells or 6TSRAM cells and crosspoint switches on FPGA die for logic drivers, programmable The plurality of metal pads, studs or bumps can be programmed, connected or coupled to one or more IC chips of the logic driver (for this purpose connected to the metal lines or connecting lines of the SISC and/or the FISC, and/or a plurality of transistor) or a plurality of micro copper pillars or bumps.

DPSRAM可使用各種半導體技術設計用來實現及製造,包括舊的或成熟的技術,例如不先進於、等於、以上、以下40nm、50nm、90nm、130nm、250nm、350nm或500nm。或者DPSRAM包括使用先進於或等於、以下或等於30nm、20nm或10nm。此DPSRAM可使用半導體技術1世代、2世代、3世代、4世代、5世代或大於5世代以上的技術,或使用更成熟或更先進的技術在同一邏輯驅動器內複數商業化標準FPGAIC晶片上。使用在DPSRAM的電晶體可以是FINFETGAAFET、FDSOIMOSFET、部分耗盡矽絕緣體MOSFETs或常規的MOSFET,使用在DPSRAM的電晶體可以是從使用在同一邏輯驅動器中的商業化標準FPGAIC晶片封裝不同的,例如DPSRAM係使用常規MOSFET,但在同一邏輯驅動器內的商業化標準FPGAIC晶片封裝可使用FINFET電晶體,或是DPSRAM係使用FDSOIMOSFET,而在同一邏輯驅動器內的商業化標準FPGAIC晶片封裝可使用FINFET或GAAFET。DPSRAMs can be designed to be implemented and fabricated using a variety of semiconductor technologies, including older or mature technologies, such as less than, equal to, above, below 40 nm, 50 nm, 90 nm, 130 nm, 250 nm, 350 nm, or 500 nm. Or DPSRAM includes use first above or equal to, below or equal to 30nm, 20nm or 10nm. This DPSRAM can use semiconductor technology 1st, 2nd, 3rd, 4th, 5th, or more than 5th generation, or use more mature or advanced technology on multiple commercial standard FPGAIC chips within the same logic driver. The transistors used in DPSRAMs can be FINFETGAAFETs, FDSOIMOSFETs, partially depleted silicon insulator MOSFETs or conventional MOSFETs. The transistors used in DPSRAMs can be different from commercial standard FPGAIC chip packages used in the same logic driver, such as DPSRAM Conventional MOSFETs are used, but commercial standard FPGAIC chip packages within the same logic driver can use FINFET transistors, or DPSRAM uses FDSOIMOSFETs, and commercial standard FPGAIC chip packages within the same logic driver can use FINFETs or GAAFETs.

本發明另一方面提供在多晶片封裝中的邏輯驅動器型式更包括一或複數專用可編程交互連接線及緩存SRAM(DPCSRAM)晶片,DPCSRAM晶片包括(i)複數5TSRAM單元或6TSRAM單元及複數交叉點開關用於編程TISD中的金屬線或連接線之交互連接線,因此在邏輯驅動器內的複數商業化標準FPGA晶片之複數交互連接線或複數電路之間編程交互連接線,及(ii)常規6T複數SRAM單元用於緩存記憶體,複數5T或6T單元中的複數可編程交互連接線及複數交叉點開關如上述揭露及說明。或者,如上述相同或類似所揭露的方法,DPCSRAM晶片包括複數5TSRAM單元或6TSRAM單元及複數交叉點開關,其可用於邏輯驅動器內的複數商業化標準FPGA晶片與TPVS(例如TPVS頂端表面)之間的TISD金屬線或連接線之可編程交互連接線,在5TSRAM單元或6TSRAM單元內儲存(或編程)的資料可用於二者之間的”連接”或”不連接”的編程,如上述相同或類似所揭露的方法例如:(i)TISD中的第一金屬線或連接線、連接至在邏輯驅動器的一或複數IC晶片上之一或複數微型銅柱或凸塊,邏輯驅動器的TISD上或上方一或複數金屬接墊、柱或凸塊,及(ii)TISD第二金屬線或連接線連接或耦連至TPV(例如TPV的頂端表面),根據上述揭露內容,TPVS可編程,換句話說,上述揭露內容提供可編程的TPVS,可編程的TPVS或者可用在可編程交互連接線,包括用在邏輯驅動器的複數FPGA晶片上的複數5TSRAM單元或6TSRAM單元及複數交叉點開關,可編程TPV可被(經由軟體)編程為(i)連接或耦接至邏輯驅動器的一或複數IC晶片中之一或複數微型銅柱或凸塊(為此連接至SISC的及(或)FISC的金屬線或連接線,及(或)複數電晶體),及(或)(ii)連接或耦接至邏輯驅動器的TISD之上或上方的一或複數金屬接墊、金屬柱或凸塊,當位在邏輯驅動器背面的銅接墊(TPV的底部表面、位在TPV底部部分的聚合物層內的金屬栓塞的底底表面,或BISD的最底端聚合物層內的金屬栓塞底部表面)連接至可編程TPV,銅接墊變成在BISD上或上方的一可編程銅接墊,位在邏輯驅動器背面的可編程的金屬接墊、凸塊或柱可經由編程及通過可編程TPV連接或耦接至(i)位在邏輯驅動器正面的一或複數IC晶片(為此連接至SISC的及(或)FISC的金屬線或連接線,及(或)複數電晶體)之一或複數微型銅柱或凸塊;及(或)(ii)在邏輯驅動器正面的TISD上或上方的複數金屬接墊、凸塊或柱。或者,DPCSRAM晶片晶片包括複數5TSRAM單元或6TSRAM單元及複數交叉點開關,其可用於邏輯驅動器的TISDs上或上方的複數金屬柱或凸塊(複數銅柱或凸塊、複數銲錫凸塊或複數金凸塊)之間的TISD的金屬線或連接線之可編程交互連接線,及邏輯驅動器的一或複數IC晶片上的一或複數微型銅柱或凸塊,如上述相同或相似的揭露的方法。在5TSRAM單元或6TSRAM單元內儲存(或編程)的資料可用於二者之間的”連接”或”不連接”的編程,例如:(i)TISD第一金屬線或連接線連接至在邏輯驅動器的一或複數IC晶片上之一或複數微型銅柱或凸塊,及連接在TISD上的金屬複數金屬柱或凸塊,及(ii)TISD的一第二金屬線或連接線連接或耦接至TISD上或上方的複數金屬接墊、柱或凸塊,如上述相同或相似的揭露的方法。根據上述揭露內容,TISD上或上方的複數金屬柱或凸塊也可編程,換句話說,本發明上述揭露內容提供的TISD上或上方複數金屬接墊、柱或凸塊是可編程,可編程的複數金屬金屬柱或凸塊或者可用在可編程交互連接線,包括用在邏輯驅動器的複數FPGA晶片上的複數5TSRAM單元或6TSRAM單元及複數交叉點開關,可編程的複數金屬金屬柱或凸塊可經由編程,連接或耦接邏輯驅動器的一或複數IC晶片(為此連接至SISC的及(或)FISC的金屬線或連接線,及(或)複數電晶體)之一或複數微型銅柱或凸塊。Another aspect of the present invention provides a logic driver type in a multi-chip package further comprising one or more dedicated programmable interconnect and cache SRAM (DPCSRAM) chips, the DPCSRAM chips including (i) a plurality of 5TSRAM cells or 6TSRAM cells and a plurality of cross points Switches are used to program the interconnects of wires or interconnects in TISDs, thus programming interconnects between interconnects or circuits of commercial standard FPGA chips within logic drivers, and (ii) conventional 6T The plurality of SRAM cells are used for the cache memory, and the plurality of programmable interconnect lines and the plurality of cross-point switches in the plurality of 5T or 6T cells are as disclosed and described above. Alternatively, as in the same or similar methods disclosed above, the DPCSRAM chip includes a plurality of 5TSRAM cells or a plurality of 6TSRAM cells and a plurality of crosspoint switches, which can be used between a plurality of commercial standard FPGA chips in a logic driver and a TPVS (eg, a TPVS top surface) Programmable interconnection of TISD metal lines or connecting lines, the data stored (or programmed) in the 5TSRAM cell or the 6TSRAM cell can be used for "connected" or "unconnected" programming between the two, the same as above or Methods similar to those disclosed such as: (i) a first metal line or connecting line in a TISD, connected to one or a plurality of micro-copper pillars or bumps on one or more IC chips of a logic driver, on a TISD of a logic driver, or The upper one or more metal pads, studs, or bumps, and (ii) the TISD second metal line or connection line is connected or coupled to the TPV (eg, the top surface of the TPV), which is programmable according to the above disclosure, in other words In other words, the above disclosure provides programmable TPVS, programmable TPVS or can be used in programmable interconnects, including multiple 5TSRAM cells or 6TSRAM cells and multiple crosspoint switches on multiple FPGA chips for use in logic drivers, programmable TPVs Can be programmed (via software) to (i) one of one or more IC chips connected or coupled to the logic driver or a plurality of micro copper pillars or bumps (for this purpose connected to the metal lines of the SISC and/or the FISC or connecting lines, and (or) transistors), and (or) (ii) one or more metal pads, metal posts or bumps on or above the TISD connected or coupled to the logic driver, when located on The copper pads on the back of the logic drive (the bottom surface of the TPV, the bottom surface of the metal plug in the polymer layer in the bottom portion of the TPV, or the bottom surface of the metal plug in the bottommost polymer layer of the BISD) are connected to the Program the TPV, the copper pad becomes a programmable copper pad on or over the BISD, programmable metal pads, bumps or pillars on the backside of the logic driver can be programmed and connected or coupled to the programmable TPV (i) one or more IC chips (for this purpose connected to SISC and/or FISC metal lines or connecting lines, and/or multiple transistors) one or more micro copper pillars or bumps on the front side of the logic driver block; and/or (ii) a plurality of metal pads, bumps or pillars on or over the TISD on the front side of the logic drive. Alternatively, the DPCSRAM chip includes 5TSRAM cells or 6TSRAM cells and crosspoint switches, which can be used for metal pillars or bumps (copper pillars or bumps, solder bumps, or gold) on or over the TISDs of the logic driver. Programmable interconnection lines of metal lines or connecting lines of TISD between bumps), and one or more micro copper pillars or bumps on one or more IC chips of logic drivers, as the same or similar disclosed methods above . The data stored (or programmed) in the 5TSRAM cell or the 6TSRAM cell can be used for "connected" or "unconnected" programming between the two, for example: (i) TISD first metal line or connecting line is connected to the logic driver One or a plurality of micro-copper pillars or bumps on one or more IC chips of the To a plurality of metal pads, studs or bumps on or above the TISD, as described above in the same or similar disclosed methods. According to the above disclosure, the plurality of metal studs or bumps on or above the TISD are also programmable. In other words, the plurality of metal pads, studs or bumps on or above the TISD provided by the above disclosure of the present invention are programmable. Plural metal metal pillars or bumps or can be used in programmable interconnects, including plural 5TSRAM cells or 6TSRAM cells and plural crosspoint switches on plural FPGA chips used in logic drivers, programmable plural plural metal metal pillars or bumps One or more micro-copper pillars can be programmed, connected or coupled to the logic driver's one or more IC chips (for this purpose connected to the SISC's and/or FISC's metal lines or connecting lines, and/or the plurality of transistors) or bumps.

6TSRAM單元用於作為資料鎖存或儲存的緩存記憶體,其包括用於位元及位元條(bit-bar)資料傳輸的2電晶體,及4個資料鎖存電晶體用於一資料鎖存或儲存節點,複數6TSRAM緩存記憶體單元提供2傳輸電晶體用於寫入資料至6TSRAM緩存記憶體單元及從儲存在6TSRAM緩存記憶體單元中讀取資料,在從複數緩存記憶體單元讀取(放大或檢測)資料時需要一檢測放大器,相較之下,複數5TSRAM單元或6TSRAM單元用於可編程交互連接線或用於LUTS時可能不需要讀取步驟,並且不需要感測放大器用於從SRAM單元檢測資料,DPCSRAM晶片包括6T複數SRAM單元用於作為緩存記憶體在邏輯驅動器的複數晶片進行運算或計算期間儲存資料,DPCSRAM晶片可使用各種半導體技術設計用來實現及製造,包括舊的或成熟的技術,例如不先進於、等於、以上、以下40nm、50nm、90nm、130nm、250nm、350nm或500nm。或者DPCSRAM晶片包括使用先進於或等於、以下或等於30nm、20nm或10nm。此DPCSRAM晶片可使用半導體技術1世代、2世代、3世代、4世代、5世代或大於5世代以上的技術,或使用更成熟或更先進的技術在同一邏輯驅動器內複數商業化標準FPGAIC晶片上。使用在DPCSRAM晶片的電晶體可以是FINFET、GAAFET、FDSOIMOSFET、部分耗盡矽絕緣體MOSFETs或常規的MOSFET,使用在DPCSRAM晶片的電晶體可以是從使用在同一邏輯驅動器中的商業化標準FPGAIC晶片封裝不同的,例如DPCSRAM晶片係使用常規MOSFET,但在同一邏輯驅動器內的商業化標準FPGAIC晶片封裝可使用FINFET電晶體或GAAFET電晶體,或是DPCSRAM晶片係使用FDSOIMOSFET,而在同一邏輯驅動器內的商業化標準FPGAIC晶片封裝可使用FINFET或GAAFET。6TSRAM cells are used as cache memory for data latching or storage, which includes 2 transistors for bit and bit-bar data transfer, and 4 data latch transistors for a data lock Storage or storage node, the plurality of 6TSRAM cache memory cells provide 2 transfer transistors for writing data to the 6TSRAM cache memory cell and reading data from the 6TSRAM cache memory cell, and reading from the plurality of cache memory cells A sense amplifier is required for (amplifying or detecting) the data, in contrast, multiple 5TSRAM cells or 6TSRAM cells for programmable interconnects or for LUTS may not require a read step, and no sense amplifiers are required for Detecting data from SRAM cells, DPCSRAM chips include 6T complex SRAM cells used as cache memory to store data during operations or computations on the logic drive's complex chips, DPCSRAM chips can be designed and fabricated using a variety of semiconductor technologies, including older Or mature technology, such as not advanced above, equal to, above, below 40nm, 50nm, 90nm, 130nm, 250nm, 350nm or 500nm. Or DPCSRAM wafers include use first above or equal to, below or equal to 30nm, 20nm or 10nm. This DPCSRAM chip can use semiconductor technology 1st, 2nd, 3rd, 4th, 5th, or more than 5th generation, or use more mature or advanced technology on multiple commercial standard FPGAIC chips within the same logic driver . The transistors used in the DPCSRAM die can be FINFETs, GAAFETs, FDSOIMOSFETs, partially depleted silicon insulator MOSFETs or conventional MOSFETs. The transistors used in the DPCSRAM die can be different from the commercial standard FPGAIC die packages used in the same logic driver For example, DPCSRAM chips use conventional MOSFETs, but commercial standard FPGAIC chip packages within the same logic driver can use FINFET transistors or GAAFET transistors, or DPCSRAM chips use FDSOIMOSFETs, while commercialized standard FPGAIC chip packages within the same logic driver Standard FPGAIC chip packages can use FINFET or GAAFET.

本發明另一方面提供用於之後形成商業化標準邏輯驅動器製程中的一在庫存中或商品清單中的一晶圓型式、面板型式的標準化複數IC晶片及封裝,如上述說明及揭露的內容,標準化複數IC晶片及封裝包括在複數IC晶片及封裝背面上的複數銅接墊及TPVS之一固定布局或設計,以及如果複數IC晶片及封裝中包含,在BISD的之固定設計及或布局,複數IC晶片及封裝中或上的TPVS及複數銅接墊的相同,如果有BISDs,設計或BISD的交互連接線,例如是在複數銅接墊與TPVS之間的連接結構,每一商業化標準複數IC晶片及封裝係相同的,在庫存及商品清單中的商業化標準複數IC晶片及封裝接著可經由上述揭露及說明內容形成商業化標準邏輯驅動器,包括的步驟包括:(1)放置、容納、固定或黏著複數IC晶片在複數IC晶片及封裝上,其中複數IC晶片及封裝具有晶片的表面(其有複數電晶體)或一側朝上;(2)利用一材料、樹脂、或化合物填入複數晶片之間的間隙,及例如在晶圓或面板型式下經由塗佈、印刷、滴注或灌模的方法覆蓋在複數晶片上,使用CMP程序平坦化應用材料、樹脂或化合物的表面至一水平面至複數晶片上全部複數微型凸塊或金屬柱被曝露;(3)形成TISD;及(4)形成TISD上的複數金屬柱或凸塊,具有固定布局或設計的商業化標準載體、支架、灌模器或基板可通過TISD不同的設計或布局針對不同的應用進行訂製,具有固定布局或設計的商業化標準載體、支架、灌模器或基板是可針對不同的應用經由軟體編碼或編程專門定製及使用,如上所述,資料安裝或編程在複數DPSRAM或DPCSRAM晶片的複數5TSRAM單元或6TSRAM單元內,可用於可編程TPVs,資料安裝或編程在複數FPGA晶片的複數5TSRAM單元或6TSRAM單元或者可用於可編程TPVs。Another aspect of the present invention provides a wafer-type, panel-type standardized plurality of IC chips and packages in inventory or in a listing for subsequent formation of a commercialized standard logic driver process, as described and disclosed above, Standardized IC chips and packages include a fixed layout or design of copper pads and TPVS on the backside of the IC chips and packages, and if included in the IC chips and packages, the fixed design and/or layout of the BISD, the The TPVS and the plurality of copper pads in or on the IC chip and package are the same, if there are BISDs, design or BISD interconnection lines, such as the connection structure between the plurality of copper pads and the TPVS, each commercial standard plural number The IC chips and packages are the same, and the commercialized standard multiple IC chips and packages in the inventory and commodity list can then be used to form a commercialized standard logic driver through the above disclosure and description. The steps include: (1) placing, accommodating, Fixing or adhering a plurality of IC chips on a plurality of IC chips and packages, wherein the plurality of IC chips and packages have the surface of the chip (which has a plurality of transistors) or one side up; (2) Filling with a material, resin, or compound The gaps between the plurality of wafers, and for example in wafer or panel format are covered on the plurality of wafers by coating, printing, dripping or potting, using a CMP process to planarize the surface of the applied material, resin or compound to a The horizontal plane to the plurality of wafers on which all the plurality of micro-bumps or metal pillars are exposed; (3) the TISD is formed; and (4) the plurality of metal pillars or bumps on the TISD are formed, with a fixed layout or design of commercial standard carriers, brackets, Fillers or substrates can be customized for different applications through TISD different designs or layouts. Commercially available standard carriers, holders, molders or substrates with fixed layouts or designs can be coded or programmed by software for different applications Specifically customized and used, as described above, with data mounted or programmed in multiple 5TSRAM cells or 6TSRAM cells on multiple DPSRAM or DPCSRAM chips, available for programmable TPVs, with data mounted or programmed in multiple 5TSRAM cells or 6TSRAM cells on multiple FPGA chips Or can be used for programmable TPVs.

本發明另一方面提供具有一固定設計、布局或腳位的商業化標準邏輯驅動器(例如是單層封裝邏輯驅動器),包括:(i)位在正面的複數金屬柱或凸塊(複數銅柱或凸塊、複數銲錫凸塊或複數金凸塊),及(ii)在商業化標準邏輯驅動器背面上的複數銅接墊(TPV底部表面、位在TPV底部部分的聚合物層內的金屬栓塞的底底表面,或BISD的最底端聚合物層內的金屬栓塞底部表面),商業化標準邏輯驅動器可用於不同的應用中,其中可通過軟體編碼或編程使用在不同的應用中,且使用如上述揭露及說明中的編程複數金屬柱或凸塊及(或)可編程複數銅接墊(通過可編程TPVs)方式進行編程,如上所述,針對不同的應用,可下載、安裝或編程軟體程式的原始碼在DPSRAM或DCPRAM晶片的複數5TSRAM單元或6TSRAM單元內,用於控制在商業化標準邏輯驅動器中同一單層封裝邏輯驅動器6或DCPRAM晶片中的複數交叉點開關,或者,針對不同的應用,可下載、安裝或編程軟體程式的原始碼在商業化標準邏輯驅動器的邏輯驅動器內或在商業化標準邏輯驅動器內的一複數FPGAIC晶片的複數5TSRAM單元或6TSRAM單元,用於控制在同一FPGAIC晶片的複數交叉點開關,具有相同設計、布局或腳位的複數金屬柱或凸塊及複數銅接墊的每一商業化標準邏輯驅動器可經由軟體編碼或編程用於不同的應用、目的或功能,其中可編程可使用邏輯驅動器的可編程的複數銅接墊(通過可編程的TPVS)、及(或)可編程的複數金屬柱或凸塊。Another aspect of the present invention provides a commercial standard logic driver (eg, a single-level package logic driver) with a fixed design, layout or pinout, including: (i) a plurality of metal pillars or bumps (a plurality of copper pillars) on the front side or bumps, solder bumps, or gold bumps), and (ii) copper pads on the backside of commercial standard logic drivers (TPV bottom surface, metal plugs in the polymer layer on the bottom portion of the TPV) the bottom surface of the BISD, or the bottom surface of the metal plug in the bottommost polymer layer of the BISD), commercial standard logic drivers can be used in different applications, which can be used in different applications by software coding or programming, and use As described in the above disclosure and description, programming of multiple metal pillars or bumps and/or programmable multiple copper pads (through programmable TPVs) is performed. As mentioned above, for different applications, software can be downloaded, installed or programmed. The source code of the program is in multiple 5TSRAM cells or 6TSRAM cells of a DPSRAM or DCPRAM die to control multiple crosspoint switches in the same single-level package logic driver 6 or DCPRAM die in a commercial standard logic driver, or, for different Application, source code for downloading, installing or programming software programs in a logic driver of a commercial standard logic driver or a plurality of 5TSRAM cells or 6TSRAM cells of a plurality of FPGAIC chips in a commercial standard logic driver for controlling the same FPGAIC Multiple crosspoint switches of a chip, each commercial standard logic driver with multiple metal pillars or bumps and multiple copper pads of the same design, layout or pinout can be coded or programmed by software for a different application, purpose or function , where programmable can use programmable complex copper pads of logic drivers (via programmable TPVS), and/or programmable complex metal pillars or bumps.

本發明另一方面提供單層封裝或堆疊型式的邏輯驅動器,其包括複數IC晶片、複數邏輯區塊、單元或元件(包括LUTs,複數多工器、複數邏輯運算電路、複數邏輯運算閘及(或)複數計算電路)及(或)複數記憶體單元或陣列,此邏輯驅動器沉浸在一具有超級豐富交互連接線的結構或環境內,複數邏輯區塊、單元或元件(包括LUTs,複數多工器、複數邏輯運算電路、複數邏輯運算閘及(或)複數計算電路)及(或)複數商業化標準FPGAIC晶片內的複數記憶體單元或陣列沉浸在一可編程的3D沉浸式IC交互連接線環境(IIIE);其中(1)在x-y方向上FISC、SISC、TISD及/或BISD提供的交互連接線結構或系統用於交互連接或耦接在單層封裝邏輯驅動器中在同一FPGAIC晶片或在不同FPGAIC晶片中的邏輯區塊、單元或元件及/或記憶體單元或矩陣,在x-y方向上的交互連接線結構或系統中的交互連接金屬線或連接線可被編程;(2)在BISD上的金屬結構包括在SISC上的微型金屬柱或凸塊或在TISD、TPVs及/或銅接墊上的微型金屬柱或凸塊、銲料凸塊或金凸塊,提供在z方向上交互連接線結構或系統用於交互連接或耦接在堆疊封裝邏輯驅動器中不同單層邏輯驅動器之不同FPGAIC晶片中的邏輯區塊、單元或元件及/或記憶體單元或矩陣,在z方向上的交互連接線結構或系統中的交互連接金屬線或連接線可被編程;在極低的成本下,可編程的3DIIIE提供了幾乎無限量的電晶體或複數邏輯區塊、單元或元件、交互連接金屬線或連接線及記憶體單元/開關,可編程的3DIIIE相似或類似人類的頭腦:(i)複數電晶體及(或)複數邏輯區塊、單元或元件(包括複數邏輯運算閘、邏輯運算電路、計算操作單元、計算電路、LUTs及或複數多工器)及或交互連接線等係相似或類似神經元(複數細胞體)或複數神經細胞;(ii)FISC的或SISC的金屬線或連接線是相似或類似樹突(dendrities)連接至神經元(複數細胞體)或複數神經細胞,微型金屬柱或凸塊連接至複數接收器係用於複數FPGAIC晶片內複數邏輯區塊、單元或元件(包括複數邏輯運算閘、邏輯運算電路、計算操作單元、計算電路、LUTs及(或)複數多工器)的複數輸入係相似或類似突觸末端的突觸後細胞;(iii)長距離的複數連接經由FISC的金屬線或連接線、SISC、TISD及(或)BISD、複數金屬柱或凸塊、包含在SISC上的微型銅柱或凸塊、TISD上的複數金屬柱或凸塊、TPVs、位在BISD上的複數銅接墊,其相似或類似軸突(axons)連接至神經元(複數細胞體)或複數神經細胞,微型金屬柱或凸塊連接至複數驅動器或發射器用於複數FPGAIC晶片內的複數邏輯區塊、單元或元件(包括複數邏輯運算閘、邏輯運算電路、計算操作單元、計算電路、LUTs及(或)複數多工器)的複數輸出,其相似或類似於在軸突末端的複數突觸前細胞(pre-synapticcells)。Another aspect of the present invention provides a single-layer package or stacked logic driver, which includes a plurality of IC chips, a plurality of logic blocks, cells or elements (including LUTs, a plurality of multiplexers, a plurality of logic operation circuits, a plurality of logic operation gates, and ( or) complex computing circuits) and/or complex memory cells or arrays, the logic driver is immersed in a structure or environment with super rich interconnects, logical blocks, cells or elements (including LUTs, multiplexed devices, complex logic operation circuits, complex logic operation gates and/or complex calculation circuits) and/or complex memory cells or arrays within a complex commercial standard FPGA IC chip immersed in a programmable 3D immersive IC interconnection line Environment (IIIE); wherein (1) in the xy direction FISC, SISC, TISD and/or BISD provide an interconnecting wire structure or system for interconnecting or coupling in a single level package logic driver on the same FPGAIC die or in Logic blocks, cells or components and/or memory cells or matrices in different FPGAIC chips, interconnecting wire structures in the xy direction or interconnecting metal wires or interconnecting wires in the system can be programmed; (2) in BISD Metal structures on SISC include micro metal pillars or bumps on SISC or micro metal pillars or bumps, solder bumps or gold bumps on TISD, TPVs and/or copper pads, providing interconnection lines in the z-direction Structure or system for interconnecting or coupling logic blocks, cells or elements and/or memory cells or matrices in different FPGAIC chips of different single-level logic drivers in a stacked package logic driver, interconnection in the z-direction Interconnecting wires or interconnects in wire structures or systems can be programmed; at very low cost, programmable 3DIIIE provides a virtually unlimited number of transistors or complex logic blocks, cells or elements, interconnecting wires Or connecting lines and memory cells/switches, programmable 3DIIIE like or similar to the human mind: (i) complex transistors and/or complex logic blocks, cells or elements (including complex logic operation gates, logic operation circuits, Computational operating units, computational circuits, LUTs and/or multiplexers) and/or interconnecting wires, similar or similar neurons (cell bodies) or neurons; (ii) FISC or SISC metal wires or connecting wires It is similar or similar to dendrites (dendrities) connected to neurons (plural cell bodies) or plural nerve cells, and miniature metal pillars or bumps connected to plural receivers for plural logic blocks, cells or components in plural FPGAIC chips ( Postsynaptic cells whose complex inputs are similar or similar to synaptic terminals, including complex logic operation gates, logic operation circuits, computational operation units, computational circuits, LUTs, and/or complex multiplexers; Connection via FISC metal lines or connecting lines, SISC, TISD and/or BISD, multiple metal pillars or bumps, micro copper pillars included on SISC or bumps, metal pillars or bumps on TISD, TPVs, copper pads on BISD which resemble or resemble axons connected to neurons (cell bodies) or nerve cells, miniature Metal pillars or bumps connected to complex drivers or emitters for complex logic blocks, units or elements (including complex logic gates, logic operation circuits, computational operation units, computational circuits, LUTs and/or complex numbers) within a complex FPGAIC chip multiplexer), which resembles or resembles the complex number of pre-synaptic cells at the axon terminal.

本發明另一方面提供具有相似或類似複數連接、交互連接線及(或)複數人腦功能的可編程的3DIIIE:(1)複數電晶體及(或)複數邏輯區塊、單元或元件(包括複數邏輯運算閘、邏輯運算電路、計算操作單元、計算電路、LUTs及(或)複數多工器)係相似或類似神經元(複數細胞體)或複數神經細胞;(2)複數交互連接線結構及邏輯驅動器的結構係相似或類似樹突(dendrities)或軸突(axons)連接至神經元(複數細胞體)或複數神經細胞,複數交互連接線結構及(或)邏輯驅動器結構包括(i)FISC的金屬線或連接線、SISC、TISD、及BISD及(或)(ii)微型銅柱或凸塊、TISD上的複數金屬柱或凸塊、TPVS、及(或)在背面上的複數銅接墊,一類軸突(axon-like)交互連接線結構及(或)邏輯驅動器結構連接至一邏輯運算單元或操作單元的驅動輸出或發射輸出(一驅動器),其具有一結構像是一樹狀結構,包括:(i)一主幹或莖連接至邏輯運算單元或操作單元;(ii)從主幹分支而出的複數分支,每個分支的末端可連接或耦接至其它複數邏輯運算單元或操作單元,可編程複數交叉點開關(複數FPGAIC晶片的或(及)複數DPSRAM的複數5TSRAM單元或6TSRAM單元/複數開關,或複數DPCSRAM)用於控制主幹與每個分支的連接或不連接;(iii)從複數分支再分支出來的子分支,而每一子分支的末端可連接或耦接至其它複數邏輯運算單元或操作單元,可編程複數交叉點開關(複數FPGAIC晶片的或(及)複數DPSRAM的複數5TSRAM單元或6TSRAM單元/複數開關,或複數DPCSRAM)係用於控制主幹與其每一分支之間的”連接”或”不連接”,一枝蔓狀交互連接線結構及(或)邏輯驅動器的結構連接至一邏輯運算單元或操作單元的接收或感測輸入(一接收器),及枝蔓狀交互連接線結構具有一結構類似一灌木(shruborbush):(i)一短主幹連接至一邏輯單元或操作單元;(ii)從主幹分支出來複數分支,複數可編程開關(複數FPGAIC晶片的或(及)複數DPSRAM的複數5TSRAM單元或6TSRAM單元/複數開關,或複數DPCSRAM)用於控制主幹或其每一分支之間的”連接”或”不連接”,複數類枝蔓狀交互連接線結構連接或耦接至邏輯運算單元或操作單元,類枝蔓狀交互連接線結構的每一分支的末端連接或耦連至類軸突結構的主幹或分支的末端,邏輯驅動器的類枝蔓狀交互連接線結構可包括複數FPGAIC晶片的複數FISC及SISC。Another aspect of the present invention provides a programmable 3DIIIE with similar or similar complex connections, interconnecting lines and/or complex human brain functions: (1) complex transistors and/or complex logic blocks, units or elements (including Complex logic operation gates, logic operation circuits, computing operation units, computing circuits, LUTs and (or) complex multiplexers) are similar or similar to neurons (complex cell bodies) or complex nerve cells; (2) complex interconnecting line structure and logical drive structures that resemble or resemble dendrites or axons connected to neurons (plural cell bodies) or multiple nerve cells, and the multiple interconnecting wire structures and/or logical drive structures include (i) Metal lines or connections for FISC, SISC, TISD, and BISD and/or (ii) micro copper pillars or bumps, metal pillars or bumps on TISD, TPVS, and/or copper on backside Pads, axon-like interconnecting wire structures and/or logic driver structures are connected to the drive output or emission output (a driver) of a logic operation unit or operating unit, which has a structure like a tree A structure comprising: (i) a trunk or stem connected to a logic operation unit or operation unit; (ii) a plurality of branches branching off from the backbone, the ends of each branch may be connected or coupled to other complex logic operation units or operations Cells, programmable complex crosspoint switches (multiple 5TSRAM cells or 6TSRAM cells/complex switches of a complex FPGAIC chip or/and a complex DPSRAM, or a complex DPCSRAM) to control the connection or disconnection of the trunk to each branch; (iii ) sub-branches branched out from the complex branch, and the end of each sub-branch can be connected or coupled to other complex logic operation units or operation units, programmable complex cross-point switches (complex FPGAIC chips or (and) complex DPSRAMs The plurality of 5TSRAM cells or 6TSRAM cells/switches, or the plurality of DPCSRAMs) are used to control the "connection" or "disconnection" between the trunk and each of its branches, a branch-like interconnect structure and/or the logic driver. The structure is connected to the receiving or sensing input of a logic operation unit or operating unit (a receiver), and the branch-like interconnect structure has a structure similar to that of a shrub: (i) a short trunk connected to a logic unit or operating unit; (ii) branching from the trunk, plural programmable switches (multiple 5TSRAM cells or 6TSRAM cells/complex switches of FPGAIC chips or (and) DPSRAMs, or DPCSRAMs) are used to control the trunk or its "connection" or "non-connection" between each branch, the plurality of dendritic-like interconnecting line structures are connected or coupled to the logic operation unit or operation unit, and the end of each branch of the dendritic-like interconnecting line structure is connected or ends of trunks or branches coupled to axon-like structures, logical The dendrimer-like interconnect structure of the driver may include a plurality of FISCs and SISCs of a plurality of FPGAIC chips.

本發明另一方面提供一在多晶片封裝中的商業化標準記憶體驅動器、封裝或封裝驅動器、裝置、模組、硬碟、硬碟驅動器、固態硬碟或固態硬碟驅動器(以下簡稱驅動器),包括複數商業化標準非揮發性記憶體IC晶片用於資料儲存。即使驅動器的電源關閉時,儲存在商業化標準非揮發性記憶體驅動器中的資料仍然保留,複數非揮發性記憶體IC晶片包括一祼晶型式或一封裝型式的複數NAND或NOR快閃晶片,或者,複數非揮發性記憶體IC晶片可包括裸晶型式的或封裝型式的非揮發性NVRAM複數IC晶片可以是鐵電隨機存取記憶體(FerroelectricRAM(FRAM)),磁阻式隨機存取記憶體(MagnetoresistiveRAM(MRAM))、相變化記憶體(Phase-changeRAM(PRAM)),商業化標準記憶體驅動器由FOIT構成,其中係以上述段落所述之說明中,使用在形成商業化標準邏輯驅動器中同樣或相似的複數FOIT製程製成,FOIT的流程步驟如下:(1)提供非揮發性記憶體IC晶片,例如複數商業化標準NAND或NOR快閃IC晶片、一晶片載體、支架、灌模材料或基板,然後設置、固定或黏著複數IC晶片在載體、支架、灌模器或基板上;每一NAND快閃晶片可具有一標準記憶體密度、內量或尺寸大於或等於64Mb、512Mb、1Gb、4Gb、16Gb、64Gb、128Gb、256Gb或512Gb,其中”b”為位元,每一NOR快閃IC晶片可具有一標準記憶體密度、內量或尺寸大於或等於1Mb,4Mb,16Mb,64Mb,128Mb,256Mb,512Mb,1Gb,4Gb或16Gb,其中”b”為位元,NAND快閃晶片可使用先進NAND快閃技術或下一世代製程技術或設計及製造,例如,技術先進於或等於45nm、28nm、20nm、16nm及(或)10nm,其中先進的NAND快閃技術可包括在平面快閃記憶體(2D-NAND)結構或立體快閃記憶體(3DNAND)結構中使用單一單層式儲存(SingleLevelCells(SLC))技術或多層式儲存(multiplelevelcells(MLC))技術(例如,雙層儲存(DoubleLevelCellsDLC)或三層儲存(tripleLevelcellsTLC))。3DNAND結構可包括複數NAND記憶單元的堆疊層(或級),例如大於或等於4、8、16、32NAND記憶單元的堆疊層。每一複數NAND快閃晶片被封裝在複數記憶體驅動器內,其可包括微型銅柱或凸塊設置在複數晶片的上表面,微型銅柱或凸塊的上表面具有一水平面位在複數晶片的最頂層絕緣介電層之上表面的水平面之上,其高度例如是介於3µm至60µm之間、介於5µm至50µm之間、介於5µm至40µm之間、介於5µm至30µm之間、介於5µm至20µm之間、介於5µm至15µm之間或介於3µm至10µm之間,或大於或等於30µm、20µm、15µm、5µm或3µm,複數晶片設置、容納、固定或黏著在複數IC晶片及封裝上,其中具有複數電晶體的晶片的表面或一側朝上;(2)利用例如旋塗,網版印刷,滴注或或晶圓或面板型式中的灌模,將樹脂材料或化合物填入複數晶片之間的間隙及覆蓋在複數晶片表面,使用CMP程序平坦化應用材料、樹脂或化合物的表面至複數晶片上的所有複數微型凸塊或金屬柱的上表面全部被曝露;(3)經由晶圓或面板製程形成一TISD結構在平坦化材料、樹脂或化合物上或上方的記憶體驅動器上,及微型金屬柱或凸塊曝露的上表面;(4)形成複數銅柱或凸塊、複數銲錫凸塊及複數金凸塊在TISD上,切割己完成的晶圓或面板,包括經由在二相鄰的記憶體驅動器之間的材料或結構分開、切開,此材料或化合物(例如係聚合物)填在二相鄰記憶體驅動器之間的複數晶片被分離或切割成單獨的記憶體驅動器。Another aspect of the present invention provides a commercial standard memory drive, packaged or packaged drive, device, module, hard drive, hard drive, solid state drive or solid state drive (hereafter referred to as the drive) in a multi-chip package , including a number of commercial standard non-volatile memory IC chips for data storage. Even when the power of the drive is turned off, the data stored in the commercial standard non-volatile memory drive is still retained. The plurality of non-volatile memory IC chips include a plurality of NAND or NOR flash chips of a bare type or a package type, Alternatively, the plurality of non-volatile memory IC chips may include bare die type or packaged type non-volatile NVRAM. The plurality of IC chips may be Ferroelectric RAM (FRAM), magnetoresistive random access memory Magnetoresistive RAM (MRAM), Phase-change RAM (PRAM), commercial standard memory drives consisting of FOIT, which are used in the formation of commercial standard logical drives as described in the above paragraphs The process steps of FOIT are as follows: (1) Provide non-volatile memory IC chips, such as a plurality of commercial standard NAND or NOR flash IC chips, a chip carrier, support, mold filling material or substrate, and then place, fix or adhere a plurality of IC chips on a carrier, support, molder or substrate; each NAND flash chip can have a standard memory density, content or size greater than or equal to 64Mb, 512Mb, 1Gb, 4Gb, 16Gb, 64Gb, 128Gb, 256Gb or 512Gb, where "b" is a bit, each NOR flash IC chip can have a standard memory density, internal volume or size greater than or equal to 1Mb, 4Mb, 16Mb, 64Mb, 128Mb, 256Mb, 512Mb, 1Gb, 4Gb or 16Gb, where "b" is a bit, NAND flash chips can use advanced NAND flash technology or next generation process technology or design and manufacture, for example, technology advanced in or Equal to 45nm, 28nm, 20nm, 16nm and/or 10nm, where advanced NAND flash technology can include the use of a single monolayer in planar flash (2D-NAND) structures or three-dimensional flash (3DNAND) structures Single Level Cells (SLC) technology or multiple level cells (MLC) technology (eg, Double Level Cells DLC or triple Level Cells TLC). A 3D NAND structure may include stacked layers (or levels) of a plurality of NAND memory cells, eg, greater than or equal to 4, 8, 16, 32 stacked layers of NAND memory cells. Each of the plurality of NAND flash chips is packaged in the plurality of memory drivers, which may include micro-copper pillars or bumps disposed on the upper surface of the plurality of chips, and the upper surface of the micro-copper pillars or bumps has a level located on the top of the plurality of chips. Above the level of the upper surface of the topmost insulating dielectric layer, its height is, for example, between 3 µm and 60 µm, between 5 µm and 50 µm, between 5 µm and 40 µm, between 5 µm and 30 µm, Between 5µm and 20µm, between 5µm and 15µm, or between 3µm and 10µm, or greater than or equal to 30µm, 20µm, 15µm, 5µm, or 3µm, pluralities of chips set, housed, fixed or attached to pluralities of ICs On chips and packages, wherein the surface or side of the chip with the plurality of transistors is facing up; (2) the resin material or the The compound fills the gaps between the plurality of wafers and covers the surfaces of the plurality of wafers, and the surface of the application material, resin or compound is planarized using a CMP process to fully expose the upper surfaces of all the plurality of micro-bumps or metal pillars on the plurality of wafers; ( 3) Form a TISD structure on or above the planarized material, resin or compound through a wafer or panel process, and on the memory driver, and the exposed upper surface of the micro metal pillars or bumps; (4) Form a plurality of copper pillars or bumps Blocks, solder bumps, and gold bumps on TISD, dicing completed wafers or panels, including separating, slicing through a material or structure between two adjacent memory drivers, the material or compound (e.g. A plurality of wafers filled between two adjacent memory drives are separated or diced into individual memory drives.

本發明另一方面提供在多晶片封裝中的商業化標準記憶體驅動器,商業化標準記憶體驅動器包括複數商業化標準非揮發性記憶體IC晶片,而商業化標準非揮發性記憶體IC晶片更包括專用控制晶片、專用I/O晶片或專用控制晶片及專用I/O晶片用於資料儲存,即使驅動器的電源關閉時,儲存在商業化標準非揮發性記憶體驅動器中的資料仍然保留,複數非揮發性記憶體IC晶片包括一祼晶型式或一封裝型式的複數NAND或NOR快閃晶片,或者,複數非揮發性記憶體IC晶片可包括一祼晶型式或一封裝型式的非揮發性NVRAM複數IC晶片,NVRAM可以是鐵電隨機存取記憶體(FerroelectricRAM(FRAM)),磁阻式隨機存取記憶體(MagnetoresistiveRAM(MRAM))、相變化記憶體(Phase-changeRAM(PRAM)),專用控制晶片、專用I/O晶片或專用控制晶片及專用I/O晶片的功能係用於記憶體控制及(或)輸入/輸出,及上述段落所述之說明用於邏輯驅動器的相同或相似揭露,在非揮發性記憶體IC晶片之間的通訊、連接或耦接例如是複數NAND或NOR快閃晶片、專用控制晶片、專用I/O晶片,或在同一記憶體驅動器內的專用控制晶片及專用I/O晶片的說明與上述段落用於邏輯驅動器中的說明(揭露)相同或相似,複數商業化標準NAND或NOR快閃IC晶片可使用不同於專用控制晶片、專用I/O晶片或在相同記憶體驅動器內的專用控制晶片及專用I/O晶片的IC製造技術節點或世代製造,複數商業化標準NAND或NOR快閃IC晶片包括複數小型I/O電路,而用在記憶體驅動器的專用控制晶片、專用I/O晶片或專用控制晶片及專用I/O晶片可包括複數大型I/O電路,如上述用於邏輯驅動器的揭露及說明,商業化標準記憶體驅動器包括專用控制晶片、專用I/O晶片或經由FOIT所構成的專用控制晶片及專用I/O晶片,使用在形成邏輯驅動器中同樣或相似的複數FOIT製程製成,如上述段落中的揭露及說明。Another aspect of the present invention provides a commercial standard memory driver in a multi-chip package, the commercial standard memory driver includes a plurality of commercial standard non-volatile memory IC chips, and the commercial standard non-volatile memory IC chips are more Including dedicated control chip, dedicated I/O chip or dedicated control chip and dedicated I/O chip for data storage, even when the power of the drive is turned off, the data stored in the commercial standard non-volatile memory drive is retained, plural The non-volatile memory IC chips include a bare-type or a package-type NAND or NOR flash chips, or the non-volatile memory IC chips can include a bare-type or a package-type non-volatile NVRAM Multiple IC chips, NVRAM can be Ferroelectric RAM (FRAM), Magnetoresistive RAM (MRAM), Phase-change RAM (PRAM), dedicated The functions of the control chip, the dedicated I/O chip, or the dedicated control chip and the dedicated I/O chip are for memory control and/or input/output, and the same or similar disclosures as described in the preceding paragraphs are used for logical drives , communication, connection or coupling between non-volatile memory IC chips such as multiple NAND or NOR flash chips, dedicated control chips, dedicated I/O chips, or dedicated control chips within the same memory driver and The description of the dedicated I/O chip is the same as or similar to the description (disclosure) in the above paragraph for the logic driver, and a plurality of commercial standard NAND or NOR flash IC chips may be used different from the dedicated control chip, the dedicated I/O chip or the IC manufacturing technology nodes or generations of dedicated control chips and dedicated I/O chips within the same memory driver, multiple commercial standard NAND or NOR flash IC chips include multiple small I/O circuits, and are used in memory drives. A dedicated control chip, a dedicated I/O chip, or a dedicated control chip and a dedicated I/O chip may include a plurality of large I/O circuits, as disclosed and described above for logic drivers, commercial standard memory drivers include dedicated control chips, Dedicated I/O chips, or dedicated control chips and dedicated I/O chips via FOIT, are fabricated using the same or similar multiple FOIT processes used to form the logical drivers, as disclosed and described in the preceding paragraphs.

本發明另一方面提供堆疊非揮發性(例如NAND或NOR快閃)的記憶體驅動器,其包括如上述揭露及說明中,具有TPVS的單層封裝非揮發性記憶體驅動器用於標準型式(具有標準尺寸)之堆疊的非揮發性記憶體驅動器,例如,單層封裝非揮發性記憶體驅動器可具有一定寬度、長度及厚度的正方型或長方型,一工業標準可設定單層封裝非揮發性記憶體驅動器的直徑(尺寸)或形狀,例如單層封裝非揮發性記憶體驅動器標準的形狀可以是正方形,其寬度係大於或等於4mm、7mm、10mm、12mm、15mm、20mm、25mm、30mm、35mm或40mm,及具有厚度大於或等於0.03mm、0.05mm、0.1mm、0.3mm、0.5mm、1mm、2mm、3mm、4mm或5mm。或者,單層封裝非揮發性記憶體驅動器標準形狀可以是長方形,其寬度大於或等於3mm、5mm、7mm、10mm、12mm、15mm、20mm、25mm、30mm、35mm或40mm,其長度大於或等於3mm、5mm、7mm、10mm、12mm、15mm、20mm、25mm、30mm、35mm、40mm、45mm或50mm,其厚度大於或等於0.03mm、0.05mm、0.1mm、0.3mm、0.5mm、1mm、2mm、3mm、4mm或5mm。堆疊的複數非揮發性記憶體晶片驅動器包括例如是2、5、6、7、8或大於8個單層封裝非揮發性記憶體驅動器,可使用上述形成堆疊的邏輯驅動器所揭露及說明的相似或相同的製程形成,單層封裝非揮發性記憶體驅動器包括TPVS用於堆疊封裝的目的,這些製程步驟用於形成TPVS,上述段落中揭露及說明TPVS的部分可用於堆疊的邏輯驅動器,而使用TPVS堆疊的方法(例如POP方法)如上述段落中堆疊的邏輯驅動器之揭露及說明。Another aspect of the present invention provides a stacked non-volatile (eg, NAND or NOR flash) memory driver comprising, as disclosed and described above, a single-level packaged non-volatile memory driver with TPVS for use in a standard type (with Standard size) stacked non-volatile memory drivers, for example, single-layer packaging non-volatile memory drivers can have a square or rectangular shape with a certain width, length and thickness, an industry standard can set single-layer packaging non-volatile memory drivers The diameter (dimension) or shape of the volatile memory driver, for example, the standard shape of a single-layer packaged non-volatile memory driver can be a square, and its width is greater than or equal to 4mm, 7mm, 10mm, 12mm, 15mm, 20mm, 25mm, 30mm , 35mm or 40mm, and have a thickness greater than or equal to 0.03mm, 0.05mm, 0.1mm, 0.3mm, 0.5mm, 1mm, 2mm, 3mm, 4mm or 5mm. Alternatively, the standard shape of a single-level packaged non-volatile memory driver may be a rectangle with a width greater than or equal to 3mm, 5mm, 7mm, 10mm, 12mm, 15mm, 20mm, 25mm, 30mm, 35mm or 40mm and a length greater than or equal to 3mm , 5mm, 7mm, 10mm, 12mm, 15mm, 20mm, 25mm, 30mm, 35mm, 40mm, 45mm or 50mm, and its thickness is greater than or equal to 0.03mm, 0.05mm, 0.1mm, 0.3mm, 0.5mm, 1mm, 2mm, 3mm , 4mm or 5mm. Stacked plural non-volatile memory chip drivers including, for example, 2, 5, 6, 7, 8, or more than 8 single-level packaged non-volatile memory drivers, similar to those disclosed and described above for forming stacked logic drivers can be used Or the same process to form, single-level packaged non-volatile memory drivers include TPVS for stacked packaging purposes, these process steps are used to form TPVS, the parts of the TPVS disclosed and described in the above paragraphs can be used for stacked logic drivers, while using The method of TPVS stacking (eg, the POP method) is as disclosed and described in the above paragraphs for stacked logical drives.

本發明另一方面提供在多晶片封裝內的商業化標準記憶體驅動器,其包括複數商業化標準複數揮發性IC晶片用於資料儲存,其中137包括祼晶型式或封裝型式的複數DRAM晶片,商業化標準DRAM記憶體驅動器係由FOIT形成,可使用上述段落揭露及說明利用相同或相似的FOIT製程形成邏輯驅動器步驟,其流程步驟如下:(1)提供商業化標準複數DRAMIC晶片及晶片載體、支架、灌模材料或基板,然後設置、固定或黏著複數IC晶片在載體、支架、灌模器或基板上,每一DRAM晶片可具有一標準記憶體密度、內量或尺寸大於或等於64Mb、512Mb、1Gb、4Gb、16Gb、64Gb、128Gb、256Gb或512Gb,其中”b”為位元,DRAM快閃晶片可使用先進DRAM快閃技術或下一世代製程技術或設計及製造,例如,技術先進於或等於45nm、28nm、20nm、16nm及(或)10nm,所有的複數DRAM晶片被封裝在複數記憶體驅動器內,其可包括微型銅柱或凸塊設置在複數晶片的上表面,微型銅柱或凸塊的上表面具有一水平面位在複數晶片的最頂層絕緣介電層之上表面的水平面之上,其高度例如是介於3µm至60µm之間、介於5µm至50µm之間、介於5µm至40µm之間、介於5µm至30µm之間、介於5µm至20µm之間、介於5µm至15µm之間或介於3µm至10µm之間,或大於或等於30µm、20µm、15µm、5µm或3µm,複數晶片設置、固定或黏著在載體、支架、灌模器或基板上,其中具有複數電晶體的晶片的表面或一側朝上;(2)如果存在可可通過以下方法,例如旋塗,網版印刷,滴注或或晶圓或面板型式中的灌模,可利用一材料、樹脂、或化合物填入複數晶片之間的間隙及覆蓋在複數晶片表面,使用CMP程序平坦化應用材料、樹脂或化合物的表面至全部複數晶片的所有複數微型凸塊或金屬柱的上表面全部被曝露;(3)經由晶圓或面板製程形成一TISD在平坦化應用材料、樹脂或化合物上,及微型金屬柱或凸塊曝露的上表面;(4)形成複數銅柱或凸塊、複數銲錫凸塊或複數金凸塊在TISD上;(5)切割己完成的晶圓或面板,包括經由在二相鄰的記憶體驅動器之間的材料或結構分開、切開,此材料或化合物(例如係聚合物)填在二相鄰記憶體驅動器之間的複數晶片被分離或切割成單獨的記憶體驅動器。Another aspect of the present invention provides a commercial standard memory driver in a multi-chip package comprising a plurality of commercial standard plurality of volatile IC chips for data storage, wherein 137 comprises a plurality of DRAM chips in bare die or packaged form, commercial The standard DRAM memory driver is formed by FOIT. The above paragraphs can be used to disclose and describe the steps of forming a logic driver using the same or similar FOIT process. The process steps are as follows: (1) Provide commercial standard multiple DRAMIC chips and chip carriers and support , Fill molding material or substrate, and then set, fix or adhere a plurality of IC chips on a carrier, support, molder or substrate, each DRAM chip can have a standard memory density, internal volume or size greater than or equal to 64Mb, 512Mb , 1Gb, 4Gb, 16Gb, 64Gb, 128Gb, 256Gb or 512Gb, where "b" is a bit, DRAM flash chips can use advanced DRAM flash technology or next-generation process technology or design and manufacture, for example, technology advanced in or equal to 45nm, 28nm, 20nm, 16nm and/or 10nm, all DRAM chips are packaged in a plurality of memory drivers, which may include micro copper pillars or bumps disposed on the upper surface of the plurality of chips, micro copper pillars or The upper surface of the bump has a level above the level of the upper surface of the topmost insulating dielectric layer of the plurality of chips, and its height is, for example, between 3µm to 60µm, between 5µm and 50µm, and between 5µm to 40µm, 5µm to 30µm, 5µm to 20µm, 5µm to 15µm, or 3µm to 10µm, or greater than or equal to 30µm, 20µm, 15µm, 5µm or 3µm , the plurality of wafers are arranged, fixed or adhered on the carrier, support, mold filling device or substrate, wherein the surface or side of the wafer with the plurality of transistors is facing upward; (2) If there is, it can be obtained by the following methods, such as spin coating, mesh lithography, drop casting, or casting in wafer or panel formats, can utilize a material, resin, or compound to fill in the gaps between multiple wafers and cover the surfaces of multiple wafers, and use a CMP process to planarize the applied material, resin The surface of the compound or the surface of the compound to the upper surface of all the plurality of micro-bumps or metal pillars of all the plurality of chips is fully exposed; (3) Through the wafer or panel process, a TISD is formed on the planarization application material, resin or compound, and micro-metallic The exposed upper surface of the pillars or bumps; (4) forming copper pillars or bumps, solder bumps or gold bumps on the TISD; (5) dicing the completed wafer or panel, including via The material or structure between adjacent memory drives is separated and cut, and the plurality of wafers filled with the material or compound (eg, polymer) between two adjacent memory drives are separated or cut into individual memory drives.

本發明另一方面提供在多晶片封裝中的商業化標準記憶體驅動器,商業化標準記憶體驅動器包括複數商業化標準複數揮發性IC晶片,而商業化標準複數揮發性IC晶片更包括專用控制晶片、專用I/O晶片或專用控制晶片及專用I/O晶片用於資料儲存,複數揮發性IC晶片包括一祼晶型式或一DRAM封裝型式,專用控制晶片、專用I/O晶片或專用控制晶片及專用I/O晶片用於記憶體驅動器的功能係用於記憶體控制及(或)輸入/輸出,及上述段落所述之說明用於邏輯驅動器的相同或相似揭露,在複數DRAM晶片之間的通訊、連接或耦接例如是複數NAND快閃晶片、專用控制晶片、專用I/O晶片,或在同一記憶體驅動器內的專用控制晶片及專用I/O晶片的說明與上述段落用於邏輯驅動器中的說明(揭露)相同或相似,商業化標準複數DRAMIC晶片可使用不同於專用控制晶片、專用I/O晶片或專用控制晶片及專用I/O晶片的IC製造技術節點或世代製造,商業化標準複數DRAM晶片包括複數小型I/O電路,而用在記憶體驅動器的專用控制晶片、專用I/O晶片或專用控制晶片及專用I/O晶片可包括複數大型I/O電路,如上述用於邏輯驅動器的揭露及說明,商業化標準記憶體驅動器可使用在形成邏輯驅動器中同樣或相似的複數COIP製程製成,如上述段落中的揭露及說明。Another aspect of the present invention provides a commercial standard memory driver in a multi-chip package, the commercial standard memory driver includes a plurality of commercial standard plural volatile IC chips, and the commercial standard plural volatile IC chip further includes a dedicated control chip , Dedicated I/O chip or dedicated control chip and dedicated I/O chip for data storage, plural volatile IC chips include a bare crystal type or a DRAM package type, dedicated control chip, dedicated I/O chip or dedicated control chip and dedicated I/O chips for memory driver functions for memory control and/or input/output, and the same or similar disclosures described in the preceding paragraphs for logic drivers, between DRAM chips The communication, connection, or coupling of, for example, multiple NAND flash chips, dedicated control chips, dedicated I/O chips, or dedicated control chips and dedicated I/O chips within the same memory driver as described in the preceding paragraph for logic The description (disclosure) in the driver is the same or similar, commercial standard complex DRAMIC chips can be manufactured using different IC manufacturing technology nodes or generations than dedicated control chips, dedicated I/O chips, or dedicated control chips and dedicated I/O chips, commercial Standard complex DRAM chips include multiple small I/O circuits, while dedicated control chips, dedicated I/O chips, or dedicated control chips and dedicated I/O chips used in memory drives may include multiple large I/O circuits, as described above For the disclosure and description of logical drives, commercial standard memory drives can be fabricated using the same or similar multiple COIP processes used to form logical drives, as disclosed and described in the preceding paragraphs.

本發明另一方面提供堆疊揮發性(例如DRAM晶片)的記憶體驅動器,其包括如上述揭露及說明中,具有TPVS的複數單層封裝揮發性記憶體驅動器用於標準型式(具有標準尺寸)之堆疊的複數非揮發性記憶體晶片驅動器,例如,複數單層封裝揮發性記憶體驅動器可具有一定寬度、長度及厚度的正方型或長方型,一工業標準可設定複數單層封裝揮發性記憶體驅動器的直徑(尺寸)或形狀,例如複數單層封裝揮發性記憶體驅動器標準的形狀可以是正方形,其寬度係大於或等於4mm、7mm、10mm、12mm、15mm、20mm、25mm、30mm、35mm或40mm,及具有厚度大於或等於0.03mm、0.05mm、0.1mm、0.3mm、0.5mm、1mm、2mm、3mm、4mm或5mm。或者,複數單層封裝揮發性記憶體驅動器標準形狀可以是長方形,其寬度大於或等於3mm、5mm、7mm、10mm、12mm、15mm、20mm、25mm、30mm、35mm或40mm,其長度大於或等於3mm、5mm、7mm、10mm、12mm、15mm、20mm、25mm、30mm、35mm、40mm、45mm或50mm,其厚度大於或等於0.03mm、0.05mm、0.1mm、0.3mm、0.5mm、1mm、2mm、3mm、4mm或5mm。堆疊的揮發性記憶體驅動器包括例如是2、5、6、7、8或大於8個複數單層封裝揮發性記憶體驅動器,可使用上述形成堆疊的邏輯驅動器所揭露及說明的相似或相同的製程形成,複數單層封裝揮發性記憶體驅動器包括TPVS用於堆疊封裝的目的,這些製程步驟用於形成TPVS,上述段落中揭露及說明TPVS的部分可用於堆疊的邏輯驅動器,而使用TPVS堆疊的方法(例如POP方法)如上述段落中堆疊的邏輯驅動器之揭露及說明。Another aspect of the present invention provides a stacked volatile (eg, DRAM chip) memory driver comprising, as disclosed and described above, a plurality of single-level packaged volatile memory drivers with TPVS for use in standard-type (having a standard size) Stacked multiple non-volatile memory chip drivers, for example, multiple single-layer packaged volatile memory drivers can have a square or rectangular shape with a certain width, length and thickness, an industry standard can set multiple single-level packaged volatile memory drivers The diameter (dimension) or shape of the bulk driver, for example, the standard shape of a plurality of single-layer package volatile memory drivers can be square, and its width is greater than or equal to 4mm, 7mm, 10mm, 12mm, 15mm, 20mm, 25mm, 30mm, 35mm or 40mm, and have a thickness greater than or equal to 0.03mm, 0.05mm, 0.1mm, 0.3mm, 0.5mm, 1mm, 2mm, 3mm, 4mm or 5mm. Alternatively, the standard shape of the plurality of single-layer packaged volatile memory drivers may be a rectangle with a width greater than or equal to 3mm, 5mm, 7mm, 10mm, 12mm, 15mm, 20mm, 25mm, 30mm, 35mm or 40mm and a length greater than or equal to 3mm , 5mm, 7mm, 10mm, 12mm, 15mm, 20mm, 25mm, 30mm, 35mm, 40mm, 45mm or 50mm, and its thickness is greater than or equal to 0.03mm, 0.05mm, 0.1mm, 0.3mm, 0.5mm, 1mm, 2mm, 3mm , 4mm or 5mm. Stacked volatile memory drivers include, for example, 2, 5, 6, 7, 8, or more than 8 pluralities of single-level package volatile memory drivers, similar or identical to those disclosed and described above for forming stacked logical drivers. Process formation, multiple single-level package volatile memory drivers include TPVS for stacked packaging purposes, these process steps are used to form TPVS, the portion of the TPVS disclosed and described in the above paragraphs can be used for stacked logic drivers, while the use of TPVS stacked logic drivers The method (eg, the POP method) is as disclosed and described for the stacked logical drives in the above paragraphs.

本發明另一方面提供堆疊邏輯運算及揮發性記憶體(例如是DRAM)驅動器,其包括複數單層封裝邏輯驅動器及複數單層封裝揮發性記憶體驅動器,如上述揭露及說明,每一單層封裝邏輯驅動器及每一複數單層封裝揮發性記憶體驅動器可位在多晶片封裝內,每一單層封裝邏輯驅動器及每一複數單層封裝揮發性記憶體驅動器可具有相同標準型式或具有標準形狀及尺寸,如上述揭露及說明,堆疊的邏輯運算及揮發性記憶體驅動器包括例如是2,3,4,5,6,7,8或總共大於8個單層封裝邏輯驅動器或複數揮發性記憶體驅動器,可使用上述形成堆疊的邏輯驅動器所揭露及說明的相似或相同的製程形成,而從下到上的堆疊順序可以是:(a)全部的單層封裝邏輯驅動器位在底部及全部的複數單層封裝揮發性記憶體驅動器位在頂部,或(b)單層封裝邏輯驅動器及複數單層封裝揮發性驅動器依順序從底部到頂部堆疊交錯:(i)單層封裝邏輯驅動器;(ii)單層封裝揮發性記憶體驅動器;(iii)單層封裝邏輯驅動器;(iv)單層封裝揮發性記憶體等等,單層封裝邏輯驅動器及複數單層封裝揮發性記憶體驅動器用於堆疊的複數邏輯驅動器及揮發性記憶體驅動器,每一邏輯驅動器及發性記憶體驅動器包括用於封裝為目的的TPVs,形成TPVS的製程步驟,如上述段落揭露及相關說明,而使用TPVS堆疊的方法(例如POP方法)如上述段落之揭露及說明。Another aspect of the present invention provides a stacked logic operation and volatile memory (eg, DRAM) driver comprising a plurality of single-level packaged logic drivers and a plurality of single-level packaged volatile memory drivers, each of which is disclosed and described above. The packaged logic driver and each of the plurality of single-level packaged volatile memory drivers may be located in a multi-chip package, and each of the single-level packaged logic drivers and each of the plurality of single-level packaged volatile memory drivers may be of the same standard type or have a standard Shape and size, as disclosed and described above, stacked logic operations and volatile memory drivers include, for example, 2, 3, 4, 5, 6, 7, 8 or greater than 8 single-level package logic drivers or multiple volatile Memory drivers can be formed using similar or identical processes as disclosed and described above for forming stacked logical drivers, and the stacking sequence from bottom to top can be: (a) all single-level package logical drivers on the bottom and all The plurality of single-level package volatile memory drivers are located on the top, or (b) the single-level package logic driver and the plurality of single-level package volatile drivers are stacked and interleaved sequentially from bottom to top: (i) the single-level package logic driver; ( ii) single-level package volatile memory driver; (iii) single-level package logic driver; (iv) single-level package volatile memory, etc., single-level package logic driver and multiple single-level package volatile memory driver are used for A plurality of stacked logical drivers and volatile memory drivers, each logical driver and volatile memory driver including TPVs for packaging purposes, the process steps for forming TPVS, as disclosed in the above paragraphs and related descriptions, and the use of TPVS stacked The method (eg, the POP method) is as disclosed and described in the above paragraphs.

本發明另一方面提供堆疊的非揮發性(例如NAND或NOR快閃)及揮發性(例如DRAM)記憶體驅動器包括單層封裝非揮發性驅動器及複數單層封裝揮發性記憶體驅動器,每一單層封裝非揮發性驅動器及每一複數單層封裝揮發性記憶體驅動器可位在多晶片封裝內,如上述段落揭露與說明,每一複數單層封裝揮發性記憶體驅動器及每一單層封裝非揮發性驅動器可具有相同標準型式或具有標準形狀及尺寸,如上述揭露及說明,堆疊的非揮發性及揮發性記憶體驅動器包括例如是2、5、6、7、8或總共大於8個單層封裝非揮發性記憶體驅動器或複數單層封裝揮發性記憶體驅動器,可使用上述形成堆疊的邏輯驅動器所揭露及說明的相似或相同的製程形成,而從下到上的堆疊順序可以是:(a)全部的複數單層封裝揮發性記憶體驅動器位在底部及全部的複數單層封裝非揮發性記憶體驅動器位在頂部,或(b)全部複數單層封裝非揮發性記憶體驅動器位在底部及全部複數單層封裝揮發性記憶體驅動器位在頂部;(c)單層封裝非揮發性記憶體驅動器及複數單層封裝揮發性驅動器依順序從底部到頂部堆疊交錯:(i)單層封裝揮發性記憶體驅動器;(ii)單層封裝非揮發性記憶體驅動器;(iii)單層封裝揮發性記憶體驅動器;(iv)單層封裝複數非揮發性記憶體晶片等等,單層封裝非揮發性驅動器及複數單層封裝揮發性記憶體驅動器用於堆疊的複數非揮發性晶片及揮發性記憶體驅動器,每一邏輯驅動器及發性記憶體驅動器包括用於封裝為目的的TPVs及(或)BISD,形成TPVS及(或)BISD的製程步驟,如上述用於堆疊邏輯驅動器中的段落之揭露及相關說明,而使用TPVS及(或)BISD堆疊的方法(例如POP方法)如上述用於堆疊邏輯驅動器中的段落之揭露及相關說明。Another aspect of the present invention provides stacked non-volatile (eg, NAND or NOR flash) and volatile (eg, DRAM) memory drivers including a single-level packaged non-volatile driver and a plurality of single-level packaged volatile memory drivers, each The single-level packaged non-volatile driver and each of the plurality of single-level packaged volatile memory drivers may be located in a multi-chip package, as disclosed and described in the preceding paragraphs, each of the single-level packaged volatile memory drivers and each of the single-level packaged volatile memory drivers Packaged non-volatile drivers may be of the same standard type or of standard shape and size, as disclosed and described above, stacked non-volatile and volatile memory drivers including, for example, 2, 5, 6, 7, 8, or greater than 8 in total A single-level package non-volatile memory driver or a plurality of single-level package volatile memory drivers may be formed using similar or identical processes as disclosed and described above for forming the stacked logic driver, and the bottom-to-top stacking sequence may be Either: (a) all PLP volatile memory drivers are on the bottom and all PLP non-volatile memory drivers are located on top, or (b) all PLP non-volatile memory The drivers are on the bottom and all the multiple single-level package volatile memory drivers are located on the top; (c) the single-level package non-volatile memory drivers and the multiple single-level package volatile drivers are stacked in sequence from bottom to top and staggered: (i ) single-level package volatile memory drivers; (ii) single-level package non-volatile memory drivers; (iii) single-level package volatile memory drivers; (iv) single-level package multiple non-volatile memory chips, etc. , single-level encapsulated non-volatile drivers and single-level encapsulated volatile memory drivers for stacking multiple non-volatile chips and volatile memory drivers, each logic driver and volatile memory driver included for packaging purposes TPVs and/or BISDs, the process steps for forming TPVS and/or BISDs are disclosed and related in the paragraphs above for stacking logical drivers, and methods for stacking TPVS and/or BISDs (such as the POP method) ) as disclosed and described in the paragraph above for stacking logical drives.

本發明另一方面提供堆疊的邏輯非揮發性(例如NAND或NOR快閃)記憶體及揮發性(例如DRAM)記憶體驅動器包括複數單層封裝非揮發性驅動器及複數單層封裝揮發性記憶體驅動器可位在多晶片封裝內,如上述揭露與說明,每一單層封裝非揮發性及每一複數單層封裝揮發性記憶體驅動器驅動器可具有相同標準型式或具有標準形狀及尺寸,如上述揭露及說明,堆疊的邏輯非揮發性(快閃)記憶體及揮發性(DRAM)記憶體驅動器包括例如是2,3,4,5,6,7,8或總共大於8個單層封裝非揮發性記憶體驅動器或複數單層封裝揮發性記憶體驅動器,可使用上述形成堆疊的邏輯驅動器記憶體所揭露及說明的相似或相同的製程形成,而從下到上的堆疊順序例如是:(a)全部複數單層封裝揮發性記憶體驅動器在底部及全部的單層封裝非揮發性記憶體驅動器在頂部;(b)全部單層封裝非揮發性記憶體驅動器位在底部及全部複數單層封裝揮發性記憶體驅動器位在頂部,或(c)單層封裝非揮發性記憶體驅動器及複數單層封裝揮發性驅動器依順序從底部到頂部堆疊交錯:(i)單層封裝揮發性記憶體驅動器;(ii)單層封裝非揮發性記憶體驅動器;(iii)單層封裝揮發性記憶體驅動器;(iv)單層封裝非揮發性記憶體等等,單層封裝非揮發性驅動器及複數單層封裝揮發性記憶體驅動器用於單層封裝邏輯驅動器、複數單層封裝揮發性記憶體驅動器及複數單層封裝揮發性記憶體驅動器用於堆疊的邏輯運算非揮發性及揮發性記憶體驅動器,每一邏輯驅動器及發性記憶體驅動器包括用於封裝為目的的TPVs,形成TPVS的製程步驟,如上述用於堆疊邏輯驅動器中的段落之揭露及相關說明,而使用TPVS堆疊的方法(例如POP方法)如上述用於堆疊邏輯驅動器中的段落之揭露及相關說明。在堆疊邏輯驅動器中之單層封裝非揮發記憶體驅動器包括非揮發記憶體IC晶片,其包括NAND快閃晶片及/或NOR快閃晶片,在堆疊邏輯驅動器中之單層封裝非揮發記憶體驅動器中的該NAND快閃晶片及/或NOR快閃晶片被用作為:(a)用於配置在堆疊邏輯驅動器中單層封裝邏輯驅動器中之FPGA晶片的儲存資料、資訊、編碼或結果值。在在堆疊邏輯驅動器中單層封裝非揮發性記憶體驅動器中的該NAND及/或NOR晶片係用於儲存配置資料(用於在堆疊邏輯驅動器中單層封裝邏輯驅動器中之FPGA晶片的一邏輯電路)(包括用於LUTs及配置邏輯電路的多工器的結果值,以從對應的LUTs中選擇結果值),及/或儲存用於在堆疊邏輯驅動器中單層封裝邏輯驅動器中之FPGA晶片的開關(包括通過/不通過閘極及開關的多工器)之編程碼;(b)儲存用於在堆疊邏輯驅動器中單層封裝邏輯驅動器中之FPGA晶片的操作的資料或資訊,需注意的是在(b)選項中的FPGA晶片己配置有特定操作或功能。在一實施例中,堆疊邏輯驅動器可包括一單層封裝非揮發性記憶體驅動器,其包括NOR快閃晶片及NAND快閃晶片,其中NOR快閃晶片作用作為儲存資料或資料,用於配置在堆疊邏輯驅動器中單層封裝邏輯驅動器中之FPGA晶片,且該NAND快閃晶片係用於儲存資料或資訊,用於在堆疊邏輯驅動器中單層封裝邏輯驅動器中之FPGA晶片的操作。Another aspect of the present invention provides stacked logic non-volatile (eg, NAND or NOR flash) memory and volatile (eg, DRAM) memory drivers including a plurality of single-level packaged non-volatile drivers and a plurality of single-level packaged volatile memories The drivers may be located in a multi-chip package, as disclosed and described above, each single-level package non-volatile and each multiple single-level package volatile memory drivers The drivers may be of the same standard type or have a standard shape and size, as described above Disclosed and illustrated, stacked logic non-volatile (flash) memory and volatile (DRAM) memory drivers include, for example, 2, 3, 4, 5, 6, 7, 8 or more than 8 single-level package non-volatile A volatile memory driver or a plurality of single-level package volatile memory drivers can be formed using similar or identical processes as disclosed and described above for forming a stacked logical driver memory, and the stacking sequence from bottom to top is, for example: ( a) all single-level package volatile memory drivers on the bottom and all single-level package non-volatile memory drivers on top; (b) all single-level package non-volatile memory drivers on the bottom and all single-level package non-volatile memory drivers on the bottom The packaged volatile memory driver is on top, or (c) a single-level packaged non-volatile memory driver and a plurality of single-level packaged volatile memory drivers are stacked and staggered sequentially from bottom to top: (i) a single-level packaged volatile memory driver Drivers; (ii) Single-Encapsulated Non-Volatile Memory Drivers; (iii) Single-Encapsulated Volatile Memory Drivers; (iv) Single-Encapsulated Non-Volatile Memories, etc. Single-level package volatile memory drivers for single-level package logic drivers, multiple single-level package volatile memory drivers, and multiple single-level package volatile memory drivers for stacked logic operations Non-volatile and volatile memory drivers , each logical driver and flash memory driver includes TPVs for packaging purposes, and the process steps for forming the TPVS are as disclosed and described in the paragraphs above for stacking logical drivers, using the method of stacking TPVS (e.g. POP method) as disclosed and described in the paragraph above for stacking logical drives. Single-level packaged non-volatile memory drivers in stacked logic drivers include non-volatile memory IC chips including NAND flash chips and/or NOR flash chips, single-level packaged non-volatile memory drivers in stacked logic drivers The NAND flash chips and/or NOR flash chips in are used as: (a) to store data, information, codes or result values for FPGA chips configured in a single level package logic driver in a stacked logic driver. The NAND and/or NOR chips in the single-level packaged non-volatile memory driver in the stacked logic driver are used to store configuration data (a logic for the FPGA chip in the single-level packaged logic driver in the stacked logic driver circuits) (including result values for LUTs and multiplexers for configuring logic circuits to select result values from corresponding LUTs), and/or storing FPGA chips used in single-level packaging logic drivers in stacked logic drivers (b) store data or information for the operation of the FPGA chip in the single-level package logic driver in the stacked logic driver, note that The point is that the FPGA chip in option (b) has been configured with a specific operation or function. In one embodiment, the stacked logic driver may include a single-level package non-volatile memory driver including a NOR flash chip and a NAND flash chip, wherein the NOR flash chip functions as storage data or data for configuration in the The FPGA chip in the stacked logic driver is single-level packaged, and the NAND flash chip is used to store data or information for operation of the FPGA chip in the single-level packaged logic driver in the stacked logic driver.

本發明另一方面提供具有邏輯驅動器的系統、硬體、電子裝置、電腦、處理器、行動電話、通訊設備、及(或)機械人、非揮發性(例如NAND快閃晶片或NOR快閃晶片)記憶體驅動器、及(或)揮發性(例如DRAM)記憶體驅動器,邏輯驅動器可為單層封裝邏輯驅動器或堆疊的邏輯驅動器,如上述揭露及說明,非揮發性快閃記憶體驅動器可以是單層封裝非揮發性147或堆疊的非揮發性快閃記憶體驅動器,如上述揭露及說明,及揮發性DRAM記憶體驅動器可以是單層封裝DRAM記憶體驅動器或堆疊的揮發性DRAM記憶體驅動器,如上述揭露及說明,邏輯驅動器、非揮發性快閃記憶體驅動器、及(或)揮發性DRAM記憶體驅動器以覆晶封裝方式設置在PCB基板、BGA基板、軟性電路軟板或陶瓷電路基板上。Another aspect of the present invention provides systems, hardware, electronic devices, computers, processors, mobile phones, communication equipment, and/or robots, non-volatile (eg, NAND flash chips or NOR flash chips) having logical drivers. ) memory driver, and/or volatile (eg DRAM) memory driver, the logical driver may be a single level package logical driver or a stacked logical driver, as disclosed and described above, the non-volatile flash memory driver may be A single-level packaged non-volatile 147 or stacked non-volatile flash memory driver, as disclosed and described above, and a volatile DRAM memory driver can be a single-level packaged DRAM memory driver or a stacked volatile DRAM memory driver , as disclosed and described above, the logic driver, the non-volatile flash memory driver, and/or the volatile DRAM memory driver are arranged in a flip-chip package on a PCB substrate, a BGA substrate, a flexible circuit board, or a ceramic circuit substrate superior.

本發明另一方提供包括單層封裝邏輯驅動器及單層封裝記憶體驅動器的一邏輯及記憶體驅動器在堆疊式封裝或裝置,單層封裝邏輯驅動器如上述揭露及說明,及其包括一或複數FPGA晶片、一或複數非揮發性NAND快閃記憶體晶片或NOR快閃記憶體晶片、複數DPSRAM或DPCSRAM、專用控制晶片、專用I/O晶片、及(或)專用控制晶片及專用I/O晶片,單層封裝邏輯驅動器可更包括一或複數處理IC晶片及複數計算IC晶片,例如是一或複數CPU晶片、GPU晶片、DSP晶片及(或)TPU晶片,單層封裝記憶體驅動器如上述揭露及說明,及其包括一或複數高速、高頻寬快取SRAM晶片、一或複數DRAM晶片、或一或複數NVM晶片用於高速平行處理運算及(或)計算,一或複數高速、高頻寬NVMs可包括MRAM或PRAM,單層封裝邏輯驅動器如上述揭露及說明,單層封裝邏輯驅動器的使用FOIT技術形成,針對單層封裝記憶體驅動器中複數記憶體晶片之間的高速、高頻寬通訊、堆疊的金屬栓塞(在TISD內)直接且垂直形成在微型銅柱或凸塊上或上方、在SISC上及複數IC晶片的FISC上或上方形成,在邏輯驅動器正面的複數金屬柱或凸塊(複數IC晶片具有電晶體的那側朝上)直接同垂直地形成在TISD堆疊的金屬栓塞的上或上方,在邏輯驅動器內的複數堆疊結構,每一高速的位元資料、寬的位元頻寬匯流排(bus)從上到下形成:(i)在TISD上或上方的複數金屬柱或凸塊;(II)經由堆疊金屬栓塞形成堆疊金屬栓塞及TISD的複數金屬層;(iii)在SISC及FISC上或上方的微型銅柱或凸塊,每一IC晶片的堆疊結構的數量(即每一邏輯IC晶片及每一高速、高頻寬記憶體晶片之間的資料位元頻寬)係等於或大於64、128、256、512、1024、2048、4096、8K或16K用於高速、高頻寬平行處理運算及(或)計算,相似地,複數堆疊結構形成在單層封裝記憶體驅動器內,單層封裝邏輯驅動器以覆晶組裝或封裝在單層封裝記憶體晶片,其在邏輯驅動器內的複數IC晶片,其複數IC晶片具有電晶體的一側朝下,及在記憶體驅動器內的複數IC晶片,其複數IC晶片具有電晶體的一側朝上,因此,在FPGA、CPU、GPU、DSP及(或)TPU晶片上的一微銅/銲錫金屬柱或凸塊可短距離的連接或耦接至在記憶體晶片上的微銅/銲錫金屬柱或凸塊,例如DRAM、SRAM或NVM,通過:(i)在單層封裝邏輯驅動器內SISC的及(或)FISC上或下方的複數微型銅接墊、柱或凸塊;(2)經由堆疊金屬栓塞的堆疊的複數金屬栓塞及在單層封裝邏輯驅動器中TISD上的複數金屬層;(iii)單層封裝邏輯驅動器中TISD上或下方的複數金屬接墊、柱或凸塊;(iv)單層封裝記憶體驅動器中TISD上或上方的數金屬接墊、柱或凸塊;(v)SISC上或上方的複數微型銅接墊、柱或凸塊及(或)單層封裝邏輯驅動器的FISC,TPVs及(或)BISDs對於單層封裝邏輯驅動器及單層封裝記憶體驅動器而言,堆疊的邏輯驅動器及記憶體驅動器或裝置可從堆疊的邏輯驅動器及記憶體驅動器或裝置的上側(單層封裝邏輯驅動器的背面,在單層封裝邏輯驅動器中具有複數電晶體的複數IC晶片的一側朝下)及下側(單層封裝記憶體驅動器的背面,在單層封裝記憶體驅動器中具有複數電晶體的複數IC晶片的一側朝上)進行通訊、連接或耦接至複數外部電路,或者,TPVs及(或)BISDs對於單層封裝邏輯驅動器是可省略,及堆疊的邏輯驅動器及記憶體驅動器或裝置可從堆疊的邏輯驅動器及單層封裝記憶體驅動器或裝置的背面(單層封裝記憶體驅動器的背面,在單層封裝記憶體驅動器內具有電晶體的複數IC晶片朝上),通過記憶體驅動器的TPVS及(或)BISD進行通訊、連接或耦接至複數外部電路,或者,TPVS及(或)BISD對於單層封裝記憶體驅動器是可省略,堆疊的邏輯驅動器及記憶體驅動器或裝置可從堆疊的邏輯驅動器及記憶體驅動器或裝置的上側(單層封裝邏輯驅動器的背面,在單層封裝邏輯驅動器內且具有電晶體的複數IC晶片朝上)通過在單層封裝邏輯驅動器內的BISD及(或)TPVS進行通訊、連接或耦接至複數外部電路或元件。Another aspect of the present invention provides a logic and memory driver in a stacked package or device comprising a single level package logic driver and a single level package memory driver, the single level package logic driver as disclosed and described above, and including one or more FPGAs Chip, one or more non-volatile NAND flash memory chips or NOR flash memory chips, a plurality of DPSRAM or DPCSRAM, a dedicated control chip, a dedicated I/O chip, and/or a dedicated control chip and a dedicated I/O chip , the single-level package logic driver may further include one or more processing IC chips and multiple computing IC chips, such as one or more CPU chips, GPU chips, DSP chips and/or TPU chips, and the single-level package memory driver as disclosed above and description, and including one or more high-speed, high-bandwidth cache SRAM chips, one or more DRAM chips, or one or more NVM chips for high-speed parallel processing operations and/or computations, one or more high-speed, high-bandwidth NVMs may include MRAM or PRAM, single-level package logic driver As disclosed and described above, single-level package logic drivers are formed using FOIT technology for high-speed, high-bandwidth communication between multiple memory chips in single-level package memory drives, stacked metal plugs (within TISD) formed directly and vertically on or over micro-copper pillars or bumps, over SISC and over or over FISC of IC chips, metal pillars or bumps on the front side of logic drivers (IC chips have transistor side up) formed directly and vertically on or above the metal plugs of the TISD stack, multiple stack structures within the logic driver, each high-speed bit data, wide bit bandwidth bus ( bus) from top to bottom: (i) metal pillars or bumps on or over the TISD; (II) metal layers forming the stacked metal plug and TISD via the stacked metal plug; (iii) on the SISC and FISC or the micro copper pillars or bumps above, the number of stack structures per IC chip (ie, the data bit bandwidth between each logic IC chip and each high-speed, high-bandwidth memory chip) is equal to or greater than 64, 128, 256, 512, 1024, 2048, 4096, 8K, or 16K for high-speed, high-bandwidth parallel processing operations and/or computations, similarly, multiple stack structures are formed in single-level package memory drivers, single-level package logic drivers Flip chip assembled or packaged in a single-level package memory chip, its plurality of IC chips in a logic driver, its plurality of IC chips with the transistor side facing down, and a plurality of IC chips in a memory driver, its plurality of The side of the IC chip with the transistors faces up, so a micro copper/solder metal post or bump on the FPGA, CPU, GPU, DSP and/or TPU chips can be short-distance connected or coupled to the memory Micro-copper/solder metal pillars or bumps on bulk wafers, such as DRAM, SRAM or NVM, by: (i) in single-level packaging logic drivers (2) stacked metal plugs via stacked metal plugs and metal layers on TISD in single-level package logic drivers; (iii) a plurality of metal pads, studs or bumps on or below a TISD in a single-level package logic driver; (iv) a number of metal pads, studs or bumps on or above a TISD in a single-level package memory driver; ( v) Micro copper pads, studs or bumps on or over the SISC and/or FISCs, TPVs and/or BISDs for single level package logic drives for single level package logic drives and single level package memory drives , the stacked logic and memory drives or devices can be accessed from the upper side of the stacked logic and memory drives or devices (the backside of the single-level packaged logic one side down) and the underside (the backside of the single-level package memory driver, the side of the IC chips with the transistors in the single-level package memory driver is facing up) to communicate, connect, or couple to external Circuitry, alternatively, TPVs and/or BISDs can be omitted for single-level package logic drives, and stacked logic drives and memory drives or devices can be accessed from the back of the stacked logic drives and single-level package memory drives or devices The backside of the layer-packaged memory driver, the plurality of IC chips with transistors in the single-layer-packaged memory driver face up), communicates, connects or couples to a plurality of external circuits through the TPVS and/or BISD of the memory driver, Alternatively, TPVS and/or BISD can be omitted for single-level package memory drives, and stacked logical drives and memory drives or devices can be Backside, IC dies with transistors in the single level package logic driver facing up) communicate, connect or couple to external circuits or components through the BISD and/or TPVS within the single level package logic driver.

在邏輯驅動器及記憶體驅動器或裝置的所有替代的方案中,單層封裝邏輯驅動器可包括一或複數處理IC晶片及複數計算IC晶片及單層封裝記憶體驅動器,其中單層封裝記憶體驅動器可包括一或複數高速、高頻寬快取SRAM晶片、DRAM或NVM晶片(例如,MRAM或RRAM)可高速平行處理及(或)計算,例如,單層封裝邏輯驅動器可包括複數GPU晶片,例如是2、3、4或大於4個GPU晶片,及單層封裝記憶體驅動器可包括複數高速、高頻寬快取SRAM晶片、DRAM晶片或NVM晶片,一TPU晶片及一SRAM晶片、DRAM晶片或NVM晶片之間的通訊係通過上述揭露及說明的堆疊結構,其資料位元頻寬可大於或等於64、128、256、512、1024、2048、4096、8K或16K,舉另一個例子,邏輯驅動器可包括複數FPGA晶片,例如是2、3、4或大於4個複數FPGA晶片,及單層封裝記憶體驅動器可包括複數高速、高頻寬快取SRAM晶片、DRAM晶片或NVM晶片,一複數FPGA晶片及一SRAM晶片、DRAM晶片或NVM晶片之間的通訊係通過上述揭露及說明的堆疊結構,其資料位元頻寬可大於或等於64、128、256、512、1024、2048、4096、8K或16K。In all alternatives to logic drivers and memory drives or devices, a single-level package logic driver may include one or more processing IC chips and multiple computing IC chips and a single-level package memory driver, wherein the single-level package memory driver may Include one or more high-speed, high-bandwidth cache SRAM chips, DRAM or NVM chips (eg, MRAM or RRAM) for high-speed parallel processing and/or computation, for example, a single-level package logic driver may include multiple GPU chips, such as 2, 3, 4, or more than 4 GPU chips, and single-level package memory drives may include multiple high-speed, high-bandwidth cache SRAM chips, DRAM chips, or NVM chips, a TPU chip and a SRAM chip, DRAM chip, or NVM chip. Through the stack structure disclosed and described above, the data bit bandwidth of the communication system can be greater than or equal to 64, 128, 256, 512, 1024, 2048, 4096, 8K or 16K. For another example, the logic driver can include a plurality of FPGAs Chips, such as 2, 3, 4, or more than 4 FPGA chips, and single-level package memory drivers may include multiple high-speed, high-bandwidth cache SRAM chips, DRAM chips, or NVM chips, a plurality of FPGA chips and a SRAM chip, The communication between DRAM chips or NVM chips is through the stack structure disclosed and described above, and the data bit bandwidth can be greater than or equal to 64, 128, 256, 512, 1024, 2048, 4096, 8K or 16K.

複數FPGAIC晶片、處理及(或)計算晶片(例如CPU、GPU、DSP、APU、TPU及(或)ASIC晶片)及一高速、高頻寬SRAM、DRAM或NVM晶片之間的通訊、連接或耦接係通過如上述揭露及說明的堆疊結構,其通訊或連接方式係與同一晶片內的複數內部電路相同或相似,或者,(i)一複數FPGAIC晶片、處理及(或)計算晶片(例如CPU、GPU、DSP、APU、TPU及(或)ASIC晶片),及(ii)一高速、高頻寬SRAM、DRAM或NVM晶片之間的通訊、連接或耦接係通過如上述揭露及說明的複數堆疊結構,其係使用小型複數I/O驅動器及(或)複數接收器,小型複數I/O驅動器、小型複數接收器或複數I/O電路的驅動能力、負載、輸出電容或輸入電容可介於0.01pF與10pF之間、0.05pF與5pF之間、介於0.01pF與2pF之間或介於0.01pF與1pF之間,或是小於10pF、5pF、3pF、2pF、1pF、0.5pF或0.01pF,例如,一雙向I/O(或三態)接墊、I/O電路可使用在小型複數I/O驅動器、複數接收器或複數I/O電路使用在邏輯驅動器及記憶體堆疊驅動器內的高速、高頻頻寬邏輯驅動器及複數記憶體晶片之間的通訊,其包括一ESD電路、接收器及驅動器,且具有輸入電容或輸出電容可介於0.01pF與10pF之間、0.05pF與5pF之間、介於0.01pF與2pF之間或介於0.01pF與1pF之間,或小於10pF、5pF、3pF、2pF、1pF、0.5pF或0.1pF。A communication, connection or coupling system between a plurality of FPGAIC chips, processing and/or computing chips (such as CPU, GPU, DSP, APU, TPU and/or ASIC chips) and a high-speed, high-bandwidth SRAM, DRAM or NVM chip Through the stack structure as disclosed and described above, the communication or connection method is the same as or similar to a plurality of internal circuits in the same chip, or, (i) a plurality of FPGAIC chips, processing and/or computing chips (eg CPU, GPU) , DSP, APU, TPU, and/or ASIC chips), and (ii) a high-speed, high-bandwidth SRAM, DRAM, or NVM chip communicates, connects, or couples through a plurality of stacked structures as disclosed and described above, which Using a small complex I/O driver and/or complex receiver, the drive capability, load, output capacitance or input capacitance of a small complex I/O driver, small complex receiver or complex I/O circuit can be between 0.01pF and 0.01pF. Between 10pF, 0.05pF and 5pF, between 0.01pF and 2pF, or between 0.01pF and 1pF, or less than 10pF, 5pF, 3pF, 2pF, 1pF, 0.5pF or 0.01pF, for example, A bidirectional I/O (or tri-state) pad, I/O circuits can be used in small complex I/O drivers, complex receivers or complex I/O circuits used in logic drivers and memory stack drivers High-speed, high-speed Communication between a bandwidth logic driver and a plurality of memory chips includes an ESD circuit, a receiver and a driver, and has an input capacitance or an output capacitance that can be between 0.01pF and 10pF, 0.05pF and 5pF, between 0.01pF and 5pF. Between 0.01 pF and 2 pF or between 0.01 pF and 1 pF, or less than 10 pF, 5 pF, 3 pF, 2 pF, 1 pF, 0.5 pF or 0.1 pF.

本發明另一方面揭露提供一具有TISD及TPVs的扇出型交互連接線技術(Fan-OutInterconnectionTechnology(FOIT)),用於製造一單層晶片封裝結構,其中該單層晶片封裝結構可被作用為一小晶片(chiplet),該單層晶片封裝結構(小晶片)使用與上述揭露及說明內容中形成具有TISD及TPV的多晶片封裝結構之相似的製程步驟形成,除了:Another aspect of the present invention discloses and provides a Fan-Out Interconnection Technology (FOIT) with TISD and TPVs for manufacturing a single-layer chip package structure, wherein the single-layer chip package structure can be used as A chiplet, the single-layer chip package structure (chiplet) is formed using process steps similar to the above disclosure and description for forming a multi-chip package structure with TISD and TPV, except:

(A)在步驟(I)中,半導體IC晶片被放置、固定或貼合在載體、支架、灌模器或基板上,且在批次製程中可以是同一型的半導體IC晶片之產品或裝置,例如,半導體晶片係使用同一批次之晶圓或面板製程,其半導體晶片可能僅屬於以下產品或設備之一:標準商業化FPGA晶片、專用控制晶片、專用I/O晶片、專利控制及I/O晶片、CPU晶片、GPU晶片、DSP晶片、APU晶片、TPU晶片、DRAM記憶體晶片、SRAM記憶體晶片、MRAM記憶體晶片、RRAM記憶體晶片、類比IC晶片、混合模組IC晶片或RFIC晶片。(A) In step (I), the semiconductor IC chip is placed, fixed or attached to a carrier, support, molder or substrate, and can be a product or device of the same type of semiconductor IC chip in a batch process For example, semiconductor chips that use the same batch of wafers or panel processes may belong to only one of the following products or equipment: standard commercial FPGA chips, dedicated control chips, dedicated I/O chips, proprietary control and I/O chips /O chip, CPU chip, GPU chip, DSP chip, APU chip, TPU chip, DRAM memory chip, SRAM memory chip, MRAM memory chip, RRAM memory chip, analog IC chip, hybrid module IC chip or RFIC wafer.

(B)在步驟(V)中,切割、分割己完成製程的晶圓或面板,以形成單一晶片封裝結構單元,其中單一晶片封裝結構單元只包括一個半導體IC晶片、TISD及TPVs。(B) In step (V), the wafer or panel that has been processed is cut and divided to form a single chip package structure unit, wherein the single chip package structure unit only includes a semiconductor IC chip, TISD and TPVs.

在單一晶片封裝結構底部及單一晶片封裝結構的TISD(具有電晶體的半導體IC晶片之正面的那側)下方具有矩陣型式金屬接墊、凸塊或金屬柱,其中連接或耦接半導體IC晶片的該些金屬接墊、凸塊或金屬柱可垂直地位在半導體IC晶片下方,單一晶片封裝結構的TPVs的上表面可被用作為封裝結構接合至封裝結構上(package-on-packageassembly,POPassembly)的金屬接墊,其中該些金屬接墊位在單一晶片封裝結構的正面上(具有電晶體的半導體IC晶片之正面的那側)週邊區域,在一實施例中,單一晶片封裝結構(或小晶片)具有矩陣型式之銲料凸塊(其高度介於20µm至100µm之間)或具有銲料層之銅金屬柱(其高度介於20µm至100µm之間)在底部側,其中連接或耦接半導體IC晶片之銲料凸塊或有銲料層之銅金屬柱可垂直地位在半導體IC晶片下方,單一晶片封裝結構(或小晶片)具有矩陣型式之金屬接墊位在正面上。或者,矩陣型式之該些金屬接墊(具有厚度介於1µm至10µm之間)可形成在單一晶片封裝結構的正面上,其中矩陣型式之該些金屬接墊可位在TPVs的頂端且垂直地位在半導體IC晶片上方,其中垂直地位在半導體IC晶片上方的該些金屬接墊包括一些假的金屬接墊(dummymetalpad),意即是不連接或耦接至半導體IC晶片。There are matrix-type metal pads, bumps or metal posts at the bottom of the single chip package structure and under the TISD (the side of the front side of the semiconductor IC chip with transistors) of the single chip package structure, in which the semiconductor IC chips are connected or coupled. The metal pads, bumps or metal pillars can be positioned vertically below the semiconductor IC chip, and the upper surface of the TPVs of the single chip package structure can be used as a package-on-package assembly (POP assembly) for bonding the package structure to the package structure. metal pads, wherein the metal pads are located in the peripheral area on the front side of the single chip package structure (the side of the front side of the semiconductor IC chip with transistors), in one embodiment, the single chip package structure (or chiplet ) with a matrix type of solder bumps (with a height between 20µm and 100µm) or copper metal pillars with a solder layer (with a height between 20µm and 100µm) on the bottom side, in which the semiconductor IC chip is connected or coupled The solder bumps or copper metal pillars with the solder layer can be positioned vertically below the semiconductor IC chip, and the single chip package structure (or chiplet) has a matrix type of metal pads on the front side. Alternatively, the metal pads (having a thickness between 1 µm and 10 µm) of the matrix type can be formed on the front side of the single chip package structure, wherein the metal pads of the matrix type can be located on top of the TPVs and are vertically positioned Above the semiconductor IC chip, wherein the metal pads located vertically above the semiconductor IC chip include dummy metal pads, meaning not connected or coupled to the semiconductor IC chip.

所揭露之單一晶片封裝結構(或小晶片)可被用於多晶片封裝或異質封裝結構(heterogeneousintegration)中,單一晶片封裝結構(或小晶片)之銲料凸塊(其高度介於20µm至100µm之間)、位在TISD下方且位在週邊區域上具有銲料層之銅金屬柱(其高度介於20µm至100µm之間)及位在週邊區域上的金屬接墊(包括TPVs曝露的上表面)之尺寸、布局或位置可具有一標準。或者,單一晶片封裝結構(或小晶片)之銲料凸塊(其高度介於20µm至100µm之間)、位在TISD下方且位在週邊區域上具有銲料層之銅金屬柱(其高度介於20µm至100µm之間)及垂直地位在單一晶片封裝結構(或小晶片)上方且具有一些假的金屬接墊之矩陣型式的該些金屬接墊(其厚度介於1µm至10µm之間)之尺寸、布局或位置可具有一標準。The disclosed single chip package structure (or chiplet) can be used in a multi-chip package or a heterogeneous package structure (heterogeneous integration). between TISDs), copper metal pillars (with a height between 20µm and 100µm) with a solder layer on the perimeter area under the TISD, and metal pads on the perimeter area (including the exposed upper surface of the TPVs) Size, layout or location can have a standard. Alternatively, a single chip package structure (or chiplet) with solder bumps (with a height between 20µm and 100µm), copper metal pillars under the TISD and with a solder layer on the perimeter area (with a height of 20µm) between 100µm) and the size of the metal pads (with a thickness between 1µm and 10µm) in a matrix type vertically above a single chip package structure (or chiplet) with some dummy metal pads, Layout or location can have a standard.

本發明另一方面揭露提供具有TSID、BISD及TPVs的一扇出型交互連接線技術(Fan-OutInterconnectionTechnology(FOIT)),用來製造單一晶片封裝結構(或小晶片),其中單一晶片封裝結構可作用為一小晶片,該單一晶片封裝結構(或小晶片)係使用與上述揭露及說明內容中形成具有TISD、BISD及TPV的多晶片封裝結構之相似的製程步驟形成,除了:Another aspect of the present invention discloses to provide a Fan-Out Interconnection Technology (FOIT) with TSID, BISD and TPVs for manufacturing a single chip package structure (or chiplet), wherein the single chip package structure can be Acting as a chiplet, the single chip package structure (or chiplet) is formed using process steps similar to those used to form the multi-chip package structure with TISD, BISD, and TPV in the above disclosure and description, except:

(A)在步驟(I)中,半導體IC晶片被放置、固定或貼合在載體、支架、灌模器或基板上,且在批次製程中可以是同一型的半導體IC晶片之產品或裝置,例如,半導體晶片係使用同一批次之晶圓或面板製程,其半導體晶片可能僅屬於以下產品或設備之一:標準商業化FPGA晶片、專用控制晶片、專用I/O晶片、專利控制及I/O晶片、CPU晶片、GPU晶片、DSP晶片、APU晶片、TPU晶片、DRAM記憶體晶片、SRAM記憶體晶片、MRAM記憶體晶片、RRAM記憶體晶片、類比IC晶片、混合模組IC晶片或RFIC晶片。(A) In step (I), the semiconductor IC chip is placed, fixed or attached to a carrier, support, molder or substrate, and can be a product or device of the same type of semiconductor IC chip in a batch process For example, semiconductor chips that use the same batch of wafers or panel processes may belong to only one of the following products or equipment: standard commercial FPGA chips, dedicated control chips, dedicated I/O chips, proprietary control and I/O chips /O chip, CPU chip, GPU chip, DSP chip, APU chip, TPU chip, DRAM memory chip, SRAM memory chip, MRAM memory chip, RRAM memory chip, analog IC chip, hybrid module IC chip or RFIC wafer.

(B)在步驟(V)中,切割、分割己完成製程的晶圓或面板,以形成單一晶片封裝結構單元,其中單一晶片封裝結構單元只包括一個半導體IC晶片、TISD、BISD及TPVs。(B) In step (V), the wafer or panel that has been processed is cut and divided to form a single chip package structure unit, wherein the single chip package structure unit only includes a semiconductor IC chip, TISD, BISD and TPVs.

該單一晶片封裝結構具有矩陣型式金屬接墊、凸塊及柱位在底部且位在TISD下方(具有電晶體的半導體IC晶片之正面的那側),及具有矩陣型式金屬接墊、凸塊及柱位在底部且位在BISD(具有電晶體的半導體IC晶片之背面的那側)的上方,位在TISD下方的矩陣型式金屬接墊、凸塊及柱的位置可垂直地位在半導體IC晶片的下方,且位在BISD上方的金屬接墊、凸塊及柱可垂直地位在半導體IC晶片的上方,位在BISD上方且位在半導體IC晶片的上方的金屬接墊、凸塊及柱可耦接至半導體IC晶片,位在BISD上方且位在半導體IC晶片的上方的金屬接墊、凸塊及柱可經由TPVs耦接至半導體IC晶片正面的電晶體,位在TIDS下方的金屬接墊、凸塊及柱可經由TPVs耦接至位在BISD上方的金屬接墊、凸塊及柱,在一實施例中,該單一晶片封裝結構(或小晶片)上之矩陣式的銲料凸塊(其高度介於20µm至100µm之間)或具有銲料層的銅柱(其高度介於20µm至100µm之間)位在TISD下方,其中連接或耦接至半導體IC晶片的銲料凸塊或具有銲料層的銅柱可垂直地位在半導體IC晶片的下方,單一晶片封裝結構(或小晶片)之矩陣型式的金屬接墊位在BISD上方,其中經由TPVs耦接至半導體IC晶片的金屬接墊可垂直地位在半導體IC晶片的上方,位在BISD上方的金屬接墊可經由TPVs耦接位在TISD下方的銲料凸塊或具有銲料層的銅柱。The single chip package structure has a matrix type of metal pads, bumps and pillars at the bottom and below the TISD (the side of the front side of the semiconductor IC chip with transistors), and has a matrix type of metal pads, bumps and The pillars are located at the bottom and above the BISD (the side of the backside of the semiconductor IC chip with transistors), and the positions of the matrix-type metal pads, bumps and pillars located below the TISD can be located vertically on the semiconductor IC chip. The metal pads, bumps and studs below and above the BISD can be positioned vertically above the semiconductor IC chip, and the metal pads, bumps and studs positioned above the BISD and above the semiconductor IC chip can be coupled To the semiconductor IC chip, the metal pads, bumps, and pillars above the BISD and above the semiconductor IC chip can be coupled to the transistors on the front side of the semiconductor IC chip via TPVs, and the metal pads, bumps, and pillars below the TIDS The bumps and studs can be coupled via TPVs to metal pads, bumps, and studs above the BISD, in one embodiment, a matrix of solder bumps (their height) on the single chip package structure (or chiplet) between 20µm and 100µm) or copper pillars with a solder layer (with a height of between 20µm and 100µm) located under the TISD, where the solder bumps or copper with a solder layer are connected or coupled to the semiconductor IC die The pillars can be positioned vertically below the semiconductor IC chip, and the metal pads of a matrix type of single chip package structure (or chiplet) are positioned above the BISD, wherein the metal pads coupled to the semiconductor IC chip via TPVs can be positioned vertically above the semiconductor IC chip. Above the IC die, the metal pads above the BISD can be coupled via TPVs to solder bumps or copper pillars with a solder layer below the TISD.

所揭露之單一晶片封裝結構(或小晶片)可被用於多晶片封裝或異質封裝結構(heterogeneousintegration)中,單一晶片封裝結構(或小晶片)之銲料凸塊(其高度介於20µm至100µm之間)、位在TISD下方且位在週邊區域上具有銲料層之銅金屬柱(其高度介於20µm至100µm之間)及位在BISD上方的金屬接墊(厚度介於1µm至10µm之間)。The disclosed single chip package structure (or chiplet) can be used in a multi-chip package or a heterogeneous package structure (heterogeneous integration). between), copper metal pillars (with a height between 20µm and 100µm) under the TISD and with a solder layer on the perimeter area, and metal pads (with a thickness between 1µm and 10µm) over the BISD .

本發明另一方面揭露並提供一晶片封裝結構用作為一非揮發性可編程裝置(一非揮發性可編程堆疊邏輯驅動器),其包括:(a)一第一小晶片(或單一晶片封裝結構)包括一FPGAIC晶片,及(b)一第二小晶片(或單一晶片封裝結構)包括一非揮發性記憶體晶片,其中該第一及第二小晶片係由上述揭露的方式形成,該第二小晶片可使用POP封裝方法堆疊封裝在第一小晶片的上(或上方),其中POP封裝方法與上述所揭露的方式相同。或者,第一小晶片可使用POP封裝方法堆疊封裝在第二小晶片的上(或上方),第一及第二小晶片可以是上述所揭露的其中一種小晶片(或單一晶片封裝結構):(a1)具有TISD及TPVs的小晶片(或單一晶片封裝結構),且具有銲料凸塊或具有銲料銅柱位在TISD的下方,在週邊區域中的金屬接墊位在TPVs的上方;(a2)具有TISD及TPVs的小晶片(或單一晶片封裝結構),且具有銲料凸塊或具有銲料銅柱位在TISD的下方,金屬接墊位在TPVs的上方及晶片的背面上(包括假的金屬接墊);(b)具有TISD、BISD及TPVs的小晶片(或單一晶片封裝結構),且具有銲料凸塊或具有銲料銅柱位在TISD的下方,及位在BISD上方的金屬接墊。在一案例中,該第二小晶片位在第一晶片上(或上方),具有銲料凸塊或具有銲料銅柱的第二小晶片封裝及接合在第一小晶片的金屬接墊上。在另一案例中,該第一小晶片位在第二晶片上(或上方),具有銲料凸塊或具有銲料銅柱的第一小晶片封裝及接合在第二小晶片的金屬接墊上。在TISD下方的銲料凸塊或具有銲料銅柱及位在BISD及TPVs上方的金屬接墊的內容如上述所揭露之內容。Another aspect of the present invention discloses and provides a chip package structure for use as a non-volatile programmable device (a non-volatile programmable stacked logic driver), comprising: (a) a first chiplet (or a single chip package structure) ) includes an FPGAIC chip, and (b) a second chiplet (or single chip package structure) includes a non-volatile memory chip, wherein the first and second chiplets are formed in the manner disclosed above, the first chiplet The two chiplets can be stacked and packaged on (or over) the first chiplet using a POP packaging method in the same manner as disclosed above. Alternatively, the first chiplet can be stacked and packaged on (or over) the second chiplet using the POP packaging method, and the first and second chiplets can be one of the above-disclosed chiplets (or single-chip package structures): (a1) Chiplets (or single chip package structures) with TISDs and TPVs, with solder bumps or with solder copper pillars located below the TISDs and metal pads in the peripheral area above the TPVs; (a2 ) chiplets (or single chip package structures) with TISDs and TPVs, with solder bumps or with solder copper pillars below the TISD, metal pads above the TPVs and on the backside of the die (including dummy metal pads); (b) chiplets (or single chip package structures) with TISD, BISD and TPVs with solder bumps or solder copper pillars below the TISD and metal pads above the BISD. In one case, the second chiplet is positioned on (or over) the first chiplet, and the second chiplet with solder bumps or with solder copper pillars is packaged and bonded to the metal pads of the first chiplet. In another case, the first chiplet is positioned on (or over) the second chiplet, and the first chiplet with solder bumps or with solder copper pillars is packaged and bonded to the metal pads of the second chiplet. The contents of the solder bumps under the TISD or with the solder copper pillars and the metal pads over the BISD and TPVs are as disclosed above.

在晶片封裝結構(POP封裝結構)之第二小晶片中的非揮發性記憶體IC晶片包括一NAND快閃晶片或NOR快閃晶片,在第二小晶片中的該NAND快閃晶片或NOR快閃晶片係用作為:(a)儲存資料或資料,用於配置在晶片封裝結構之第一小晶片中的FPGAIC晶片,在第二小晶片中的NAND快閃晶片或NOR快閃晶片係用於儲存LUTs之結果值及用於多工器之配置資料(與晶片封裝結構中之第一小晶片中的FPGA晶片之LUTs相關聯),及/或儲存用於晶片封裝結構中之第一小晶片中的FPGA晶片之開關(包括通過/不通過開關及與開關相關聯的多工器)的編程碼;(b)儲存用於晶片封裝結構中之第一小晶片中的FPGA晶片之操作的資料或資訊,其中該FPGAIC晶片在(b)中己被配置有特定操作或功能。The non-volatile memory IC chip in the second chiplet of the chip package structure (POP package structure) includes a NAND flash chip or a NOR flash chip, and the NAND flash chip or NOR flash chip in the second chiplet The flash chip is used as: (a) to store data or data for the FPGAIC chip arranged in the first chiplet of the chip package structure, and the NAND flash chip or NOR flash chip in the second chiplet is used for Store the resulting values of the LUTs and configuration data for the multiplexer (associated with the LUTs of the FPGA chip in the first chiplet in the chip package structure), and/or store the first chiplet in the chip package structure (b) storing data for the operation of the FPGA chip in the first chiplet in the chip package structure or information, wherein the FPGAIC chip has been configured in (b) with a specific operation or function.

在一實施例中,堆疊邏輯驅動器可包括一小晶片(包括一NOR快閃晶片)、包括一小晶片(包括一NAND快閃晶片)及包括一小晶片(包括一FPGAIC晶片),其中NOR快閃小晶片位在該FPGA小晶片的上方,且NAND快閃小晶片位在NOR快閃小晶片上方,該NOR快閃小晶片係用於儲存資料或資料,用於配置在堆疊邏輯驅動器之FPGAIC晶片的資料或資訊,且該NAND快閃晶片係用於儲存堆疊邏輯驅動器之FPGAIC晶片之操作的資料或資訊。In one embodiment, the stacked logic driver may include a chiplet (including a NOR flash chip), a chiplet (including a NAND flash chip), and a chiplet (including an FPGAIC chip), where the NOR flash The flash chiplet is positioned above the FPGA chiplet, and the NAND flash chiplet is positioned above the NOR flash chiplet, which is used to store data or data for configuring the FPGAIC of the stacked logic driver The data or information of the chip, and the NAND flash chip is used to store the data or information of the operation of the FPGAIC chip of the stacked logic driver.

本發明另一方面揭露提供依據上述所揭露及說明的邏輯驅動器需建構的一非揮發性可編程邏輯驅動器(非揮發性可編程堆疊邏輯驅動器),在一堆疊多晶片封裝結構中(依據上述揭露之具有TISD、TPVs及/或BISD的FOIT封裝結構所建構)之非揮發性可編程堆疊邏輯驅動器包括一標準商業化FPGAIC晶片(上述揭露之小晶片型式)及一非揮發性記憶體IC晶片(上述揭露之小晶片型式),其中非揮發性記憶體IC晶片包括一NAND快閃晶片或NOR快閃晶片。或者,非揮發性記憶體IC晶片可以是祼晶型式或是一傳統的封裝結構,其中傳統的封裝結構可以是BGA封裝結構(打線方式或覆晶接合方式)、一薄型小尺寸封裝結構(thinsmalloutlinepackagewithleadframe,TSOP)或晶片級封裝結構(chip-scalepackage,CSP),非揮發性記憶體晶片或封裝結構堆疊在FPGA小晶片上方。或者,非揮發性記憶體晶片或封裝結構堆疊在FPGA小晶片下方,在非揮發性可編程邏輯驅動器中之非揮發性記憶體晶片用於儲存資料,用於配置FPGA晶片及/或用於在非揮發性可編程邏輯驅動器中的FPGA晶片的操作。Another aspect of the present invention discloses and provides a non-volatile programmable logic driver (non-volatile programmable stacked logic driver) that needs to be constructed according to the logic driver disclosed and described above, in a stacked multi-chip package structure (according to the above disclosure A non-volatile programmable stacked logic driver constructed in a FOIT package structure with TISD, TPVs and/or BISD) includes a standard commercial FPGA IC chip (chiplet type disclosed above) and a non-volatile memory IC chip ( The chiplet type disclosed above), wherein the non-volatile memory IC chip includes a NAND flash chip or a NOR flash chip. Alternatively, the non-volatile memory IC chip can be a bare die type or a traditional package structure, wherein the traditional package structure can be a BGA package structure (wire bonding method or flip chip bonding method), a thin small outline package with lead frame structure (thin small outline package with lead frame) , TSOP) or chip-scale package (chip-scale package, CSP), the non-volatile memory chip or package structure is stacked on top of the FPGA chiplet. Alternatively, a non-volatile memory die or package structure is stacked under the FPGA die, and the non-volatile memory die in the non-volatile programmable logic driver is used to store data, to configure the FPGA die and/or to be used in Operation of an FPGA die in a non-volatile programmable logic driver.

非揮發性可編程邏輯驅動器(非揮發性可編程堆疊邏輯驅動器)可包括專用I/O晶片或專用控制及I/O晶片的小晶片與FPGA小晶片及非揮發性記憶體IC小晶片堆疊在一起,其中專用I/O晶片或專用控制及I/O晶片如上述揭露之內容,專用I/O晶片或專用控制及I/O晶片的小晶片經由與形成FPGA小晶片或非揮發性記憶體小晶片相同的方法,非揮發性可編程邏輯驅動器係經由POP堆疊方式形成,例如,從底部至頂部分別為:(i)FPGA小晶片;(ii)專用I/O晶片或專用控制及I/O晶片的小晶片,(iii)非揮發性記憶體小晶片。介於專用I/O晶片或專用控制及I/O晶片、FPGA晶片及非揮發性可編程邏輯驅動器中的非揮發性記憶體晶片之間的通訊或電性耦接如上述所揭露之內容,介於非揮發性可編程邏輯驅動器與外部電電之間的通訊或電性耦接如上述所揭露之內容。上述揭露之邏輯驅動器中,專用I/O晶片或專用控制及I/O晶片包括大型I/O電路,用於與非揮發性可編程邏輯驅動器之外部電路進行通訊或電性耦接,且專用I/O晶片或專用控制及I/O晶片之小型I/O電路與具有小型I/O電路的FPGAIC晶片及非揮發性記憶體IC晶片進行通訊或電性耦接,用於邏輯驅動器中之大型I/O電路及小型I/O電路之內容如上述所揭露之內容。Non-volatile Programmable Logic Drivers (Non-volatile Programmable Stacked Logic Drivers) may include dedicated I/O chips or chiplets of dedicated control and I/O chips stacked on top of FPGA chiplets and non-volatile memory IC chiplets. Together, where the dedicated I/O chip or the dedicated control and I/O chip is as disclosed above, the dedicated I/O chip or the dedicated control and I/O chip's chiplets are passed through and formed with the FPGA chiplet or non-volatile memory In the same way as chiplets, non-volatile programmable logic drivers are formed by stacking POPs, for example, from bottom to top: (i) FPGA chiplets; (ii) dedicated I/O chips or dedicated control and I/O chips. A chiplet of the O chip, (iii) a non-volatile memory chiplet. The communication or electrical coupling between the dedicated I/O chip or the dedicated control and I/O chip, the FPGA chip and the non-volatile memory chip in the non-volatile programmable logic driver is as disclosed above, The communication or electrical coupling between the non-volatile programmable logic driver and the external power is as disclosed above. In the logic driver disclosed above, the dedicated I/O chip or the dedicated control and I/O chip includes a large I/O circuit for communicating or electrically coupling with the external circuit of the non-volatile programmable logic driver, and is dedicated to the The I/O chip or the small I/O circuit of the dedicated control and I/O chip communicates or electrically couples with the FPGA IC chip with the small I/O circuit and the non-volatile memory IC chip for use in the logic driver. The contents of the large I/O circuit and the small I/O circuit are as disclosed above.

非揮發性可編程邏輯驅動裝置(非揮發性可編程堆疊邏輯驅動器)可包括一HBM記憶體小晶片(例如包括一HBMDRAM,SRAM,MRAM或RRAMIC晶片)、FPGA小晶片及非揮發記憶體IC小晶片堆疊在一起,其中該HBM記憶體小晶片具有專用I/O晶片或專用控制及I/O晶片,該HBM記憶體小晶片(例如包括一HBMDRAM,SRAM,MRAM或RRAMIC晶片)如上述內容所揭露,HBM記憶體小晶片(例如包括一HBMDRAM,SRAM,MRAM或RRAMIC晶片)形成的方法與上述形成FPGA小晶片或非揮發性IC記憶體小晶片的方法相同,非揮發性可編程邏輯驅動裝置係經由POP堆疊的方式形成,例如從底部至頂部分別是:(i)FPGA小晶片;(ii)HBM記憶體小晶片;(iii)專用I/O晶片或專用控制及I/O晶片構成之小晶片;(iv)非揮發性記憶體小晶片。在非揮發性可編程邏輯驅動裝置中介於專用I/O晶片或專用控制及I/O晶片、HBM記憶體晶片、FPGA晶片與非揮發性記憶體晶片之間的通訊或電性耦接的揭露說明如上述邏輯驅動器之揭露說明。非揮發性可編程邏輯驅動裝置與外部電路之間的通訊或電性耦接的揭露說明如上述邏輯驅動器之揭露說明。上述邏輯驅動器之揭露說明中,專用I/O晶片或專用控制及I/O晶片包括包括大型I/O電路,用於與非揮發性可編程邏輯驅動裝置之外部電路進行通訊或電性耦接,且專用I/O晶片或專用控制及I/O晶片之小型I/O電路與具有小型I/O電路的FPGAIC晶片、HBM記憶體晶片及非揮發性記憶體IC晶片進行通訊或電性耦接,用於邏輯驅動器中之大型I/O電路及小型I/O電路之內容如上述所揭露之內容。Non-volatile programmable logic drivers (non-volatile programmable stacked logic drivers) may include an HBM memory chiplet (eg including an HBMDRAM, SRAM, MRAM or RRMIC chip), FPGA chiplets, and nonvolatile memory IC chiplets. Chips are stacked together, wherein the HBM memory chiplet has a dedicated I/O chip or a dedicated control and I/O chip, the HBM memory chiplet (e.g. including an HBMDRAM, SRAM, MRAM or RRMIC chip) as described above It is disclosed that the method of forming a HBM memory chiplet (eg including a HBMDRAM, SRAM, MRAM or RRMIC chip) is the same as the above-mentioned method of forming an FPGA chiplet or a non-volatile IC memory chiplet. The non-volatile programmable logic drive device It is formed by POP stacking, for example, from bottom to top: (i) FPGA chiplets; (ii) HBM memory chiplets; (iii) dedicated I/O chips or dedicated control and I/O chips. chiplets; (iv) non-volatile memory chiplets. Disclosure of communication or electrical coupling between dedicated I/O chips or dedicated control and I/O chips, HBM memory chips, FPGA chips and non-volatile memory chips in a non-volatile programmable logic drive device The description is the same as the disclosure description of the above-mentioned logical drive. The disclosure of the communication or electrical coupling between the non-volatile programmable logic driver and the external circuit is the same as the disclosure of the above-mentioned logic driver. In the above disclosure of the logic driver, the dedicated I/O chip or the dedicated control and I/O chip includes a large I/O circuit for communicating or electrically coupling with the external circuit of the non-volatile programmable logic drive device , and the dedicated I/O chip or the small I/O circuit of the dedicated control and I/O chip communicates or electrically couples with the FPGAIC chip, HBM memory chip and non-volatile memory IC chip with small I/O circuit Then, the contents of the large I/O circuit and the small I/O circuit used in the logic driver are as disclosed above.

在非揮發性可編程邏輯驅動裝置(非揮發性可編程堆疊邏輯驅動器)中的FPGAIC晶片可包括一晶片上安全電路(on-chipsecuritycircuit)用於安全的設計,該晶片上安全電路係用作為保護資料不會被故意複製或經逆向工程取得資料,在非揮發性可編程邏輯驅動裝置中FPGAIC晶片之晶片上安全電路提供在晶片上之位元流解密(on-chipbitstreamdecryption),此晶片上之位元流解密係依據儲存在FPGAIC晶片上專用記憶體單元中的一解密鑰匙來進行,加密位元流(encryptedbitstream)係儲存在非揮發性可編程邏輯驅動裝置之非揮發性記憶體晶片中且被用作為配置在非揮發性可編程邏輯驅動裝置中的FPGAIC晶片,從非揮發性記憶體晶片來的加密位元流係輸入至FPGAIC晶片中用於在FPGAIC晶片中可編程交互連接線(開關包括通過/不通過開關、閘極及多工器)及/或可編程邏輯電路、單元或元件或區塊(包括LUTs及多工器),其中輸入加密位元流可經由晶片上安全電路依據儲存在專用記憶體單元中的一解密鑰匙進行解密。一使用者產生的鑰匙(user-generatedkey)可從一真實亂數源(trulyrandomsource)來創造,非揮發性可編程邏輯驅動裝置中FPGAIC晶片內部地儲存該解密鑰匙在FPGAIC晶片上的非揮發性記憶體單元中,其中在晶片上非揮發性記憶體單元可包括一浮動閘極MOS電晶體、MRAM或RRAM。或者,非揮發性可編程邏輯驅動裝置中FPGAIC晶片內部地儲存該解密鑰匙在FPGAIC晶片之專用RAM單元中,其中專用RAM單元可經由一小型外部連接電池而備份。或者,在FPGAIC晶片上的一電子保險絲或抗保險絲(e-fuseorananti-fuse)可被用作為解密鑰匙,電子保險絲或抗保險絲被編程為非揮發性儲存解密鑰匙,該電子保險絲包括多個保險絲,每一保險絲具有一窄的頸部在上述所揭露之FPGAIC晶片的FISC或SISC之交互連接金屬線中的金屬連接線中。在用於非揮發儲存的編程中,所選擇保險絲經由一高電流流過保險絲而被切割及損壞,該抗保險絲包括多個保險絲,每一保險絲具有薄的氧化窗(thinoxidewindow)位在二電極端之間,在非揮發儲存之編程中,所選擇保險絲連接,其中經由施加一高電壓在二電極端之間,使得在氧化窗中的氧化物被破壞。加密鑰匙只可經由一特別連接埠被編程在裝置中,該晶片上安全電路執行用於在配置期間傳輸進來的位元流之解密的操作,非揮發性可編程邏輯裝置具有加密邏輯(依據晶片上安全電路建構),使用128,256,512或1024位元加密鑰匙。The FPGAIC chip in the non-volatile programmable logic driver (Non-volatile programmable stacked logic driver) may include an on-chip security circuit for security design, the on-chip security circuit is used for protection The data will not be intentionally copied or reverse engineered to obtain the data. On-chip bitstream decryption is provided by the on-chip security circuit of the FPGAIC chip in the non-volatile programmable logic drive device. The decryption of the metastream is performed according to a decryption key stored in the dedicated memory unit on the FPGAIC chip, and the encrypted bitstream is stored in the nonvolatile memory chip of the nonvolatile programmable logic driver and is Used as an FPGAIC chip configured in a non-volatile programmable logic driver, encrypted bitstreams from the non-volatile memory chip are input into the FPGAIC chip for programmable interconnect lines in the FPGAIC chip (switches include pass/fail switches, gates and multiplexers) and/or programmable logic circuits, cells or elements or blocks (including LUTs and multiplexers), where the incoming encrypted bit stream can be stored via on-chip security circuitry according to A decryption key in the dedicated memory unit performs decryption. A user-generated key can be created from a truly random source. The FPGAIC chip in the non-volatile programmable logic drive device internally stores the decryption key's non-volatile memory on the FPGAIC chip Among the bulk cells, the on-chip non-volatile memory cells may include a floating gate MOS transistor, MRAM or RRAM. Alternatively, the FPGAIC chip in the non-volatile programmable logic drive device stores the decryption key internally in a dedicated RAM unit of the FPGAIC chip, where the dedicated RAM unit can be backed up via a small externally connected battery. Alternatively, an electronic fuse or anti-fuse (e-fuseorananti-fuse) on the FPGAIC chip can be used as the decryption key, the e-fuse or anti-fuse programmed to store the decryption key non-volatile, the e-fuse comprising a plurality of fuses, Each fuse has a narrow neck in the metal connection line in the interconnection metal line of the FISC or SISC of the FPGAIC chip disclosed above. In programming for non-volatile storage, the selected fuse is cut and damaged by a high current flowing through the fuse. The anti-fuse includes a plurality of fuses, each fuse having a thin oxide window at two electrode terminals In between, in the programming of non-volatile storage, the selected fuse is connected, wherein the oxide in the oxidation window is destroyed by applying a high voltage between the two terminals. The encryption key can only be programmed into the device via a special port, the on-chip security circuit performs operations for decryption of the incoming bitstream during configuration, the non-volatile programmable logic device has encryption logic (depending on the chip) Built on secure circuits), using 128, 256, 512 or 1024 bit encryption keys.

具有創新或應用概念或想法的使用者或發展者可購買非揮發性可編程邏輯驅動裝置,其可發展或撰寫軟體碼、資料或程式,以加載至非揮發性可編程邏輯驅動裝置的非揮發性記憶體中,用於實現他/她的創新或應用概念或想法,加載或儲存在非揮發性可編程邏輯驅動裝置的非揮發性記憶體IC晶片中之使用者的軟體碼、資料、資訊、指令或程式(有關於創新或應用概念)可經由TISD及TPVs(在某些案例中也經過BISD),被下載至在非揮發性可編程邏輯驅動裝置中標準商業化FPGAIC晶片上可編程交互連接線(開關包括通過/不通過開關閘極及與可編程交互連接線相關聯的多工器)及/或可編程邏輯電路、單元或元件或區塊(包括LUTs及與可編程邏輯電路、單元或元件或區塊相關聯之多工器)中。Users or developers with innovative or applied concepts or ideas can purchase non-volatile programmable logic drive devices, which can develop or write software codes, data or programs to load into the non-volatile programmable logic drive devices. In the non-volatile memory, the software code, data, information of the user loaded or stored in the non-volatile memory IC chip of the non-volatile programmable logic drive device for realizing his/her innovative or application concept or idea , instructions or programs (relating to innovation or application concepts) can be downloaded via TISDs and TPVs (and in some cases BISDs as well) for programmable interaction on standard commercial FPGAIC chips in non-volatile programmable logic drivers Links (switches include pass/no pass switch gates and multiplexers associated with programmable interconnects) and/or programmable logic circuits, cells or elements or blocks (including LUTs and associated programmable logic circuits, unit or element or block associated multiplexer).

非揮發性可編程邏輯驅動裝置的晶片封裝可包括非揮發性記憶體IC晶片及FPGAIC晶片,其提供了現有ASICIC晶片設計、製造及市場上的另一選擇,邏輯驅動器(非揮發性可編程邏輯驅動裝置)的晶片封裝具有:(i)加載或儲存在非揮發性記憶體IC晶片中之使用者的軟體碼、資料、資訊、指令或程式(有關於創新或應用概念);及(ii)具有FPGAIC晶片之晶片上加密/解密功能(依據晶片上安全電路)可被販賣及使用作為像是一ASICIC晶片。Chip packages for non-volatile programmable logic driver devices can include non-volatile memory IC chips and FPGA IC chips, which provide an alternative to existing ASICIC chip design, manufacturing, and the market, logic drivers (non-volatile programmable logic chips). A driver device) chip package with: (i) user software code, data, information, instructions or programs (relating to innovative or application concepts) loaded or stored in a non-volatile memory IC chip; and (ii) An on-chip encryption/decryption function (based on on-chip security circuitry) with an FPGAIC chip can be sold and used as an ASICIC chip.

本發明另一方面提供依據一大型顆粒重新配置架構(Coarse-GrainedReconfigurableArchitecture(CGRA))建構之可編程、可配置及可重新配置的半導體IC晶片,用於依據上述揭露邏輯驅動器建構之非揮發性可編程邏輯驅動裝置中(非揮發性可編程2D水平或3D堆疊的邏輯驅動裝置),CGRA半導體IC晶片經由下列項目係可編程、可配置及可重新配置:(i)編程軟體或原始碼,其包括儲存在晶片上指令記憶體單元的一指令集中之操作指令,其中在指令集中的操作指令被寫入至組合語言中或依據機器語言或原始碼中,晶片上指令記憶體單元可以是晶片上揮發性記憶體單元(例如SRAM單元)或在晶片上非揮發性記憶體單元(例如浮動閘極非揮發性記憶體單元、RRAM單元、MRAM單元、FRAM單元);或(ii)與本專利申請案中的FPGAIC晶片的揭露相同,使用儲存在晶片上揮發性記憶體單元(例如是SRAM單元)或晶片上非揮發性記憶體單元(例如浮動閘極非揮發性記憶體單元、RRAM單元、MRAM單元、FRAM單元)配置資料。Another aspect of the present invention provides a programmable, configurable and reconfigurable semiconductor IC chip constructed according to a Coarse-Grained Reconfigurable Architecture (CGRA) for non-volatile programmable logic drivers constructed according to the above disclosure In programmed logic drivers (non-volatile programmable 2D horizontal or 3D stacked logic drivers), CGRA semiconductor IC chips are programmable, configurable and reconfigurable via: (i) programming software or source code, which Comprising operating instructions in an instruction set stored in an on-chip instruction memory unit, wherein the operating instructions in the instruction set are written in assembled language or in machine language or source code, the on-chip instruction memory unit may be an on-chip instruction memory unit. Volatile memory cells (eg, SRAM cells) or on-chip non-volatile memory cells (eg, floating gate non-volatile memory cells, RRAM cells, MRAM cells, FRAM cells); or (ii) as described in this patent application The disclosure of the FPGAIC chip in this case is the same, using either volatile memory cells (eg, SRAM cells) stored on-chip or non-volatile memory cells (eg, floating gate non-volatile memory cells, RRAM cells, MRAM cells) stored on the chip. unit, FRAM unit) configuration information.

CGRAIC晶片包括一巨量矩陣的功能單元區域、單元或元件(FUBs),每一FUBs包括(i)一功能單元(FU),FU被設計、出編譯及實現具有固定硬線(fixedhardwires)(金屬線或連接線)的電路於其中,該FU使用編程軟體或原始碼被編程、配置或重新配置,其包括儲存在晶片上指令記憶體單元中的指令集中的操作指令,在指令集中的操作指令可以用彙編語言編寫(例如是MOV,ADD或SUB),然後該彙編一語言可使用一彙編程序轉換為以二進制數字(1或0)表示的機器語言或代碼,二進制數字(1或0)表示的機器語言或代碼的機器語言或原始碼係儲存在晶片上指令記憶體單元中,晶片上記憶體單元可以是晶片上揮發性記憶體單元(例如是SRAM單元)或晶片上非揮發性記憶體單元(例如是浮動閘極非揮發性記憶體單元、RRAM單元、MRAM單元、FRAM單元),FU被編程、配置或重新配置用於不同的功能或應用分別依附儲存在該指令記憶體單元的晶片上揮發或非揮發性記憶體單元中之不同的指令集,FU使用儲存在指令記憶體單元的晶片上揮發或非揮發性記憶體單元中的一第一特定指令集被編程、配置或重新配置用於一第一特定功能或應用,當一第二特定指令集被加載及儲存在指令記憶體單元的晶片上揮發或非揮發性記憶體單元中時,FU被編程、配置或重新配置以執行一第二特定功能或應用。FU硬體或電路可以是上述FPGAIC晶片中的一個(或多個)硬核(hardmacros),該些硬核例如包括DSP片段(DSPslices)、GPU片段、微控制器核(MCU)、多工器硬核、加法器硬核、乘法器硬核、算術邏輯單元(ALU)硬核、移位電路硬核、比較電路硬核、浮點計算硬核、寄存器或觸發器硬核和/或I/O接口硬核,其中每一硬核被設計、編寫及實施具有用於電路的固定接線;(ii)寄存器(register)或觸發器(flip-flop),用於臨時儲存FU的計算或處理輸出或結果,儲存在寄存器中的資料可能會使用具有人工智能(artificialintelligence)的控制電路在某個時鐘週期內僅分配或存取給FUB陣列中的某些(並非所有)FUBs;(iii)寄存器文件,用於臨時存儲、更新、回收或循環計算或處理FU的輸出資料或結果,以用作FU輸入點的輸入資料,寄存器文件還可用於存儲、更新和預先準備計算或處理FU所需的資料或結果,以用作FU輸入點處的輸入資料,如此FU具有的資料可就近且及時執行計算處理指令,因此FU的速度及表現可大幅地提高:(iv)指令記憶體片段包括多個揮發(例如SRAM)或非揮發性記憶體單元,用於儲存編程軟體或原始碼(包括用於FU的操作指令),在同一FUB中的指令記憶體片段包括FU,意即是指令記憶體單元被分配在FUB矩陣之每一FUB中,用於編程、配置或重新配置FU,其中指令記憶體單元被用於儲存FU中以二進位數位(0或1)的機器語言或原始碼,指令記憶體單元可以是晶片上揮發(例如SRAM)或晶片上非揮發性記憶體單元,例如是浮動閘極非揮發性記憶體單元、RRAM單元、MRAM單元、FRAM單元;(v)程式計數器(programcounter(PC))用作為一指令位址或一位址指針(addresspointer),其中程式計數器包含在指令記憶體片段中的指令的位址(位置),該程式計數器被用於控制指令記憶體片段中記憶體單元中所儲存的指令的執行順序,隨著每條指令被獲取,程式計數器將其儲存值增加1。在每條指令被獲取之後,程序計數器指向序列中的下一條指令。A CGRAIC chip includes a massive matrix of functional unit areas, cells or elements (FUBs), each FUBs includes (i) a functional unit (FU), the FU is designed, compiled and implemented with fixed hardwires (metal wire or connecting wire) circuit in which the FU is programmed, configured or reconfigured using programming software or source code, which includes operational instructions in an instruction set stored in an on-chip instruction memory unit, operational instructions in an instruction set Can be written in assembly language (such as MOV, ADD or SUB), which can then be converted to machine language or code in binary numbers (1 or 0) using an assembler, which is represented by binary numbers (1 or 0) The machine language or source code of the machine language or code is stored in on-chip instruction memory cells, which may be on-chip volatile memory cells (eg, SRAM cells) or on-chip non-volatile memory cells. Cells (eg floating gate non-volatile memory cells, RRAM cells, MRAM cells, FRAM cells), FUs are programmed, configured or reconfigured for different functions or applications respectively attached to the chip stored in the instruction memory cell On different instruction sets in volatile or non-volatile memory cells, the FU is programmed, configured or reconfigured using a first specific set of instructions stored in the on-chip volatile or non-volatile memory cells of the instruction memory cells For a first specific function or application, the FU is programmed, configured or reconfigured to execute when a second specific set of instructions is loaded and stored in the on-chip volatile or non-volatile memory cells of the instruction memory cells a second specific function or application. The FU hardware or circuit can be one (or more) hard cores (hardmacros) in the above-mentioned FPGAIC chip, and these hard cores include, for example, DSP slices, GPU slices, microcontroller cores (MCU), multiplexers hard, adder hard, multiplier hard, arithmetic logic unit (ALU) hard, shift circuit hard, compare circuit hard, floating point computation hard, register or flip-flop hard and/or I/ O-interface hard cores, where each hard core is designed, programmed and implemented with fixed wiring for the circuit; (ii) a register or flip-flop for temporary storage of the computational or processing output of the FU Or as a result, the data stored in the registers may be allocated or accessed only to some (but not all) FUBs in the FUB array within a certain clock cycle using control circuits with artificial intelligence; (iii) the register file , used to temporarily store, update, recycle or cyclically calculate or process the output data or results of FU to be used as input data for FU input points, and register files can also be used to store, update and pre-prepare the data required for calculation or processing of FU Or as a result, it is used as the input data at the FU input point, so that the data possessed by the FU can be nearby and timely to execute the calculation and processing instructions, so the speed and performance of the FU can be greatly improved: (iv) The instruction memory segment includes a plurality of volatilized (eg SRAM) or non-volatile memory unit for storing programming software or source code (including operating instructions for FU), the instruction memory segment in the same FUB includes FU, which means that the instruction memory unit is Allocated in each FUB of the FUB matrix for programming, configuring or reconfiguring the FU, wherein the instruction memory unit is used to store the machine language or source code in binary bits (0 or 1) in the FU, the instruction memory The cells can be on-chip volatile (eg SRAM) or on-chip non-volatile memory cells, such as floating gate non-volatile memory cells, RRAM cells, MRAM cells, FRAM cells; (v) a program counter (PC )) is used as an instruction address or an address pointer, wherein the program counter contains the address (location) of the instruction in the instruction memory segment, the program counter is used to control the memory in the instruction memory segment The execution order of the instructions stored in the cell, the program counter increments its stored value by one as each instruction is fetched. After each instruction is fetched, the program counter points to the next instruction in the sequence.

在FUB矩陣中每一FUB使用網狀型式網路交互連接或不交互連接,其包括可配置及可重新配置的交互連接線電路,與上述FPGAIC晶片中所揭露的內容相同,FUs可以執行常見的字級運算,包括加法、減法和乘法。與FPGA相比,CGRA具有較短的重新配置時間、低延遲特性和低功耗,因為CGRA是根據標準單元所建構實現的。因此,犧牲了閘級可重構性,但結果是硬體效率的大幅提高。Each FUB in the FUB matrix is interconnected or non-interconnected using a mesh type network, which includes configurable and reconfigurable interconnect circuits. As disclosed in the above-mentioned FPGAIC chip, the FUs can perform common Word-level operations, including addition, subtraction, and multiplication. Compared to FPGAs, CGRAs have shorter reconfiguration times, low latency, and low power consumption because CGRAs are constructed from standard cells. Thus, gate-level reconfigurability is sacrificed, but the result is a substantial increase in hardware efficiency.

CGRAIC晶片包括可配置及可重新配置交互連接線電路、揮發性(例如SRAMs)或非揮發性記憶體單元,用於儲存資料於其中,其中該資料可作為配置或重新配置、可配置及可重新配置的交互連接線電路,介於FUB矩陣中的每一FUBs之間的交互連接線(連接或不連接)可經由儲存在揮發性或非揮發性記憶體單元中的資料配置或重新配置,當在CGRAIC晶片的FUB矩陣中的FUs被配置或重新配置用於一特定功能或應用,可配置及可重新配置交互連接線電路可經由改變儲存在揮發性或非揮發性記憶體單元中所對應配置或重新配置交互連接線資料,而同時配置或重新配置,當在CGRAIC晶片的FUB矩陣中的FUs被配置或重新配置用於一第一特定功能或應用,所對應配置或重新配置交互連接線電路可使用儲存在晶片上揮發性或非揮發性記憶體單元中的一第一特定配置或重新配置交互連接線資料進行配置或重新配置;CGRAIC晶片在配置或重新配置後,以執行第一特定功能或應用,當在CGRAIC晶片的FUB矩陣中的FUs被配置或重新配置用於一第二特定功能或應用,下載第二特定配置或重新配置交互連接線資料及儲存在晶片上揮發性或非揮發性記憶體單元,用於對應的可配置或重新配置的交互連接線電路,CGRAIC晶片被配置或重新配置,以執行第二特定功能或應用。CGRAIC chips include configurable and reconfigurable interconnect circuits, volatile (eg, SRAMs) or non-volatile memory cells for storing data therein as configuration or reconfiguration, configurable and reconfigurable Configured interconnect circuitry, interconnects (connected or unconnected) between each FUBs in the FUB matrix can be configured or reconfigured via data stored in volatile or non-volatile memory cells, when The FUs in the FUB matrix of the CGRAIC chip are configured or reconfigured for a specific function or application, and the configurable and reconfigurable interconnect circuits can be stored in volatile or non-volatile memory cells by changing the corresponding configuration or reconfigure the interconnection data while simultaneously configuring or reconfiguring, when the FUs in the FUB matrix of the CGRAIC chip are configured or reconfigured for a first specific function or application, the corresponding interconnection circuit is configured or reconfigured Can be configured or reconfigured using a first specific configuration or reconfiguration interconnect data stored in volatile or non-volatile memory cells on the chip; the CGRAIC chip is configured or reconfigured to perform the first specific function or application, when the FUs in the FUB matrix of the CGRAIC chip are configured or reconfigured for a second specific function or application, the second specific configuration or reconfiguration interconnection data is downloaded and stored on the chip volatile or non-volatile The CGRAIC chip is configured or reconfigured to perform a second specific function or application, for a corresponding configurable or reconfigurable interconnect circuit.

與FPGAIC晶片相同,CGRAIC晶片包括一可編程、可配置及可重新配置交互連接線電路及一第一揮發性記憶體單元(用於儲存第一資料於其中),其中第一資料被用作為配置可編程、可配置及可重新配置交互連接線電路,其中可編程、可配置及可重新配置交互連接線電路包括第一及第二導電交互連接線及可編程、可配置及可重新配置開關電路(具有一第二輸入點耦接至第一導電交互連接線、一第一輸出點耦接至第二導電交互連接線及一第二輸入點用於輸入與第一資料相關聯的資料),其中位在第一及第二導電交互連接線之間的可編程、可配置及可重新配置開關電路依據第二輸入點之輸入資料被編程、配置或重新配置,可編程、可配置及可重新配置交互連接線電路使用儲存在指令記憶體單元的晶片上揮發性或非揮發性單元中一第一特定資料被編程、配置或重新配置為一第一特定功能,當一第二特定指令集被下載且儲存在指令記憶體單元的晶片上揮發性或非揮發性單元中,該FU被編程、配置及重新配置以執行持一第二特定功能。Like the FPGAIC chip, the CGRAIC chip includes a programmable, configurable and reconfigurable interconnect circuit and a first volatile memory cell (for storing the first data therein), wherein the first data is used for configuration Programmable, configurable and reconfigurable interconnect circuit, wherein the programmable, configurable and reconfigurable interconnect circuit includes first and second conductive interconnects and a programmable, configurable and reconfigurable switch circuit (with a second input point coupled to the first conductive interconnection line, a first output point coupled to the second conductive interconnection line and a second input point for inputting data associated with the first data), The programmable, configurable and reconfigurable switching circuit located between the first and second conductive interconnecting lines is programmed, configured or reconfigured according to the input data of the second input point, programmable, configurable and reconfigurable The configuration interconnect circuit is programmed, configured or reconfigured to a first specific function using a first specific data stored in the on-chip volatile or non-volatile unit of the instruction memory unit for a first specific function, when a second specific instruction set is Downloaded and stored in the on-chip volatile or non-volatile unit of the instruction memory unit, the FU is programmed, configured and reconfigured to perform a second specific function.

與FPGAIC晶片相同,CGRAIC晶片更包括一第二揮發性記憶體單元用於儲存第二資料於其中,其中可編程、可配置及可重新配置交互連接線電路更包括可編程、可配置及可重新配置選擇電路經由導電交互連接線耦接至可編程、可配置及可重新配置開關電路,其中可編程、可配置及可重新配置選擇電路包括第三及第四導電交互連接線、一第三輸入點耦接至第三導電交互連接線、一第四輸入點耦接至第四導電交互連接線、一第二輸出點耦接至第一導電交互連接線及一第五輸入點用於輸入與第的二資料相關聯的資料,其中可編程、可配置及可重新配置選擇電路可依據第五輸入點輸入的資料被編程、配置或重新配置以選擇第三及第四導電交互連接線耦接第二輸出點。The same as the FPGAIC chip, the CGRAIC chip further includes a second volatile memory unit for storing the second data therein, wherein the programmable, configurable and reconfigurable interconnection circuit further includes programmable, configurable and reconfigurable The configuration selection circuit is coupled to the programmable, configurable and reconfigurable switching circuit via conductive interconnects, wherein the programmable, configurable and reconfigurable selection circuit includes third and fourth conductive interconnects, a third input The point is coupled to the third conductive interconnection line, a fourth input point is coupled to the fourth conductive interconnection line, a second output point is coupled to the first conductive interconnection line, and a fifth input point is used for input and The data associated with the second data, wherein the programmable, configurable and reconfigurable selection circuit can be programmed, configured or reconfigured according to the data input from the fifth input point to select the third and fourth conductive interconnection lines for coupling second output point.

在本專利申請案中所有有關於FPGAIC晶片的揭露及/或說明內容皆可應用在CGRAIC晶片。All disclosures and/or descriptions about FPGAIC chips in this patent application can be applied to CGRAIC chips.

全部的2D水平及/或3D堆疊晶片封裝或邏輯驅動器或多晶片封裝結構包括本專利申請案中所揭露之FPGAIC晶片(本專利申請案中所有有關於FPGAIC晶片的揭露及/或說明內容皆可應用在CGRAIC晶片)包括使用非揮發性記憶體IC晶片在相同晶片封裝結構或多晶片封裝結構中,以儲存編程、配置或重新配置的資料,用於編程、配置或重新配置FPGAIC晶片,在包括有CGRAIC晶片的同一晶片封裝結構或多晶片封裝結構中的非揮發性記憶體IC晶片用於儲存及備份:(i)編程軟體或原始碼,其包括用於CGRAIC晶片上的FUB矩陣的每一FU之操作指令,儲存用於CGRAIC晶片上的FUB矩陣的每一FUB之指令記憶體片段中的晶片上揮發性記憶體單元(例如SRAMs)中,及(ii)編程、配置或重新配置交互連接線資料用於編程、配置或重新配置CGRAIC晶片的可編程、可配置及可重新配置交互連接線電路,非揮發性記憶體IC晶片可以是NAND快閃記憶體晶片或NOR快閃記憶體晶片,非揮發性記憶體IC晶片可被用作為儲存複數組指令集,用於CGRAIC晶片的多個功能或應用,當第二特定指令集被下載且儲存在指令記憶體單元的晶片上揮發性記憶體單元中,FU被編程、配置或重新配置執行一第二特定功能或應用,儲存在CGRAIC晶片的揮發性記憶體單元中第一及第二特定指令集可從在同一晶片封裝結構或多晶片封裝結構(包括CGRAIC晶片)中那些儲存或備份非揮發性記憶體IC晶片的非揮發性記憶體單元中下載,使用者可經由分別選擇第一或第二特定指令集(儲存在非揮發性記憶體IC晶片的非揮發性記憶體單元中,且下載至CGRAIC晶片的揮發性記憶體單元中)來編程、配置或重新配置CGRAIC晶片,用於執行第一或第二特定功能或應用。All 2D horizontal and/or 3D stacked chip packages or logic drivers or multi-chip package structures include the FPGAIC chips disclosed in this patent application (all disclosures and/or descriptions of FPGAIC chips in this patent application may be Applications in CGRAIC chips) include the use of non-volatile memory IC chips in the same chip package structure or in a multi-chip package structure to store programming, configuration or reconfiguration data for programming, configuring or reconfiguring FPGA IC chips, including Non-volatile memory IC chips in the same chip package structure or multi-chip package structure with CGRAIC chips for storage and backup: (i) programming software or source code, which includes for each of the FUB matrix on the CGRAIC chip Operational instructions for the FU, stored in the on-chip volatile memory cells (eg SRAMs) in the instruction memory segment of each FUB for the FUB matrix on the CGRAIC chip, and (ii) to program, configure or reconfigure the interconnects Wire data is used to program, configure or reconfigure the programmable, configurable and reconfigurable interconnect wire circuits of the CGRAIC chip, the non-volatile memory IC chip can be a NAND flash memory chip or a NOR flash memory chip, The non-volatile memory IC chip can be used to store complex sets of instructions for multiple functions or applications of the CGRAIC chip, when a second specific instruction set is downloaded and stored in the on-chip volatile memory of the instruction memory unit In the unit, the FU is programmed, configured or reconfigured to perform a second specific function or application. The first and second specific instruction sets stored in the volatile memory unit of the CGRAIC chip can be obtained from the same chip package structure or a multi-chip package. In the structure (including CGRAIC chip) those non-volatile memory cells that store or back up the non-volatile memory IC chip, the user can select the first or second specific instruction set (stored in the non-volatile memory in the non-volatile memory cells of the IC chip and downloaded into the volatile memory cells of the CGRAIC chip) to program, configure or reconfigure the CGRAIC chip for performing a first or second specific function or application.

另一方面的揭露提供了一個標準商業邏輯驅動器,而一個人,使用者,或軟體開發者,或演算法/架構/ 應用開發者可以購買標準商業邏輯驅動並邊解軟體碼去編輯邏輯驅動去執行他/她的想要的演算法,架構和/或應用,例如,一個人工智慧,機器學習,深度學習,大資料,物連網,虛擬現實,電動車,圖像製程,數位訊號製程,為控制器,和/或中央製程的演算法、架構和/或應用。The disclosure on the other hand provides a standard business logic driver, and a person, user, or software developer, or algorithm/architecture/application developer can buy the standard business logic driver and decipher the software code to edit the logic driver to execute His/her desired algorithm, architecture and/or application, for example, an artificial intelligence, machine learning, deep learning, big data, Internet of Things, virtual reality, electric vehicles, image processing, digital signal processing, for Algorithms, architectures and/or applications of controllers, and/or central processing.

將經由對說明性實施例、隨附圖式及申請專利範圍之以下詳細描述的評述,使本發明之此等以及其他組件、步驟、特徵、效益及優勢變得明朗。These and other components, steps, features, benefits and advantages of the present invention will become apparent from a review of the following detailed description of the illustrative embodiments, the accompanying drawings, and the scope of the claims.

當以下描述連同隨附圖式一起閱讀時,可更充分地理解本發明之配置,該等隨附圖式之性質應視為說明性而非限制性的。該等圖式未必按比例繪製,而是強調本發明之原理。The configuration of the present invention can be more fully understood when the following description is read in conjunction with the accompanying drawings, which are to be regarded in an illustrative rather than a restrictive nature. The drawings are not necessarily to scale, emphasizing the principles of the invention.

靜態隨機存取記憶體(StaticRandom-AccessMemory(SRAM))單元之說明Description of Static Random-Access Memory (SRAM) Units

(1)第一型之SRAM單元(6TSRAM單元)(1) The first type of SRAM cell (6TSRAM cell)

第1A圖係為根據本申請案之實施例所繪示之6TSRAM單元之電路圖。請參見第1A圖,第一型之SRAM單元398(亦即為6TSRAM單元)係具有一記憶體單元446,包括四個資料鎖存電晶體447及448,亦即為兩對之P型金屬氧化物半導體(metal-oxide-semiconductor(MOS))電晶體447及N型MOS電晶體448,在每一對之P型MOS電晶體447及N型MOS電晶體448中,其汲極係相互耦接,其閘極係相互耦接,而其源極係分別耦接至電源端(Vcc)及接地端(Vss)。位在左側之該對之P型MOS電晶體447及N型MOS電晶體448的閘極係耦接至位在右側之該對之P型MOS電晶體447及N型MOS電晶體448的汲極,作為記憶體單元446之輸出Out1。位在右側之該對之P型MOS電晶體447及N型MOS電晶體448的閘極係耦接至位在左側之該對之P型MOS電晶體447及N型MOS電晶體448的汲極,作為記憶體單元446之輸出Out2。FIG. 1A is a circuit diagram of a 6TSRAM cell according to an embodiment of the present application. Referring to FIG. 1A, the first type SRAM cell 398 (ie, the 6TSRAM cell) has a memory cell 446 including four data latch transistors 447 and 448, that is, two pairs of P-type metal oxides A metal-oxide-semiconductor (MOS) transistor 447 and an N-type MOS transistor 448, in each pair of the P-type MOS transistor 447 and the N-type MOS transistor 448, have their drains coupled to each other , whose gates are coupled to each other, and their sources are respectively coupled to the power supply terminal (Vcc) and the ground terminal (Vss). The gate of the pair of P-type MOS transistor 447 and N-type MOS transistor 448 on the left is coupled to the drain of the pair of P-type MOS transistor 447 and N-type MOS transistor 448 on the right , as the output Out1 of the memory unit 446 . The gates of the pair of P-type MOS transistors 447 and N-type MOS transistors 448 located on the right are coupled to the drains of the pair of P-type MOS transistors 447 and N-type MOS transistors 448 located on the left side , as the output Out2 of the memory unit 446 .

請參見第1A圖,第一型之SRAM單元398還包括二開關或是轉移(寫入)電晶體449,例如為P型MOS電晶體或N型MOS電晶體,其中第一開關449之閘極係耦接至字元線451,其通道之一端係耦接至位元線452,其通道之另一端係耦接至位在左側之該對之P型MOS電晶體447及N型MOS電晶體448的汲極及位在右側之該對之P型MOS電晶體447及N型MOS電晶體448的閘極,而其中第二開關449之閘極係耦接至字元線451,其通道之一端係耦接至位元線453,其通道之另一端係耦接至位在右側之該對之P型MOS電晶體447及N型MOS電晶體448的汲極及位在左側之該對之P型MOS電晶體447及N型MOS電晶體448的閘極。在位元線452上的邏輯值係相反於在位元線453上的邏輯值。開關449可稱為是編程電晶體,用於寫入編程碼或資料於該些四個資料鎖存電晶體447及448之儲存節點中,亦即位在該些四個資料鎖存電晶體447及448之汲極及閘極中。開關449可以透過字元線451之控制以開啟連接,使得位元線452透過該第一開關449之通道連接至位在左側之該對之P型MOS電晶體447及N型MOS電晶體448的汲極及位在右側之該對之P型MOS電晶體447及N型MOS電晶體448的閘極,因此在位元線452上的邏輯值可以載入於位在右側之該對之P型MOS電晶體447及N型MOS電晶體448的閘極其間的導線上及位在左側之該對之P型MOS電晶體447及N型MOS電晶體448的汲極其間的導線上。再者,位元線453可透過該第二開關449之通道連接至位在右側之該對之P型MOS電晶體447及N型MOS電晶體448的汲極及位在左側之該對之P型MOS電晶體447及N型MOS電晶體448的閘極,因此在位元線453上的邏輯值可以載入於位在左側之該對之P型MOS電晶體447及N型MOS電晶體448的閘極其間的導線上及位在右側之該對之P型MOS電晶體447及N型MOS電晶體448的汲極其間的導線上。因此,位在位元線452上的邏輯值可以記錄或鎖存於位在右側之該對之P型MOS電晶體447及N型MOS電晶體448的閘極其間的導線上及位在左側之該對之P型MOS電晶體447及N型MOS電晶體448的汲極其間的導線上;位在位元線453上的邏輯值可以記錄或鎖存於位在左側之該對之P型MOS電晶體447及N型MOS電晶體448的閘極其間的導線上及位在右側之該對之P型MOS電晶體447及N型MOS電晶體448的汲極其間的導線上。Referring to FIG. 1A, the first type SRAM cell 398 further includes two switches or transfer (write) transistors 449, such as P-type MOS transistors or N-type MOS transistors, wherein the gate of the first switch 449 is coupled to word line 451, one end of its channel is coupled to bit line 452, and the other end of its channel is coupled to the pair of P-type MOS transistors 447 and N-type MOS transistors on the left side The drain of 448 and the gate of the pair of P-type MOS transistor 447 and N-type MOS transistor 448 on the right side, and the gate of the second switch 449 is coupled to the word line 451, and the channel of the second switch 449 is coupled to the word line 451. One end is coupled to the bit line 453, and the other end of its channel is coupled to the drains of the pair of P-type MOS transistors 447 and N-type MOS transistors 448 on the right and the pair on the left Gates of the P-type MOS transistor 447 and the N-type MOS transistor 448 . The logic value on bit line 452 is opposite to the logic value on bit line 453 . The switch 449 can be referred to as a programming transistor for writing programming codes or data in the storage nodes of the four data latch transistors 447 and 448, that is, in the four data latch transistors 447 and 448. 448 in the drain and gate. The switch 449 can be controlled by the word line 451 to open the connection, so that the bit line 452 is connected to the pair of P-type MOS transistor 447 and N-type MOS transistor 448 on the left side through the channel of the first switch 449. The drain and gate of the pair of P-type MOS transistor 447 and N-type MOS transistor 448 on the right, so the logic value on bit line 452 can be loaded into the P-type of the pair on the right On the wire between the gates of the MOS transistor 447 and the N-type MOS transistor 448 and on the wire between the drains of the pair of the P-type MOS transistor 447 and the N-type MOS transistor 448 on the left side. Furthermore, the bit line 453 can be connected to the drains of the pair of P-type MOS transistors 447 and N-type MOS transistors 448 on the right side and the P of the pair on the left side through the channel of the second switch 449 . gates of type MOS transistor 447 and N type MOS transistor 448, so the logic value on bit line 453 can be loaded into the pair of P type MOS transistor 447 and N type MOS transistor 448 on the left side On the wire between the gates of 1 and the wire between the drains of the pair of P-type MOS transistor 447 and N-type MOS transistor 448 on the right side. Therefore, the logic value on bit line 452 can be recorded or latched on the wire between the gates of the pair of P-type MOS transistor 447 and N-type MOS transistor 448 on the right and between the gates of the pair on the left On the wire between the drains of the P-type MOS transistor 447 and the N-type MOS transistor 448 of the pair; the logic value on the bit line 453 can be recorded or latched in the P-type MOS of the pair on the left side On the wire between the gates of the transistor 447 and the N-type MOS transistor 448 and on the wire between the drains of the pair of the P-type MOS transistor 447 and the N-type MOS transistor 448 on the right side.

(2)第二型之SRAM單元(5TSRAM單元)(2) The second type of SRAM cell (5TSRAM cell)

第1B圖係為根據本申請案之實施例所繪示之5TSRAM單元之電路圖。請參見第1B圖,第二型之SRAM單元398(亦即為5TSRAM單元)係具有如第1A圖所繪示之記憶體單元446。第二型之SRAM單元398還包括一開關或是轉移(寫入)電晶體449,例如為P型MOS電晶體或N型MOS電晶體,其閘極係耦接至字元線451,其通道之一端係耦接至位元線452,其通道之另一端係耦接至位在左側之該對之P型MOS電晶體447及N型MOS電晶體448的汲極及位在右側之該對之P型MOS電晶體447及N型MOS電晶體448的閘極。開關449可稱為是編程電晶體,用於寫入編程碼或資料於該些四個資料鎖存電晶體447及448之儲存節點中,亦即位在該些四個資料鎖存電晶體447及448之汲極及閘極中。開關449可以透過字元線451之控制以開啟連接,使得位元線452透過開關449之通道連接至位在左側之該對之P型MOS電晶體447及N型MOS電晶體448的汲極及位在右側之該對之P型MOS電晶體447及N型MOS電晶體448的閘極,因此在位元線452上的邏輯值可以載入於位在右側之該對之P型MOS電晶體447及N型MOS電晶體448的閘極其間的導線上及位在左側之該對之P型MOS電晶體447及N型MOS電晶體448的汲極其間的導線上。因此,位在位元線452上的邏輯值可以記錄或鎖存於位在右側之該對之P型MOS電晶體447及N型MOS電晶體448的閘極其間的導線上及位在左側之該對之P型MOS電晶體447及N型MOS電晶體448的汲極其間的導線上;相反於位在位元線452上的邏輯值可以記錄或鎖存於位在左側之該對之P型MOS電晶體447及N型MOS電晶體448的閘極其間的導線上及位在右側之該對之P型MOS電晶體447及N型MOS電晶體448的汲極其間的導線上。FIG. 1B is a circuit diagram of a 5TSRAM cell according to an embodiment of the present application. Referring to FIG. 1B, the second type SRAM cell 398 (ie, the 5TSRAM cell) has the memory cell 446 as shown in FIG. 1A. The second-type SRAM cell 398 also includes a switch or transfer (write) transistor 449, such as a P-type MOS transistor or an N-type MOS transistor, the gate of which is coupled to the word line 451, and the channel of which is coupled to the word line 451. One end is coupled to the bit line 452, and the other end of its channel is coupled to the drains of the pair of P-type MOS transistors 447 and N-type MOS transistors 448 on the left and the pair on the right The gates of the P-type MOS transistor 447 and the N-type MOS transistor 448. The switch 449 can be referred to as a programming transistor for writing programming codes or data in the storage nodes of the four data latch transistors 447 and 448, that is, in the four data latch transistors 447 and 448. 448 in the drain and gate. The switch 449 can be controlled by the word line 451 to open the connection, so that the bit line 452 is connected through the channel of the switch 449 to the drain of the pair of P-type MOS transistor 447 and N-type MOS transistor 448 on the left side and The gates of the pair of P-type MOS transistors 447 and N-type MOS transistors 448 on the right side, so the logic value on bit line 452 can be loaded into the pair of P-type MOS transistors on the right side 447 and the wire between the gates of the N-type MOS transistor 448 and on the wire between the drains of the pair of the P-type MOS transistor 447 and the N-type MOS transistor 448 on the left. Therefore, the logic value on bit line 452 can be recorded or latched on the wire between the gates of the pair of P-type MOS transistor 447 and N-type MOS transistor 448 on the right and between the gates of the pair on the left On the line between the drains of the P-type MOS transistor 447 and the N-type MOS transistor 448 of the pair; in contrast, the logic value on the bit line 452 can be recorded or latched on the P of the pair on the left On the wire between the gates of the P-type MOS transistor 447 and the N-type MOS transistor 448 and on the wire between the drains of the P-type MOS transistor 447 and the N-type MOS transistor 448 on the right side.

通過/不通開關之說明Description of the pass/fail switch

(1)第一型通過/不通開關(1) Type 1 pass/fail switch

第2A圖係為根據本申請案之實施例所繪示之第一型通過/不通開關之電路圖。請參見第2A圖,第一型通過/不通開關258包括相互並聯配置的N型MOS電晶體222及P型MOS電晶體223。第一型通過/不通開關258之每一N型MOS電晶體222及P型MOS電晶體223之通道的一端係耦接至節點N21,而另一端係耦接至節點N22。因此,第一型通過/不通開關258可以開啟或切斷節點N21及節點N22之間的連接。第一型通過/不通開關258之P型MOS電晶體223之閘極係耦接至節點SC-1,第一型通過/不通開關258之N型MOS電晶體222之閘極係耦接至節點SC-2。FIG. 2A is a circuit diagram of a first type pass/no pass switch according to an embodiment of the present application. Referring to FIG. 2A, the first-type pass/fail switch 258 includes an N-type MOS transistor 222 and a P-type MOS transistor 223 arranged in parallel with each other. One end of the channel of each of the N-type MOS transistor 222 and the P-type MOS transistor 223 of the first type pass/fail switch 258 is coupled to the node N21, and the other end is coupled to the node N22. Therefore, the first type pass/fail switch 258 can turn on or off the connection between the node N21 and the node N22. The gate of the P-type MOS transistor 223 of the first-type pass/stop switch 258 is coupled to the node SC-1, and the gate of the N-type MOS transistor 222 of the first-type pass/stop switch 258 is coupled to the node SC-1 SC-2.

(2)第二型通過/不通開關(2) Type 2 pass/fail switch

第2B圖係為根據本申請案之實施例所繪示之第二型通過/不通開關之電路圖。請參見第2B圖,第二型通過/不通開關258包括N型MOS電晶體222及P型MOS電晶體223,相同於如第2A圖所繪示之第一型通過/不通開關258之N型MOS電晶體222及P型MOS電晶體223。第二型通過/不通開關258包括一反向器533,其輸入耦接於N型MOS電晶體222之閘極及節點SC-3,其輸出耦接於P型MOS電晶體223之閘極,反向器533適於將其輸入反向而形成其輸出。FIG. 2B is a circuit diagram of a second-type pass/no pass switch according to an embodiment of the present application. Please refer to FIG. 2B, the second type pass/stop switch 258 includes an N-type MOS transistor 222 and a P-type MOS transistor 223, which is the same as the N-type of the first type pass/stop switch 258 shown in FIG. 2A MOS transistor 222 and P-type MOS transistor 223 . The second-type pass/fail switch 258 includes an inverter 533, the input of which is coupled to the gate of the N-type MOS transistor 222 and the node SC-3, and the output of which is coupled to the gate of the P-type MOS transistor 223, Inverter 533 is adapted to invert its input to form its output.

(3)第三型通過/不通開關(3) Type 3 pass/fail switch

第2C圖係為根據本申請案之實施例所繪示之第三型通過/不通開關之電路圖。請參見第2C圖,第三型通過/不通開關258可以是多級三態緩衝器292或是開關緩衝器,在每一級中,均具有一對的P型MOS電晶體293及N型MOS電晶體294,兩者的汲極係相互地耦接在一起,而兩者的源極係分別地連接至電源端Vcc及接地端Vss。在本實施例中,多級三態緩衝器292係為二級三態緩衝器292,亦即為二級反向器,分別為第一級及第二級,分別具有一對的P型MOS電晶體293及N型MOS電晶體294。節點N21可以耦接至第一級之該對P型MOS電晶體293及N型MOS電晶體294的閘級,第一級之該對P型MOS電晶體293及N型MOS電晶體294的汲級耦接至第二級之該對P型MOS電晶體293及N型MOS電晶體294的閘級,第二級之該對P型MOS電晶體293及N型MOS電晶體294的汲級耦接至節點N22。FIG. 2C is a circuit diagram of a third type of pass/no pass switch according to an embodiment of the present application. Referring to FIG. 2C, the third-type pass/fail switch 258 may be a multi-stage tri-state buffer 292 or a switch buffer, and each stage has a pair of P-type MOS transistors 293 and N-type MOS transistors In the crystal 294, the drains of the two are coupled to each other, and the sources of the two are connected to the power supply terminal Vcc and the ground terminal Vss, respectively. In this embodiment, the multi-stage tri-state buffer 292 is a two-stage tri-state buffer 292 , that is, a two-stage inverter, which are the first stage and the second stage respectively, and have a pair of P-type MOSs respectively. Transistor 293 and N-type MOS transistor 294 . The node N21 can be coupled to the gates of the pair of P-type MOS transistors 293 and N-type MOS transistors 294 in the first stage, and the drains of the pair of P-type MOS transistors 293 and N-type MOS transistors 294 in the first stage. The first stage is coupled to the gate stage of the pair of P-type MOS transistors 293 and N-type MOS transistors 294 in the second stage, and the drain stage of the pair of P-type MOS transistors 293 and N-type MOS transistors 294 in the second stage is coupled connected to node N22.

請參見第2C圖,多級三態緩衝器292還包括一開關機制,以致能或禁能多級三態緩衝器292,其中該開關機制包括:(1)P型MOS電晶體295,其源極係耦接至電源端(Vcc),而其汲極係耦接至第一級及第二級之P型MOS電晶體293的源極;(2)N型MOS電晶體296,其源極係耦接至接地端(Vss),而其汲極係耦接至第一級及第二級之N型MOS電晶體294的源極;以及(3)反向器297,其輸入耦接N型MOS電晶體296之閘級及節點SC-4,其輸出耦接P型MOS電晶體295之閘級,反向器297適於將其輸入反向而形成其輸出。Referring to FIG. 2C, the multi-level tri-state buffer 292 further includes a switching mechanism to enable or disable the multi-level tri-state buffer 292, wherein the switching mechanism includes: (1) a P-type MOS transistor 295 whose source is The pole is coupled to the power supply terminal (Vcc), and its drain is coupled to the source of the P-type MOS transistor 293 of the first stage and the second stage; (2) the N-type MOS transistor 296, its source is coupled to the ground terminal (Vss), and its drain is coupled to the sources of the N-type MOS transistors 294 of the first and second stages; and (3) an inverter 297, the input of which is coupled to N The gate of the P-type MOS transistor 296 and the node SC-4, its output is coupled to the gate of the P-type MOS transistor 295, and the inverter 297 is adapted to invert its input to form its output.

舉例而言,請參見第2C圖,當邏輯值“1”耦接至節點SC-4時,會開啟多級三態緩衝器292,則訊號可以從節點N21傳送至節點N22。當邏輯值“0”耦接至節點SC-4時,會關閉多級三態緩衝器292,則節點N21與節點N22之間並無訊號傳送。For example, referring to FIG. 2C, when the logic value "1" is coupled to the node SC-4, the multi-level tri-state buffer 292 is turned on, and the signal can be transmitted from the node N21 to the node N22. When the logic value "0" is coupled to the node SC-4, the multi-level tri-state buffer 292 is turned off, and no signal is transmitted between the node N21 and the node N22.

(4)第四型通過/不通開關(4) Type 4 pass/fail switch

第2D圖係為根據本申請案之實施例所繪示之第四型通過/不通開關之電路圖。請參見第2D圖,第四型通過/不通開關258可以是多級三態緩衝器或是開關緩衝器,其係類似如第2C圖所繪示之多級三態緩衝器292。針對繪示於第2C圖及第2D圖中的相同標號所指示的元件,繪示於第2D圖中的該元件可以參考該元件於第2C圖中的說明。第2C圖與第2D圖所繪示之電路之間的不同點係如下所述:請參見第2D圖,P型MOS電晶體295之汲極係耦接至第二級之P型MOS電晶體293的源極,但是並未耦接至第一級之P型MOS電晶體293的源極;第一級之P型MOS電晶體293的源極係耦接至電源端(Vcc)及P型MOS電晶體295之源極。N型MOS電晶體296之汲極係耦接至第二級之N型MOS電晶體294的源極,但是並未耦接至第一級之N型MOS電晶體294的源極;第一級之N型MOS電晶體294的源極係耦接至接地端(Vss)及N型MOS電晶體296之源極。FIG. 2D is a circuit diagram of a fourth type of pass/no pass switch according to an embodiment of the present application. Referring to FIG. 2D, the fourth type pass/fail switch 258 may be a multi-level tri-state buffer or a switching buffer, which is similar to the multi-level tri-state buffer 292 shown in FIG. 2C. For elements indicated by the same reference numerals shown in FIG. 2C and FIG. 2D, the element shown in FIG. 2D may refer to the description of the element in FIG. 2C. The difference between the circuits shown in Fig. 2C and Fig. 2D is as follows: Please refer to Fig. 2D, the drain of the P-type MOS transistor 295 is coupled to the second-stage P-type MOS transistor The source of 293 is not coupled to the source of the first-stage P-type MOS transistor 293; the source of the first-stage P-type MOS transistor 293 is coupled to the power supply terminal (Vcc) and the P-type The source of the MOS transistor 295. The drain of the N-type MOS transistor 296 is coupled to the source of the N-type MOS transistor 294 of the second stage, but is not coupled to the source of the N-type MOS transistor 294 of the first stage; the first stage The source of the N-type MOS transistor 294 is coupled to the ground terminal (Vss) and the source of the N-type MOS transistor 296 .

(5)第五型通過/不通開關(5) Type 5 pass/fail switch

第2E圖係為根據本申請案之實施例所繪示之第五型通過/不通開關之電路圖。針對繪示於第2C圖及第2E圖中的相同標號所指示的元件,繪示於第2E圖中的該元件可以參考該元件於第2C圖中的說明。請參見第2E圖,第五型通過/不通開關258可以包括一對的如第2C圖所繪示之多級三態緩衝器292或是開關緩衝器。位在左側之多級三態緩衝器292中第一級的P型及N型MOS電晶體293及294之閘極係耦接至位在右側之多級三態緩衝器292中第二級的P型及N型MOS電晶體293及294之汲極及耦接至節點N21。位在右側之多級三態緩衝器292中第一級的P型及N型MOS電晶體293及294之閘極係耦接至位在左側之多級三態緩衝器292中第二級的P型及N型MOS電晶體293及294之汲極及耦接至節點N22。針對位在左側之多級三態緩衝器292,其反向器297之輸入耦接其N型MOS電晶體296之閘級及節點SC-4,其反向器297之輸出耦接其P型MOS電晶體295之閘級,其反向器297適於將其輸入反向而形成其輸出。針對位在右側之多級三態緩衝器292,其反向器297之輸入耦接其N型MOS電晶體296之閘級及節點SC-6,其反向器297之輸出耦接其P型MOS電晶體295之閘級,其反向器297適於將其輸入反向而形成其輸出。FIG. 2E is a circuit diagram of a fifth type of pass/no pass switch according to an embodiment of the present application. For elements indicated by the same reference numerals shown in FIGS. 2C and 2E, the description of the element shown in FIG. 2E may be referred to in FIG. 2C. Referring to FIG. 2E, the fifth-type pass/fail switch 258 may include a pair of multi-level tri-state buffers 292 as shown in FIG. 2C or switch buffers. The gates of the P-type and N-type MOS transistors 293 and 294 in the first stage of the multi-stage tri-state buffer 292 on the left are coupled to the gates of the second stage in the multi-stage tri-state buffer 292 on the right. The drains of the P-type and N-type MOS transistors 293 and 294 are coupled to the node N21. The gates of the P-type and N-type MOS transistors 293 and 294 in the first stage of the multi-stage tri-state buffer 292 on the right are coupled to the gates of the second stage in the multi-stage tri-state buffer 292 on the left. The drains of the P-type and N-type MOS transistors 293 and 294 are coupled to the node N22. For the multi-stage tri-state buffer 292 on the left, the input of the inverter 297 is coupled to the gate of the N-type MOS transistor 296 and the node SC-4, and the output of the inverter 297 is coupled to the P-type The gate stage of MOS transistor 295, whose inverter 297 is adapted to invert its input to form its output. For the multi-stage tri-state buffer 292 on the right, the input of the inverter 297 is coupled to the gate of the N-type MOS transistor 296 and the node SC-6, and the output of the inverter 297 is coupled to the P-type The gate stage of MOS transistor 295, whose inverter 297 is adapted to invert its input to form its output.

舉例而言,請參見第2E圖,當邏輯值“1”耦接至節點SC-5時,會開啟位在左側之多級三態緩衝器292,且當邏輯值“0”耦接至節點SC-6時,會關閉位在右側之多級三態緩衝器292,則訊號可以從節點N21傳送至節點N22。當邏輯值“0”耦接至節點SC-5時,會關閉位在左側之多級三態緩衝器292,且當邏輯值“1”耦接至節點SC-6時,會開啟位在右側之多級三態緩衝器292,則訊號可以從節點N22傳送至節點N21。當邏輯值“0”耦接至節點SC-5時,會關閉位在左側之多級三態緩衝器292,且當邏輯值“0”耦接至節點SC-6時,會關閉位在右側之多級三態緩衝器292,則節點N21與節點N22之間並無訊號傳送。For example, referring to Figure 2E, when a logic value "1" is coupled to node SC-5, the multi-level tri-state buffer 292 on the left is turned on, and when a logic value "0" is coupled to node SC-5 In SC-6, the multi-level tri-state buffer 292 on the right is turned off, and the signal can be transmitted from the node N21 to the node N22. When a logic value "0" is coupled to node SC-5, the multi-level tri-state buffer 292 on the left is turned off, and when a logic value "1" is coupled to node SC-6, the bit on the right is turned on If the multi-level tri-state buffer 292 is used, the signal can be transmitted from the node N22 to the node N21. When a logic value "0" is coupled to node SC-5, the multi-level tri-state buffer 292 on the left is turned off, and when a logic value "0" is coupled to node SC-6, the bit on the right is turned off If the multi-level tri-state buffer 292 is used, there is no signal transmission between the node N21 and the node N22.

(6)第六型通過/不通開關(6) Sixth type pass/fail switch

第2F圖係為根據本申請案之實施例所繪示之第六型通過/不通開關之電路圖。第六型通過/不通開關258可以包括一對的多級三態緩衝器或是開關緩衝器,類似於如第2E圖所繪示之一對的多級三態緩衝器292。針對繪示於第2E圖及第2F圖中的相同標號所指示的元件,繪示於第2F圖中的該元件可以參考該元件於第2E圖中的說明。第2E圖與第2F圖所繪示之電路之間的不同點係如下所述:請參見第2F圖,針對每一多級三態緩衝器292,其P型MOS電晶體295之汲極係耦接至其第二級之P型MOS電晶體293的源極,但是並未耦接至其第一級之P型MOS電晶體293的源極;其第一級之P型MOS電晶體293的源極係耦接至電源端(Vcc)及其P型MOS電晶體295之源極。針對每一多級三態緩衝器292,其N型MOS電晶體296之汲極係耦接至其第二級之N型MOS電晶體294的源極,但是並未耦接至其第一級之N型MOS電晶體294的源極;其第一級之N型MOS電晶體294的源極係耦接至接地端(Vss)及其N型MOS電晶體296之源極。FIG. 2F is a circuit diagram of a sixth type of pass/no pass switch according to an embodiment of the present application. The sixth type pass/fail switch 258 may include a pair of multi-level tri-state buffers or switching buffers, similar to the pair of multi-level tri-state buffers 292 as depicted in Figure 2E. For the elements indicated by the same reference numerals shown in FIGS. 2E and 2F, the element shown in FIG. 2F may refer to the description of the element in FIG. 2E. The differences between the circuits shown in FIG. 2E and FIG. 2F are as follows: Please refer to FIG. 2F, for each multi-level tri-state buffer 292, the drain of the P-type MOS transistor 295 is is coupled to the source of its second-stage P-type MOS transistor 293, but not coupled to its first-stage P-type MOS transistor 293; its first-stage P-type MOS transistor 293 The source of is coupled to the power supply terminal (Vcc) and the source of the P-type MOS transistor 295 . For each multi-stage tri-state buffer 292, the drain of its N-type MOS transistor 296 is coupled to the source of its second stage of N-type MOS transistor 294, but not to its first stage The source of the N-type MOS transistor 294; the source of the first-stage N-type MOS transistor 294 is coupled to the ground terminal (Vss) and the source of the N-type MOS transistor 296.

由通過/不通開關所組成之交叉點開關之說明Description of crosspoint switch consisting of pass/fail switches

(1)第一型交叉點開關(1) Type 1 crosspoint switch

第3A圖係為根據本申請案之實施例所繪示之由六個通過/不通開關所組成之第一型交叉點開關之電路圖。請參見第3A圖,六個通過/不通開關258可組成第一型交叉點開關379,其中每一通過/不通開關258可以是如第2A圖至第2F圖所繪示之第一型至第六型通過/不通開關之任一型。第一型交叉點開關379可以包括四個接點N23至N26,四個接點N23至N26之每一個可以透過六個通過/不通開關258之其中一個耦接四個接點N23至N26之另一個。第一型至第六型通過/不通開關之任一型均可應用在第3A圖所繪示之通過/不通開關258,其節點N21及N22之其中一個係耦接至四個接點N23至N26之其中一個,其節點N21及N22之另一個係耦接至四個接點N23至N26之另一個。舉例而言,第一型交叉點開關379之接點N23適於透過其該些六個通過/不通開關258其中第一個耦接至接點N24,第一個之該些六個通過/不通開關258係位在接點N23及接點N24之間,以及/或者第一型交叉點開關379之接點N23適於透過其該些六個通過/不通開關258其中第二個耦接至接點N25,第二個之該些六個通過/不通開關258係位在接點N23及接點N25之間,以及/或者第一型交叉點開關379之接點N23適於透過其該些六個通過/不通開關258其中第三個耦接至接點N26,第三個之該些六個通過/不通開關258係位在接點N23及接點N26之間。FIG. 3A is a circuit diagram of a first-type crosspoint switch composed of six pass/no switches according to an embodiment of the present application. Referring to FIG. 3A, six pass/no switches 258 can form a first-type crosspoint switch 379, wherein each pass/no switch 258 can be of the first to the first type as shown in FIGS. 2A to 2F. Any of the six types of pass/fail switches. The first-type cross-point switch 379 may include four contacts N23 to N26 , and each of the four contacts N23 to N26 may be coupled to the other of the four contacts N23 to N26 through one of the six pass/no switches 258 . One. Any of the first to sixth types of pass/no switches can be applied to the pass/no switch 258 shown in FIG. 3A, and one of the nodes N21 and N22 is coupled to the four contacts N23 to One of the nodes N26 and the other of the nodes N21 and N22 are coupled to the other of the four nodes N23 to N26. For example, the contact N23 of the first-type cross-point switch 379 is adapted to be coupled to the contact N24 through the six pass/no pass switches 258 of the first one, and the six pass/no pass switches of the first one The switch 258 is located between the contact N23 and the contact N24, and/or the contact N23 of the first-type cross-point switch 379 is adapted to be coupled to the contact through the second one of the six pass/no switches 258 thereof. Point N25, the six pass/fail switches 258 of the second one are located between contact N23 and contact N25, and/or contact N23 of the first type crosspoint switch 379 is adapted to pass through its six The third of the pass/no switches 258 is coupled to the contact N26, and the six pass/no switches 258 of the third are located between the contact N23 and the contact N26.

(2)第二型交叉點開關(2) Type 2 crosspoint switch

第3B圖係為根據本申請案之實施例所繪示之由四個通過/不通開關所組成之第二型交叉點開關之電路圖。請參見第3B圖,四個通過/不通開關258可組成第二型交叉點開關379,其中每一通過/不通開關258可以是如第2A圖至第2F圖所繪示之第一型至第六型通過/不通開關之任一型。第二型交叉點開關379可以包括四個接點N23至N26,四個接點N23至N26之每一個可以透過六個通過/不通開關258之其中兩個耦接四個接點N23至N26之另一個。第二型交叉點開關379之中心節點適於透過其四個通過/不通開關258分別耦接至其四個接點N23至N26,第一型至第六型通過/不通開關之任一型均可應用在第3B圖所繪示之通過/不通開關258,其節點N21及N22之其中一個係耦接至四個接點N23至N26之其中一個,其節點N21及N22之另一個係耦接至第二型交叉點開關379之中心節點。舉例而言,第二型交叉點開關379之接點N23適於透過其左側及上側的通過/不通開關258耦接至接點N24、透過其左側及右側的通過/不通開關258耦接至接點N25、以及/或者透過其左側及下側的通過/不通開關258耦接至接點N26。FIG. 3B is a circuit diagram of a second-type crosspoint switch composed of four pass/fail switches according to an embodiment of the present application. Referring to FIG. 3B, four pass/no switches 258 can form a second-type crosspoint switch 379, wherein each pass/no switch 258 can be of the first to second types as shown in FIGS. 2A to 2F. Any of the six types of pass/fail switches. The second-type crosspoint switch 379 may include four contacts N23 to N26, each of which may be coupled to one of the four contacts N23 to N26 through two of the six pass/no switches 258 another. The central node of the second-type cross-point switch 379 is adapted to be coupled to its four contacts N23 to N26 through its four pass/no switches 258 , and any of the first to sixth type pass/no switches are It can be applied to the pass/fail switch 258 shown in FIG. 3B, one of the nodes N21 and N22 is coupled to one of the four contacts N23 to N26, and the other of the nodes N21 and N22 is coupled to to the central node of the second type crosspoint switch 379 . For example, the contact N23 of the second-type cross-point switch 379 is adapted to be coupled to the contact N24 through the pass/no-pass switches 258 on the left and upper sides thereof, and to the contact point N24 through the pass/no-pass switches 258 on the left and right sides thereof. Point N25 is coupled to contact N26, and/or via pass/fail switches 258 on the left and underside thereof.

多工器(multiplexer(MUXER))之說明Description of multiplexer (MUXER)

(1)第一型多工器(1) The first type multiplexer

第4A圖係為根據本申請案之實施例所繪示之第一型多工器之電路圖。請參見第4A圖,第一型多工器211具有並聯設置的第一組輸入及並聯設置的第二組輸入,且可根據其第二組輸入之組合從其第一組輸入中選擇其一作為其輸出。舉例而言,第一型多工器211可以具有並聯設置的16個輸入D0-D15作為第一組輸入,及並聯設置的4個輸入A0-A3作為第二組輸入。第一型多工器211可根據其第二組之4個輸入A0-A3之組合從其第一組之16個輸入D0-D15中選擇其一作為其輸出Dout。FIG. 4A is a circuit diagram of a first-type multiplexer according to an embodiment of the present application. Referring to FIG. 4A, the first-type multiplexer 211 has a first group of inputs arranged in parallel and a second group of inputs arranged in parallel, and can select one of the first group of inputs according to the combination of the second group of inputs as its output. For example, the first-type multiplexer 211 may have 16 inputs D0-D15 arranged in parallel as the first group of inputs, and 4 inputs A0-A3 arranged in parallel as the second group of inputs. The first-type multiplexer 211 can select one of the 16 inputs D0-D15 of the first group as its output Dout according to the combination of the 4 inputs A0-A3 of the second group.

請參見第4A圖,第一型多工器211可以包括逐級耦接的多級三態緩衝器,例如為四級的三態緩衝器215、216、217及218。第一型多工器211可以具有八對共16個平行設置的三態緩衝器215設在第一級,其每一個的第一輸入係耦接至第一組之16個輸入D0-D15之其中之一,其每一個的第二輸入係與第二組之輸入A3有關。在第一級中八對共16個三態緩衝器215之每一個可以根據其第二輸入使其開啟或關閉,以控制是否要將其第一輸入傳送至其輸出。第一型多工器211可以包括一反向器219,其輸入係耦接至第二組之輸入A3,反向器219適於將其輸入反向而形成其輸出。在第一級中每一對三態緩衝器215之其中一個可以根據耦接至反向器219之輸入及輸出其中之一之其第二輸入切換成開啟狀態,使其第一輸入傳送至其輸出;在第一級中每一對三態緩衝器215之其中另一個可以根據耦接至反向器219之輸入及輸出其中另一之其第二輸入切換成關閉狀態,使其第一輸入不會傳送至其輸出。在第一級之每一對三態緩衝器215中其輸出係相互耦接。舉例而言,在第一級中最上面一對的三態緩衝器215中的上面一個其第一輸入係耦接至第一組之輸入D0,而其第二輸入係耦接至反向器219之輸出;在第一級中最上面一對的三態緩衝器215中的下面一個其第一輸入係耦接至第一組之輸入D1,而其第二輸入係耦接至反向器219之輸入。在第一級中最上面一對的三態緩衝器215中的上面一個可根據其第二輸入切換成開啟狀態,使其第一輸入傳送至其輸出;在第一級中最上面一對的三態緩衝器215中的下面一個可根據其第二輸入切換成關閉狀態,使其第一輸入不會傳送至其輸出。因此,在第一級中八對的三態緩衝器215之每一對係根據分別耦接至反向器219之輸入及輸出的其兩個第二輸入以控制讓其兩個第一輸入之其中一個傳送至其輸出,而其輸出會耦接至第二級三態緩衝器216之其中一個之第一輸入。Referring to FIG. 4A , the first-type multiplexer 211 may include multi-stage tri-state buffers coupled in stages, such as four-stage tri-state buffers 215 , 216 , 217 and 218 . The first-type multiplexer 211 may have eight pairs of 16 parallel-arranged tri-state buffers 215 disposed in the first stage, the first input of each of which is coupled to one of the 16 inputs D0-D15 of the first group. One of them, the second input of each of which is related to the input A3 of the second group. Each of the eight pairs of 16 tri-state buffers 215 in the first stage can be turned on or off according to its second input to control whether its first input is to be passed to its output. The first-type multiplexer 211 may include an inverter 219, the input of which is coupled to the input A3 of the second group, and the inverter 219 is adapted to invert its input to form its output. In the first stage, one of each pair of tri-state buffers 215 can be switched on according to its second input coupled to one of the input and output of the inverter 219, so that its first input is passed to its output; in the first stage, the other of each pair of tri-state buffers 215 can be switched to the off state according to the input and output of the other one coupled to the inverter 219, making its first input Not sent to its output. The outputs of each pair of tri-state buffers 215 in the first stage are coupled to each other. For example, the upper one of the uppermost pair of tri-state buffers 215 in the first stage has its first input coupled to the first group's input D0 and its second input coupled to the inverter The output of 219; the lower one of the uppermost pair of tri-state buffers 215 in the first stage has its first input coupled to the first group's input D1 and its second input coupled to the inverter 219 input. The upper one of the uppermost pair of tri-state buffers 215 in the first stage can be switched on according to its second input, causing its first input to pass to its output; the uppermost pair in the first stage The lower one of the tri-state buffers 215 can be switched off according to its second input so that its first input is not passed to its output. Thus, each of the eight pairs of tri-state buffers 215 in the first stage is controlled to have two of its first inputs based on its two second inputs coupled to the input and output of the inverter 219, respectively. One of them is sent to its output, which is coupled to the first input of one of the second stage tri-state buffers 216 .

請參見第4A圖,第一型多工器211可以具有四對共8個平行設置的三態緩衝器216設在第二級,其每一個的第一輸入係耦接至在第一級之三態緩衝器215其中一對之輸出,其每一個的第二輸入係與第二組之輸入A2有關。在第二級中四對共8個三態緩衝器216之每一個可以根據其第二輸入使其開啟或關閉,以控制是否要將其第一輸入傳送至其輸出。第一型多工器211可以包括一反向器220,其輸入係耦接至第二組之輸入A2,反向器220適於將其輸入反向而形成其輸出。在第二級中每一對三態緩衝器216之其中一個可以根據耦接至反向器220之輸入及輸出其中之一之其第二輸入切換成開啟狀態,使其第一輸入傳送至其輸出;在第二級中每一對三態緩衝器216之其中另一個可以根據耦接至反向器220之輸入及輸出其中另一之其第二輸入切換成關閉狀態,使其第一輸入不會傳送至其輸出。在第二級之每一對三態緩衝器216中其輸出係相互耦接。舉例而言,在第二級中最上面一對的三態緩衝器216中的上面一個其第一輸入係耦接至在第一級中最上面一對的三態緩衝器215之輸出,而其第二輸入係耦接至反向器220之輸出;在第二級中最上面一對的三態緩衝器216中的下面一個其第一輸入係耦接至在第一級中次上面一對的三態緩衝器215之輸出,而其第二輸入係耦接至反向器220之輸入。在第二級中最上面一對的三態緩衝器216中的上面一個可根據其第二輸入切換成開啟狀態,使其第一輸入傳送至其輸出;在第二級中最上面一對的三態緩衝器216中的下面一個可根據其第二輸入切換成關閉狀態,使其第一輸入不會傳送至其輸出。因此,在第二級中四對的三態緩衝器216之每一對係根據分別耦接至反向器220之輸入及輸出的其兩個第二輸入以控制讓其兩個第一輸入之其中一個傳送至其輸出,而其輸出會耦接至第三級三態緩衝器217之其中一個之第一輸入。Referring to FIG. 4A, the first-type multiplexer 211 may have four pairs of 8 tri-state buffers 216 arranged in parallel in the second stage, the first input of each of which is coupled to the first input in the first stage The tri-state buffer 215 has a pair of outputs, and the second input of each is related to the input A2 of the second group. Each of the four pairs of eight tri-state buffers 216 in the second stage can be turned on or off according to its second input to control whether its first input is to be passed to its output. The first-type multiplexer 211 may include an inverter 220, the input of which is coupled to the input A2 of the second group, and the inverter 220 is adapted to invert its input to form its output. In the second stage, one of each pair of tri-state buffers 216 can be switched on according to its second input coupled to one of the inputs and outputs of the inverter 220, passing its first input to its second input. Output; in the second stage, the other of each pair of tri-state buffers 216 can be switched to the off state according to the input and output of the other of the pair coupled to the inverter 220, making its first input Not sent to its output. The outputs of each pair of tri-state buffers 216 in the second stage are coupled to each other. For example, the upper one of the uppermost pair of tri-state buffers 216 in the second stage has its first input coupled to the output of the uppermost pair of tri-state buffers 215 in the first stage, and Its second input is coupled to the output of inverter 220; the lower one of the uppermost pair of tri-state buffers 216 in the second stage has its first input coupled to the next uppermost one in the first stage The output of the pair of tri-state buffers 215 and its second input are coupled to the input of the inverter 220 . The upper one of the uppermost pair of tri-state buffers 216 in the second stage can be switched on according to its second input, causing its first input to pass to its output; the uppermost pair in the second stage The next one of the tri-state buffers 216 can be switched off according to its second input so that its first input is not passed to its output. Thus, each of the four pairs of tri-state buffers 216 in the second stage is controlled to have two of its first inputs according to its two second inputs coupled to the input and output of the inverter 220, respectively. One of them is sent to its output, which is coupled to the first input of one of the third stage tri-state buffers 217 .

請參見第4A圖,第一型多工器211可以具有兩對共4個平行設置的三態緩衝器217設在第三級,其每一個的第一輸入係耦接至在第二級之三態緩衝器216其中一對之輸出,其每一個的第二輸入係與第二組之輸入A1有關。在第三級中兩對共4個三態緩衝器21之每一個可以根據其第二輸入使其開啟或關閉,以控制是否要將其第一輸入傳送至其輸出。第一型多工器211可以包括一反向器207,其輸入係耦接至第二組之輸入A1,反向器207適於將其輸入反向而形成其輸出。在第三級中每一對三態緩衝器217之其中一個可以根據耦接至反向器207之輸入及輸出其中之一之其第二輸入切換成開啟狀態,使其第一輸入傳送至其輸出;在第三級中每一對三態緩衝器217之其中另一個可以根據耦接至反向器207之輸入及輸出其中另一之其第二輸入切換成關閉狀態,使其第一輸入不會傳送至其輸出。在第三級之每一對三態緩衝器217中其輸出係相互耦接。舉例而言,在第三級中上面一對的三態緩衝器217中的上面一個其第一輸入係耦接至在第二級中最上面一對的三態緩衝器216之輸出,而其第二輸入係耦接至反向器207之輸出;在第三級中上面一對的三態緩衝器217中的下面一個其第一輸入係耦接至在第二級中次上面一對的三態緩衝器216之輸出,而其第二輸入係耦接至反向器207之輸入。在第三級中上面一對的三態緩衝器217中的上面一個可根據其第二輸入切換成開啟狀態,使其第一輸入傳送至其輸出;在第三級中上面一對的三態緩衝器217中的下面一個可根據其第二輸入切換成關閉狀態,使其第一輸入不會傳送至其輸出。因此,在第三級中兩對的三態緩衝器217之每一對係根據分別耦接至反向器207之輸入及輸出的其兩個第二輸入以控制讓其兩個第一輸入之其中一個傳送至其輸出,而其輸出會耦接至第四級三態緩衝器218之第一輸入。Referring to FIG. 4A , the first-type multiplexer 211 may have two pairs of four tri-state buffers 217 arranged in parallel in the third stage, and the first input of each of them is coupled to the second stage. The tri-state buffer 216 has a pair of outputs, and the second input of each is related to the input A1 of the second group. Each of the two pairs of four tri-state buffers 21 in the third stage can be turned on or off according to its second input to control whether its first input is to be passed to its output. The first-type multiplexer 211 may include an inverter 207, the input of which is coupled to the input A1 of the second group, and the inverter 207 is adapted to invert its input to form its output. In the third stage, one of each pair of tri-state buffers 217 can be switched on according to its second input coupled to one of the input and output of the inverter 207, so that its first input is passed to its Output; in the third stage, the other of each pair of tri-state buffers 217 can be switched to the off state according to the second input of the other of the input and output coupled to the inverter 207, making its first input Not sent to its output. The outputs of each pair of tri-state buffers 217 in the third stage are coupled to each other. For example, the upper one of the upper pair of tri-state buffers 217 in the third stage has its first input coupled to the output of the uppermost pair of tri-state buffers 216 in the second stage, and its The second input is coupled to the output of inverter 207; the lower one of the upper pair of tri-state buffers 217 in the third stage has its first input coupled to the next upper pair in the second stage The output of tri-state buffer 216 and its second input are coupled to the input of inverter 207 . The upper one of the upper pair of tri-state buffers 217 in the third stage can be switched on according to its second input, causing its first input to pass to its output; in the third stage the upper pair of tri-stated The lower one of the buffers 217 can be switched off according to its second input so that its first input does not pass to its output. Therefore, in the third stage, each pair of the two pairs of tri-state buffers 217 is controlled so that the two first inputs of the two pairs of the three-state buffers 217 are respectively coupled to the input and output of the inverter 207 according to their two second inputs. One of them is sent to its output, which is coupled to the first input of the fourth stage tri-state buffer 218 .

請參見第4A圖,第一型多工器211可以具有一對共2個平行設置的三態緩衝器218設在第四級,其每一個的第一輸入係耦接至在第三級之三態緩衝器217其中一對之輸出,其每一個的第二輸入係與第二組之輸入A0有關。在第四級中一對共2個三態緩衝器218之每一個可以根據其第二輸入使其開啟或關閉,以控制是否要將其第一輸入傳送至其輸出。第一型多工器211可以包括一反向器208,其輸入係耦接至第二組之輸入A0,反向器208適於將其輸入反向而形成其輸出。在第四級中該對三態緩衝器218之其中一個可以根據耦接至反向器208之輸入及輸出其中之一之其第二輸入切換成開啟狀態,使其第一輸入傳送至其輸出;在第四級中該對三態緩衝器218之其中另一個可以根據耦接至反向器208之輸入及輸出其中另一之其第二輸入切換成關閉狀態,使其第一輸入不會傳送至其輸出。在第四級之該對三態緩衝器218中其輸出係相互耦接。舉例而言,在第四級中該對三態緩衝器218中的上面一個其第一輸入係耦接至在第三級中上面一對的三態緩衝器217之輸出,而其第二輸入係耦接至反向器208之輸出;在第四級中該對三態緩衝器218中的下面一個其第一輸入係耦接至在第三級中下面一對的三態緩衝器217之輸出,而其第二輸入係耦接至反向器208之輸入。在第四級中該對的三態緩衝器218中的上面一個可根據其第二輸入切換成開啟狀態,使其第一輸入傳送至其輸出;在第四級中該對的三態緩衝器218中的下面一個可根據其第二輸入切換成關閉狀態,使其第一輸入不會傳送至其輸出。因此,在第四級中該對的三態緩衝器218係根據分別耦接至反向器208之輸入及輸出的其兩個第二輸入以控制讓其兩個第一輸入之其中一個傳送至其輸出,作為第一型多工器211之輸出Dout。Referring to FIG. 4A, the first-type multiplexer 211 may have a pair of two tri-state buffers 218 arranged in parallel in the fourth stage, and the first input of each of which is coupled to the third stage The tri-state buffer 217 has a pair of outputs, and the second input of each is related to the input A0 of the second group. In the fourth stage each of a pair of 2 tri-state buffers 218 can be turned on or off according to its second input to control whether its first input is to be passed to its output. The first-type multiplexer 211 may include an inverter 208, the input of which is coupled to the input A0 of the second group, and the inverter 208 is adapted to invert its input to form its output. In the fourth stage, one of the pair of tri-state buffers 218 can be switched on according to its second input coupled to one of the input and output of the inverter 208, passing its first input to its output ; In the fourth stage, the other of the pair of tri-state buffers 218 can be switched to the off state according to the second input of the other of the input and output of the pair coupled to the inverter 208, so that its first input does not sent to its output. The outputs of the pair of tri-state buffers 218 in the fourth stage are coupled to each other. For example, the upper one of the pair of tri-state buffers 218 in the fourth stage has its first input coupled to the output of the upper pair of tri-state buffers 217 in the third stage, and its second input is coupled to the output of inverter 208; in the fourth stage the lower one of the pair of tri-state buffers 218 has its first input coupled to the other of the lower pair of tri-state buffers 217 in the third stage output, and its second input is coupled to the input of the inverter 208 . In the fourth stage, the upper one of the tri-state buffers 218 of the pair can be switched on according to its second input, causing its first input to pass to its output; in the fourth stage the pair of tri-state buffers The lower one of 218 can be switched off according to its second input so that its first input does not pass to its output. Therefore, in the fourth stage, the pair of tri-state buffers 218 is controlled to have one of its two first inputs sent to the Its output is used as the output Dout of the first type multiplexer 211 .

第4B圖係為根據本申請案之實施例所繪示之第一型多工器之三態緩衝器之電路圖。請參見第4A圖及第4B圖,每一該些三態緩衝器215、216、217及218可以包括(1)一P型MOS電晶體231,適於形成一通道,該通道之一端係位在所述每一該些三態緩衝器215、216、217及218之第一輸入,該通道之另一端係位在所述每一該些三態緩衝器215、216、217及218之輸出;(2)一N型MOS電晶體232,適於形成一通道,該通道之一端係位在所述每一該些三態緩衝器215、216、217及218之第一輸入,該通道之另一端係位在所述每一該些三態緩衝器215、216、217及218之輸出;以及(3)一反向器233,其輸入係耦接至N型MOS電晶體232之閘極且位在所述每一該些三態緩衝器215、216、217及218之第二輸入,反向器233適於將其輸入反向而形成其輸出,反向器233之輸出係耦接至P型MOS電晶體231之閘極。針對每一該些三態緩衝器215、216、217及218,當其反向器233之輸入的邏輯值係為“1”時,其P型及N型MOS電晶體231及232均切換為開啟的狀態,使其第一輸入可以經由其P型及N型MOS電晶體231及232之通道傳送至其輸出;當其反向器233之輸入的邏輯值係為“0”時,其P型及N型MOS電晶體231及232均切換為關閉的狀態,此時P型及N型MOS電晶體231及232並不會形成通道,使其第一輸入並不會傳送至其輸出。在第一級中每對的兩個三態緩衝器215其分別的兩個反向器233之分別的兩個輸入係分別地耦接至與第二組之輸入A3有關的反向器219之輸出及輸入。在第二級中每對的兩個三態緩衝器216其分別的兩個反向器233之分別的兩個輸入係分別地耦接至與第二組之輸入A2有關的反向器220之輸出及輸入。在第三級中每對的兩個三態緩衝器217其分別的兩個反向器233之分別的兩個輸入係分別地耦接至與第二組之輸入A1有關的反向器207之輸出及輸入。在第四級中該對的兩個三態緩衝器218其分別的兩個反向器233之分別的兩個輸入係分別地耦接至與第二組之輸入A0有關的反向器208之輸出及輸入。FIG. 4B is a circuit diagram of the tri-state buffer of the first-type multiplexer according to the embodiment of the present application. Please refer to FIGS. 4A and 4B, each of the tri-state buffers 215, 216, 217 and 218 may include (1) a P-type MOS transistor 231, suitable for forming a channel, one end of the channel is connected to At the first input of each of the tri-state buffers 215, 216, 217 and 218, the other end of the channel is at the output of each of the tri-state buffers 215, 216, 217 and 218 (2) an N-type MOS transistor 232, suitable for forming a channel, one end of the channel is located at the first input of each of the three-state buffers 215, 216, 217 and 218, the channel The other end is located at the output of each of the tri-state buffers 215 , 216 , 217 and 218 ; and (3) an inverter 233 whose input is coupled to the gate of the N-type MOS transistor 232 And at the second input of each of the tri-state buffers 215, 216, 217 and 218, the inverter 233 is adapted to invert its input to form its output, the output of which is coupled to to the gate of the P-type MOS transistor 231 . For each of the tri-state buffers 215, 216, 217 and 218, when the logic value of the input of the inverter 233 is "1", the P-type and N-type MOS transistors 231 and 232 are switched to In the open state, its first input can be transmitted to its output through the channels of its P-type and N-type MOS transistors 231 and 232; when the logic value of the input of its inverter 233 is "0", its P Both the P-type and N-type MOS transistors 231 and 232 are switched to the off state. At this time, the P-type and N-type MOS transistors 231 and 232 do not form a channel, so that the first input is not transmitted to its output. The respective two inputs of the respective two inverters 233 of the two tri-state buffers 215 of each pair in the first stage are respectively coupled to the respective two inputs of the inverters 219 associated with the input A3 of the second group. output and input. In the second stage, the respective two inputs of the respective two inverters 233 of each pair of the two tri-state buffers 216 are respectively coupled to the inverter 220 associated with the input A2 of the second group. output and input. In the third stage, the respective two inputs of the respective two inverters 233 of the two tri-state buffers 217 of each pair are respectively coupled to the inverters 207 associated with the input A1 of the second group. output and input. In the fourth stage, the respective two inputs of the respective two inverters 233 of the two tri-state buffers 218 of the pair are respectively coupled to the respective two of the inverters 208 associated with the input A0 of the second group output and input.

據此,第一型多工器211可以根據其第二組之輸入A0-A3的組合從其第一組之輸入D0-D15中選擇其一作為其輸出Dout。Accordingly, the first-type multiplexer 211 can select one of the inputs D0-D15 of the first group as its output Dout according to the combination of the inputs A0-A3 of the second group.

(2)第二型多工器(2) The second type of multiplexer

第4C圖係為根據本申請案之實施例所繪示之第二型多工器之電路圖。請參見第4C圖,第二型多工器211係類似如第4A圖及第4B圖所描述之第一型多工器211,但是還增設如第2C圖所描述之第三型通過/不通開關292,其位在節點N21處之輸入會耦接至在最後一級(例如為第四級)中該對的兩個三態緩衝器218之輸出。針對繪示於第2C圖、第4A圖、第4B圖及第4C圖中的相同標號所指示的元件,繪示於第4C圖中的該元件可以參考該元件於第2C圖、第4A圖或第4B圖中的說明。據此,請參見第4C圖,第三型通過/不通開關258可以將其位在節點N21處之輸入放大而形成其位在節點N22處之輸出,作為第二型多工器211之輸出Dout。FIG. 4C is a circuit diagram of a second-type multiplexer according to an embodiment of the present application. Please refer to FIG. 4C, the second-type multiplexer 211 is similar to the first-type multiplexer 211 as described in FIG. 4A and FIG. 4B, but also adds a third-type pass/fail as described in FIG. 2C The input of switch 292 at node N21 is coupled to the output of the pair of two tri-state buffers 218 in the last stage (eg, the fourth stage). For the elements shown in Figures 2C, 4A, 4B and 4C indicated by the same reference numerals, the elements shown in Figure 4C may refer to the elements in Figures 2C, 4A or the description in Figure 4B. Accordingly, please refer to FIG. 4C , the third-type pass/fail switch 258 can amplify its input at node N21 to form its output at node N22 as the output Dout of the second-type multiplexer 211 .

據此,第二型多工器211可以根據其第二組之輸入A0-A3的組合從其第一組之輸入D0-D15中選擇其一作為其輸出Dout。Accordingly, the second-type multiplexer 211 can select one of the inputs D0-D15 of the first group as its output Dout according to the combination of the inputs A0-A3 of the second group.

(3)第三型多工器(3) The third type of multiplexer

第4D圖係為根據本申請案之實施例所繪示之第三型多工器之電路圖。請參見第4D圖,第三型多工器211係類似如第4A圖及第4B圖所描述之第一型多工器211,但是還增設如第2D圖所描述之第四型通過/不通開關258,其位在節點N21處之輸入會耦接至在最後一級(例如為第四級)中該對的兩個三態緩衝器218之輸出。針對繪示於第2C圖、第2D圖、第4A圖、第4B圖、第4C圖及第4D圖中的相同標號所指示的元件,繪示於第4D圖中的該元件可以參考該元件於第2C圖、第2D圖、第4A圖、第4B圖或第4C圖中的說明。據此,請參見第4D圖,第四型通過/不通開關258可以將其位在節點N21處之輸入放大而形成其位在節點N22處之輸出,作為第三型多工器211之輸出Dout。FIG. 4D is a circuit diagram of a third-type multiplexer according to an embodiment of the present application. Please refer to FIG. 4D, the third-type multiplexer 211 is similar to the first-type multiplexer 211 as described in FIG. 4A and FIG. 4B, but also adds the fourth-type pass/fail as described in FIG. 2D The input of switch 258 at node N21 is coupled to the output of the pair of two tri-state buffers 218 in the last stage (eg, the fourth stage). For the element indicated by the same reference number shown in Fig. 2C, Fig. 2D, Fig. 4A, Fig. 4B, Fig. 4C and Fig. 4D, the element shown in Fig. 4D may refer to the element Description in Figure 2C, Figure 2D, Figure 4A, Figure 4B, or Figure 4C. Accordingly, referring to FIG. 4D, the fourth type pass/fail switch 258 can amplify its input at node N21 to form its output at node N22 as the output Dout of the third type multiplexer 211 .

據此,第三型多工器211可以根據其第二組之輸入A0-A3的組合從其第一組之輸入D0-D15中選擇其一作為其輸出Dout。Accordingly, the third-type multiplexer 211 can select one of the inputs D0-D15 of the first group as its output Dout according to the combination of the inputs A0-A3 of the second group.

此外,第一型、第二型或第三型多工器211之第一組之平行設置的輸入其數目係為2的n次方個,而第二組之平行設置的輸入其數目係為n個,該數目n可以是任何大於或等於2的整數,例如為介於2至64之間。第4E圖係為根據本申請案之實施例所繪示之多工器之電路圖。在本實施例中,請參見第4E圖,如第4A圖、第4C圖或第4D圖所描述之第一型、第二型或第三型多工器211可以修改為具有8個的第二組之輸入A0-A7及256個(亦即為2的8次方個)的第一組之輸入D0-D255(亦即為第二組之輸入A0-A7的所有組合所對應之結果值或編程碼)。第一型、第二型或第三型多工器211可以包括八級逐級耦接的三態緩衝器或是開關緩衝器,其每一個具有如第4B圖所繪示之架構。在第一級中平行設置的三態緩衝器或是開關緩衝器之數目可以是256個,其每一個的第一輸入可以耦接至多工器211之第一組之256個輸入D0-D255之其中之一,且根據與多工器211之第二組之輸入A7有關之其每一個的第二輸入可以使其每一個開啟或關閉,以控制是否要將其第一輸入傳送至其輸出。在第二級至第七級中平行設置的三態緩衝器或是開關緩衝器之每一個,其第一輸入可以耦接至該每一個之前一級的三態緩衝器或是開關緩衝器之輸出,且根據分別與多工器211之第二組之輸入A6-A1其中之一有關之其每一個的第二輸入可以使其每一個開啟或關閉,以控制是否要將其第一輸入傳送至其輸出。在第八級中平行設置的三態緩衝器或是開關緩衝器之每一個,其第一輸入可以耦接至第七級的三態緩衝器或是開關緩衝器之輸出,且根據與多工器211之第二組之輸入A0有關之其每一個的第二輸入可以使其每一個開啟或關閉,以控制是否要將其第一輸入傳送至其輸出。此外,如第4C圖或第4D圖所描述之通過/不通開關292可以增設於其中,亦即將其輸入耦接至在第八級中該對三態緩衝器之輸出,並將其輸入放大而形成其輸出,作為多工器211之輸出Dout。In addition, the number of parallel-arranged inputs of the first group of the first-type, second-type or third-type multiplexer 211 is 2 to the nth power, and the number of parallel-arranged inputs of the second group is n, the number n can be any integer greater than or equal to 2, for example, between 2 and 64. FIG. 4E is a circuit diagram of a multiplexer according to an embodiment of the present application. In this embodiment, please refer to FIG. 4E, the first type, second type or third type multiplexer 211 as described in FIG. 4A, FIG. 4C or FIG. 4D can be modified to have eight Two sets of inputs A0-A7 and 256 (that is, 2 to the 8th power) of the first set of inputs D0-D255 (that is, the result values corresponding to all combinations of the second set of inputs A0-A7) or programming code). The first-type, second-type or third-type multiplexers 211 may include eight-stage cascade-coupled tri-state buffers or switching buffers, each of which has a structure as shown in FIG. 4B . The number of tri-state buffers or switch buffers arranged in parallel in the first stage may be 256, the first input of each of which may be coupled to one of the 256 inputs D0-D255 of the first group of the multiplexer 211 One of them, and can each be turned on or off according to the second input of each of them in relation to the second set of inputs A7 of the multiplexer 211, to control whether its first input is to be passed to its output. Each of the tri-state buffers or switch buffers arranged in parallel in the second to seventh stages, the first input of which can be coupled to the output of the tri-state buffer or the switch buffer of each preceding stage , and each of the multiplexer 211 can be turned on or off according to the second input of each of the inputs A6-A1 of the second group of the multiplexer 211, respectively, to control whether to transmit its first input to its output. In each of the tri-state buffers or switch buffers arranged in parallel in the eighth stage, the first input can be coupled to the output of the tri-state buffer or the switch buffer of the seventh stage, and according to the multiplexing The second input of the second set of inputs A0 of the device 211 can each be turned on or off to control whether its first input is to be passed to its output. In addition, a pass/no switch 292 as described in FIG. 4C or FIG. 4D can be added therein, that is, its input is coupled to the output of the pair of tri-state buffers in the eighth stage, and its input is amplified to Its output is formed as the output Dout of the multiplexer 211 .

舉例而言,第4F圖係為根據本申請案之實施例所繪示之多工器之電路圖。請參見第4F圖,第二型多工器211包括第一組之平行設置的輸入D0、D1及D3及第二組之平行設置的輸入A0及A1。第二型多工器211可以包括逐級耦接的二級三態緩衝器217及218,第二型多工器211可以具有三個平行設置的三態緩衝器217設在第一級,其每一個的第一輸入係耦接至第一組之3個輸入D0-D2之其中之一,其每一個的第二輸入係與第二組之輸入A1有關。在第一級中共3個三態緩衝器217之每一個可以根據其第二輸入使其開啟或關閉,以控制是否要將其第一輸入傳送至其輸出。第二型多工器211可以包括反向器207,其輸入係耦接至第二組之輸入A1,反向器207適於將其輸入反向而形成其輸出。在第一級中上面一對的三態緩衝器217之其中一個可以根據耦接至反向器207之輸入及輸出其中之一之其第二輸入切換成開啟狀態,使其第一輸入傳送至其輸出;在第一級中上面一對的三態緩衝器217之其中另一個可以根據耦接至反向器207之輸入及輸出其中另一之其第二輸入切換成關閉狀態,使其第一輸入不會傳送至其輸出。在第一級之上面一對的三態緩衝器217中其輸出係相互耦接。因此,在第一級中上面一對的三態緩衝器217係根據分別耦接至反向器217之輸入及輸出的其兩個第二輸入以控制讓其兩個第一輸入之其中一個傳送至其輸出,而其輸出會耦接至第二級三態緩衝器218之其中一個之第一輸入。在第一級中下面的三態緩衝器217係根據耦接至反向器207之輸出的其第二輸入,以控制是否要將其第一輸入傳送至其輸出,而其輸出會耦接至第二級三態緩衝器218之其中另一個之第一輸入。For example, FIG. 4F is a circuit diagram of a multiplexer depicted in accordance with an embodiment of the present application. Referring to FIG. 4F , the second-type multiplexer 211 includes a first group of parallel-arranged inputs D0 , D1 and D3 and a second group of parallel-arranged inputs A0 and A1 . The second-type multiplexer 211 may include two-stage tri-state buffers 217 and 218 coupled in stages, and the second-type multiplexer 211 may have three parallel-arranged tri-state buffers 217 disposed in the first stage, which The first input of each is coupled to one of the three inputs D0-D2 of the first group, and the second input of each is related to the input A1 of the second group. Each of the three tri-state buffers 217 in the first stage can be turned on or off according to its second input to control whether its first input is to be passed to its output. The second type of multiplexer 211 may include an inverter 207, the input of which is coupled to the input A1 of the second group, and the inverter 207 is adapted to invert its input to form its output. In the first stage, one of the upper pair of tri-state buffers 217 can be switched on according to its second input coupled to one of the inputs and outputs of the inverter 207 so that its first input is sent to Its output; in the first stage, the other one of the tri-state buffers 217 of the upper pair can be switched to the off state according to the second input of the other one of the inputs and outputs coupled to the inverter 207, making its first An input is not routed to its output. The outputs of the pair of tri-state buffers 217 above the first stage are coupled to each other. Therefore, the upper pair of tri-state buffers 217 in the first stage is controlled to transmit one of its two first inputs according to its two second inputs coupled to the input and output of the inverter 217 respectively to its output, which is coupled to the first input of one of the second-stage tri-state buffers 218 . The lower tri-state buffer 217 in the first stage controls whether its first input is to be passed to its output according to its second input coupled to the output of the inverter 207, and its output is coupled to The first input of the other of the second stage tri-state buffers 218 .

請參見第4F圖,第二型多工器211可以具有一對共2個平行設置的三態緩衝器218設在第二級,其上面一個的第一輸入係耦接至在第一級中上面一對之三態緩衝器217之輸出,其上面一個的第二輸入係與第二組之輸入A0有關,其下面一個的第一輸入係耦接至在第一級中下面的三態緩衝器217之輸出,其下面一個的第二輸入係與第二組之輸入A0有關。在第二級中一對共2個三態緩衝器218之每一個可以根據其第二輸入使其開啟或關閉,以控制是否要將其第一輸入傳送至其輸出。第二型多工器211可以包括反向器208,其輸入係耦接至第二組之輸入A0,反向器208適於將其輸入反向而形成其輸出。在第二級中該對三態緩衝器218之其中一個可以根據耦接至反向器208之輸入及輸出其中之一之其第二輸入切換成開啟狀態,使其第一輸入傳送至其輸出;在第二級中該對三態緩衝器218之其中另一個可以根據耦接至反向器208之輸入及輸出其中另一之其第二輸入切換成關閉狀態,使其第一輸入不會傳送至其輸出。在第二級之該對三態緩衝器218中其輸出係相互耦接。因此,在第二級中該對的三態緩衝器218係根據分別耦接至反向器208之輸入及輸出的其兩個第二輸入以控制讓其兩個第一輸入之其中一個傳送至其輸出。第二型多工器211還可以包括如第2C圖所描述之第三型通過/不通開關258,其位在節點N21處之輸入會耦接至在第二級中該對的兩個三態緩衝器218之輸出,第三型通過/不通開關258可以將其位在節點N21處之輸入放大而形成其位在節點N22處之輸出,作為第二型多工器211之輸出Dout。Referring to FIG. 4F, the second-type multiplexer 211 may have a pair of two tri-state buffers 218 arranged in parallel in the second stage, and the first input of the upper one is coupled to the first stage in the first stage The output of the upper pair of tri-state buffers 217, the second input of the upper one is related to the input A0 of the second group, and the first input of the lower one is coupled to the lower tri-state buffer in the first stage The output of the controller 217, the second input of the lower one is related to the input A0 of the second group. In the second stage each of a pair of 2 tri-state buffers 218 can be turned on or off according to its second input to control whether its first input is to be passed to its output. The second type of multiplexer 211 may include an inverter 208, the input of which is coupled to the input A0 of the second group, and the inverter 208 is adapted to invert its input to form its output. In the second stage, one of the pair of tri-state buffers 218 can be switched on according to its second input coupled to one of the input and output of the inverter 208, passing its first input to its output ; in the second stage the other of the pair of tri-state buffers 218 can be switched to the off state according to the second input of the other of the input and output of the pair coupled to the inverter 208, so that its first input does not sent to its output. The outputs of the pair of tri-state buffers 218 in the second stage are coupled to each other. Thus, in the second stage the pair of tri-state buffers 218 is controlled to have one of its two first inputs sent to the its output. The second type multiplexer 211 may also include a third type pass/fail switch 258 as depicted in Figure 2C, whose input at node N21 is coupled to the two tri-states of the pair in the second stage The output of the buffer 218, the third type pass/fail switch 258 can amplify its input at node N21 to form its output at node N22 as the output Dout of the second type multiplexer 211.

此外,請參見第4A圖至第4F圖,每一三態緩衝器215、216、217及218可以由一電晶體取代,例如為N型MOS電晶體或P型MOS電晶體,如第4G圖至第4J圖所示。第4G圖至第4J圖係為根據本申請案之實施例所繪示之多工器之電路圖。如第4G圖所繪示之第一型多工器211係類似於如第4A圖所繪示之第一型多工器211,而其不同處係在於每一三態緩衝器215、216、217及218係由一電晶體取代,例如為N型MOS電晶體或P型MOS電晶體。如第4H圖所繪示之第二型多工器211係類似於如第4C圖所繪示之第二型多工器211,而其不同處係在於每一三態緩衝器215、216、217及218係由一電晶體取代,例如為N型MOS電晶體或P型MOS電晶體。如第4I圖所繪示之第一型多工器211係類似於如第4D圖所繪示之第一型多工器211,而其不同處係在於每一三態緩衝器215、216、217及218係由一電晶體取代,例如為N型MOS電晶體或P型MOS電晶體。如第4J圖所繪示之第二型多工器211係類似於如第4F圖所繪示之第二型多工器211,而其不同處係在於每一三態緩衝器215、216、217及218係由一電晶體取代,例如為N型MOS電晶體或P型MOS電晶體。In addition, please refer to FIGS. 4A to 4F, each of the tri-state buffers 215, 216, 217 and 218 can be replaced by a transistor, such as an N-type MOS transistor or a P-type MOS transistor, as shown in FIG. 4G as shown in Figure 4J. 4G to 4J are circuit diagrams of a multiplexer according to an embodiment of the present application. The first-type multiplexer 211 shown in FIG. 4G is similar to the first-type multiplexer 211 shown in FIG. 217 and 218 are replaced by a transistor, such as an N-type MOS transistor or a P-type MOS transistor. The second-type multiplexer 211 shown in FIG. 4H is similar to the second-type multiplexer 211 shown in FIG. 217 and 218 are replaced by a transistor, such as an N-type MOS transistor or a P-type MOS transistor. The first-type multiplexer 211 shown in FIG. 4I is similar to the first-type multiplexer 211 shown in FIG. 217 and 218 are replaced by a transistor, such as an N-type MOS transistor or a P-type MOS transistor. The second-type multiplexer 211 shown in FIG. 4J is similar to the second-type multiplexer 211 shown in FIG. 217 and 218 are replaced by a transistor, such as an N-type MOS transistor or a P-type MOS transistor.

請參見第4G圖至第4J圖,每一電晶體215可以形成一通道,該通道之輸入端係耦接至如第4A圖至第4F圖所繪示之取代前三態緩衝器215之第一輸入所耦接之處,該通道之輸出端係耦接至如第4A圖至第4F圖所繪示之取代前三態緩衝器215之輸出所耦接之處,其閘極係耦接至如第4A圖至第4F圖所繪示之取代前三態緩衝器215之第二輸入所耦接之處。每一電晶體216可以形成一通道,該通道之輸入端係耦接至如第4A圖至第4F圖所繪示之取代前三態緩衝器216之第一輸入所耦接之處,該通道之輸出端係耦接至如第4A圖至第4F圖所繪示之取代前三態緩衝器216之輸出所耦接之處,其閘極係耦接至如第4A圖至第4F圖所繪示之取代前三態緩衝器216之第二輸入所耦接之處。每一電晶體217可以形成一通道,該通道之輸入端係耦接至如第4A圖至第4F圖所繪示之取代前三態緩衝器217之第一輸入所耦接之處,該通道之輸出端係耦接至如第4A圖至第4F圖所繪示之取代前三態緩衝器217之輸出所耦接之處,其閘極係耦接至如第4A圖至第4F圖所繪示之取代前三態緩衝器217之第二輸入所耦接之處。每一電晶體218可以形成一通道,該通道之輸入端係耦接至如第4A圖至第4F圖所繪示之取代前三態緩衝器218之第一輸入所耦接之處,該通道之輸出端係耦接至如第4A圖至第4F圖所繪示之取代前三態緩衝器218之輸出所耦接之處,其閘極係耦接至如第4A圖至第4F圖所繪示之取代前三態緩衝器218之第二輸入所耦接之處。Referring to FIGS. 4G to 4J, each transistor 215 may form a channel, the input terminal of which is coupled to the third replacement of the former tri-state buffer 215 as shown in FIGS. 4A to 4F. Where an input is coupled, the output of the channel is coupled to where the output of the former tri-state buffer 215 is coupled as shown in FIGS. 4A to 4F, and its gate is coupled To where the second input of the former tri-state buffer 215 is coupled as shown in FIGS. 4A-4F. Each transistor 216 may form a channel whose input is coupled to where the first input of the replacement tri-state buffer 216 is coupled as shown in FIGS. 4A-4F, the channel Its output is coupled to where the output of the former tri-state buffer 216 is coupled as shown in FIGS. 4A to 4F, and its gate is coupled to the place shown in FIGS. 4A to 4F. Shown instead of where the second input of the tri-state buffer 216 is coupled. Each transistor 217 may form a channel whose input is coupled to where the first input of the replacement tri-state buffer 217 is coupled as shown in FIGS. 4A-4F, the channel The output terminal is coupled to the place where the output of the replacement tri-state buffer 217 is coupled as shown in FIGS. 4A to 4F, and its gate is coupled to the place as shown in FIGS. 4A to 4F Shown instead of where the second input of the tri-state buffer 217 is coupled. Each transistor 218 may form a channel whose input is coupled to where the first input of the replacement tri-state buffer 218 is coupled as shown in FIGS. 4A-4F, the channel Its output is coupled to where the output of the former tri-state buffer 218 is coupled as shown in Figures 4A to 4F, and its gate is coupled to the place shown in Figures 4A to 4F. Shown instead of where the second input of the tri-state buffer 218 is coupled.

由多工器所組成之交叉點開關之說明Explanation of Crosspoint Switches Consisting of Multiplexers

如第3A圖及第3B圖所描述之第一型及第二型交叉點開關379係由多個如第2A圖至第2F圖所繪示之通過/不通開關258所構成。然而,交叉點開關379亦可由任一型之第一型至第三型多工器211所構成,如下所述:The first and second type crosspoint switches 379 as depicted in FIGS. 3A and 3B are composed of a plurality of pass/no switches 258 as depicted in FIGS. 2A to 2F. However, the cross-point switch 379 can also be composed of any type of the first to third type multiplexers 211, as described below:

(1)第三型交叉點開關(1) Type 3 crosspoint switch

第3C圖係為根據本申請案之實施例所繪示之由多個多工器所組成之第三型交叉點開關之電路圖。請參見第3C圖,第三型交叉點開關379可以包括四個如第4A圖至第4J圖所繪示之第一型、第二型或第三型多工器211,其每一個包括第一組之三個輸入及第二組之兩個輸入,且適於根據其第二組之兩個輸入的組合從其第一組之三個輸入中選擇其一傳送至其輸出。舉例而言,應用於第三型交叉點開關379之第二型多工器211可以參考如第4F圖及第4J圖所繪示之第二型多工器211。四個多工器211其中之一個之第一組之三個輸入D0-D2之每一個可以耦接至四個多工器211其中另兩個之第一組之三個輸入D0-D2其中之一及四個多工器211其中另一個之輸出Dout。因此,四個多工器211之每一個的第一組之三個輸入D0-D2可以分別耦接至在三個不同方向上分別延伸至四個多工器211之另外三個之輸出的三條金屬線路,且四個多工器211之每一個可以根據其第二組之輸入A0及A1的組合從其第一組之輸入D0-D2中選擇其一傳送至其輸出Dout。四個多工器211之每一個還包括通過/不通開關或開關緩衝器258,可以根據其輸入SC-4切換成開啟或關閉的狀態,讓根據其第二組之輸入A0及A1從其第一組之三個輸入D0-D2中所選擇的一個傳送至或是不傳送至其輸出Dout。舉例而言,上面的多工器211其第一組之三個輸入可以分別耦接至在三個不同方向上分別延伸至左側、下面及右側的多工器211之輸出Dout(位在節點N23、N26及N25)的三條金屬線路,且上面的多工器211可以根據其第二組之輸入A01 及A11 的組合從其第一組之輸入D0-D2中選擇其一傳送至其輸出Dout(位在節點N24)。上面的多工器211之通過/不通開關或開關緩衝器258可以根據其輸入SC1 -4切換成開啟或關閉的狀態,讓根據其第二組之輸入A01 及A11 從其第一組之三個輸入D0-D2中所選擇的一個傳送至或是不傳送至其輸出Dout(位在節點N24)。FIG. 3C is a circuit diagram of a third-type cross-point switch composed of a plurality of multiplexers according to an embodiment of the present application. Referring to FIG. 3C, the third type crosspoint switch 379 may include four first, second or third type multiplexers 211 as shown in FIGS. 4A to 4J, each of which includes a first Three inputs of one group and two inputs of the second group, and are adapted to select one of the three inputs of its first group to transmit to its output according to the combination of the two inputs of its second group. For example, the second-type multiplexer 211 applied to the third-type crosspoint switch 379 may refer to the second-type multiplexer 211 shown in FIG. 4F and FIG. 4J . Each of the three inputs D0-D2 of the first group of one of the four multiplexers 211 may be coupled to one of the three inputs D0-D2 of the first group of the other two of the four multiplexers 211 One and the other of the four multiplexers 211 output Dout. Therefore, the three inputs D0-D2 of the first set of each of the four multiplexers 211 can be respectively coupled to three strips extending in three different directions to the outputs of the other three of the four multiplexers 211, respectively metal lines, and each of the four multiplexers 211 can select one of its first set of inputs D0-D2 to send to its output Dout according to the combination of its second set of inputs A0 and A1. Each of the four multiplexers 211 also includes a pass/fail switch or switch buffer 258, which can be switched on or off according to its input SC-4, allowing A0 and A1 to be switched from its second set according to its second set of inputs A0 and A1. A selected one of the three inputs D0-D2 of a group is routed or not routed to its output Dout. For example, the three inputs of the first group of the upper multiplexer 211 can be respectively coupled to the outputs Dout (located at the node N23) of the multiplexer 211 extending to the left, lower and right sides in three different directions, respectively. , N26 and N25), and the above multiplexer 211 can select one of the inputs D0-D2 of the first group to transmit to its output according to the combination of the inputs A0 1 and A1 1 of the second group. Dout (bit at node N24). Through the multiplexer 211. The above / barrier switch or switch in accordance with an input buffer 258 may be SC 1 -4 switched on or off state, so that according to its inputs A0 1 and a second group of its first group A1 1 A selected one of its three inputs D0-D2 is routed or not routed to its output Dout (bit at node N24).

(2)第四型交叉點開關(2) Type 4 crosspoint switch

第3D圖係為根據本申請案之實施例所繪示之由多工器所構成之第四型交叉點開關之電路圖。請參見第3D圖,第四型交叉點開關379可以是由如第4A圖至第4J圖所描述之第一型至第三型中任一型多工器211所構成。舉例而言,當第四型交叉點開關379係如第4A圖、第4C圖、第4D圖及第4G圖至第4I圖所描述之第一型至第三型中任一型多工器211所構成時,第四型交叉點開關379可以根據其第二組之輸入A0-A3的組合,從其第一組之輸入D0-D15中選擇其一傳送至其輸出Dout。FIG. 3D is a circuit diagram of a fourth-type cross-point switch composed of a multiplexer according to an embodiment of the present application. Referring to FIG. 3D, the fourth-type cross-point switch 379 may be composed of any of the first-type to third-type multiplexers 211 as described in FIGS. 4A-4J. For example, when the fourth type crosspoint switch 379 is a multiplexer of any one of the first to third types as described in FIGS. 4A, 4C, 4D, and 4G to 4I When constituted by 211, the fourth-type cross-point switch 379 can select one of the inputs D0-D15 of the first group and transmit it to the output Dout according to the combination of the inputs A0-A3 of the second group.

大型輸入/輸出(I/O)電路之說明Description of Large Input/Output (I/O) Circuits

第5A圖係為根據本申請案之實施例所繪示之大型I/O電路之電路圖。請參見第5A圖,半導體晶片可以包括多個I/O接墊272,可耦接至其大型靜電放電(ESD)保護電路273、其大型驅動器274及其大型接收器275。大型靜電放電(ESD)保護電路、大型驅動器274及大型接收器275可組成一大型I/O電路341。大型靜電放電(ESD)保護電路273可以包括兩個二極體282及283,其中二極體282之陰極耦接至電源端(Vcc),其陽極耦接至節點281,而二極體283之陰極耦接至節點281,而其陽極耦接至接地端(Vss),節點281係耦接至I/O接墊272。FIG. 5A is a circuit diagram of a large I/O circuit according to an embodiment of the present application. Referring to FIG. 5A , a semiconductor die may include a plurality of I/O pads 272 , which may be coupled to its bulk electrostatic discharge (ESD) protection circuit 273 , its bulk driver 274 and its bulk receiver 275 . The large electrostatic discharge (ESD) protection circuit, the large driver 274 and the large receiver 275 may form a large I/O circuit 341 . The large electrostatic discharge (ESD) protection circuit 273 may include two diodes 282 and 283, wherein the cathode of the diode 282 is coupled to the power supply terminal (Vcc), the anode thereof is coupled to the node 281, and the diode 283 is The cathode is coupled to node 281 , and its anode is coupled to ground (Vss), which is coupled to I/O pad 272 .

請參見第5A圖,大型驅動器274之第一輸入係耦接訊號(L_Enable),用以致能大型驅動器274,而其第二輸入耦接資料(L_Data_out),使得該資料(L_Data_out)可經大型驅動器274之放大或驅動以形成其輸出(位在節點281),經由I/O接墊272傳送至位在該半導體晶片之外部的電路。大型驅動器274可以包括一P型MOS電晶體285及一N型MOS電晶體286,兩者的汲極係相互耦接作為其輸出(位在節點281),兩者的源極係分別耦接至電源端(Vcc)及接地端(Vss)。大型驅動器274可以包括一非及(NAND)閘287及一非或(NOR)閘288,其中非及(NAND)閘287之輸出係耦接至P型MOS電晶體285之閘極,非或(NOR)閘288之輸出係耦接至N型MOS電晶體286之閘極.。大型驅動器274之非及(NAND)閘287之第一輸入係耦接至大型驅動器274之反向器289之輸出,而其第二輸入係耦接至資料(L_Data_out),非及(NAND)閘287可以對其第一輸入及其第二輸入進行非及運算而產生其輸出,其輸出係耦接至P型MOS電晶體285之閘極。大型驅動器274之非或(NOR)閘288之第一輸入係耦接至資料(L_Data_out),而其第二輸入係耦接至訊號(L_Enable),非或(NOR)閘288可以對其第一輸入及其第二輸入進行非或運算而產生其輸出,其輸出係耦接至N型MOS電晶體286之閘極。反向器289之輸入係耦接訊號(L_Enable),並可將其輸入反向而形成其輸出,其輸出係耦接至非及(NAND)閘287之第一輸入。Please refer to FIG. 5A, the first input of the large driver 274 is coupled to the signal (L_Enable) for enabling the large driver 274, and the second input of the large driver 274 is coupled to the data (L_Data_out), so that the data (L_Data_out) can be passed through the large driver 274 is amplified or driven to form its output (at node 281 ), which is transmitted via I/O pads 272 to circuits located outside the semiconductor die. The large driver 274 may include a P-type MOS transistor 285 and an N-type MOS transistor 286, the drains of which are coupled to each other as their outputs (at node 281), and the sources of which are coupled to Power supply terminal (Vcc) and ground terminal (Vss). The large driver 274 may include a NAND gate 287 and a NOR gate 288, wherein the output of the NAND gate 287 is coupled to the gate of the P-type MOS transistor 285, and the NOR gate 285 The output of the NOR gate 288 is coupled to the gate of the N-type MOS transistor 286 . The first input of the non-and (NAND) gate 287 of the large driver 274 is coupled to the output of the inverter 289 of the large driver 274, and the second input thereof is coupled to the data (L_Data_out), non-and (NAND) gate 287 can negate its first input and its second input to generate its output, which is coupled to the gate of the P-type MOS transistor 285 . The first input of the NOR gate 288 of the large driver 274 is coupled to the data (L_Data_out), and the second input thereof is coupled to the signal (L_Enable). The input and its second input are NOT ORed to generate its output, which is coupled to the gate of the N-type MOS transistor 286 . The input of the inverter 289 is coupled to the signal (L_Enable), and its input can be inverted to form its output, the output of which is coupled to the first input of the NAND gate 287 .

請參見第5A圖,當訊號(L_Enable)係為邏輯值“1”時,非及(NAND)閘287之輸出係總是為邏輯值“1”,以關閉P型MOS電晶體285,而非或(NOR)閘288之輸出係總是為邏輯值“0”,以關閉N型MOS電晶體286。此時,訊號(L_Enable)會禁能大型驅動器274,使得資料(L_Data_out)不會傳送至大型驅動器274之輸出(位在節點281)。Please refer to Fig. 5A, when the signal (L_Enable) is a logic value "1", the output of the NAND gate 287 is always a logic value "1" to turn off the P-type MOS transistor 285 instead of The output of the OR (NOR) gate 288 is always logic "0" to turn off the N-type MOS transistor 286. At this time, the signal (L_Enable) disables the large driver 274, so that the data (L_Data_out) is not transmitted to the output of the large driver 274 (bit at node 281).

請參見第5A圖,當訊號(L_Enable)係為邏輯值“0”時,會致能大型驅動器274。同時,當資料(L_Data_out)係為邏輯值“0”時,非及(NAND)閘287及非或(NOR)閘288之輸出係為邏輯值“1”,以關閉P型MOS電晶體285及開啟N型MOS電晶體286,讓大型驅動器274之輸出(位在節點281)處在邏輯值“0”的狀態,並傳送至I/O接墊272。若是當資料(L_Data_out)係為邏輯值“1”時,非及(NAND)閘287及非或(NOR)閘288之輸出係為邏輯值“0”,以開啟P型MOS電晶體285及關閉N型MOS電晶體286,讓大型驅動器274之輸出(位在節點281)處在邏輯值“1”的狀態,並傳送至I/O接墊272。因此,訊號(L_Enable)可以致能大型驅動器274,以放大或驅動資料(L_Data_out)形成其輸出(位在節點281),並傳送至I/O接墊272。Referring to FIG. 5A, when the signal (L_Enable) is a logic value of "0", the large driver 274 is enabled. Meanwhile, when the data (L_Data_out) is the logic value "0", the outputs of the NAND gate 287 and the NOR gate 288 are the logic value "1" to turn off the P-type MOS transistor 285 and The N-type MOS transistor 286 is turned on, causing the output of the bulk driver 274 (bit at node 281 ) to be at a logic "0" state and sent to the I/O pad 272 . If the data (L_Data_out) is the logic value "1", the outputs of the NAND gate 287 and the NOR gate 288 are the logic value "0" to turn on the P-type MOS transistor 285 and turn off The N-type MOS transistor 286 causes the output of the bulk driver 274 (bit at node 281 ) to be in a logic "1" state, which is transmitted to the I/O pad 272 . Therefore, the signal (L_Enable) can enable the large driver 274 to amplify or drive the data (L_Data_out) to form its output (at node 281 ) and transmit it to the I/O pad 272 .

請參見第5A圖,大型接收器275之第一輸入係耦接該I/O接墊272,可經由大型接收器275之放大或驅動以形成其輸出(L_Data_in),大型接收器275之第二輸入係耦接訊號(L_Inhibit),用以抑制大型接收器275產生與其第一輸入有關之其輸出(L_Data_in)。大型接收器275包括一非及(NAND)閘290,其第一輸入係耦接至該I/O接墊272,而其第二輸入係耦接訊號(L_Inhibit),非及(NAND)閘290可以對其第一輸入及其第二輸入進行非及運算而產生其輸出,其輸出係耦接至大型接收器275之反向器291。反向器291之輸入係耦接非及(NAND)閘290之輸出,並可將其輸入反向而形成其輸出,作為大型接收器275之輸出(L_Data_in)。Please refer to FIG. 5A , the first input of the large receiver 275 is coupled to the I/O pad 272 , which can be amplified or driven by the large receiver 275 to form its output (L_Data_in), and the second input of the large receiver 275 The input is coupled to a signal (L_Inhibit) for inhibiting the bulk receiver 275 from generating its output (L_Data_in) relative to its first input. The large receiver 275 includes a NAND gate 290, the first input of which is coupled to the I/O pad 272, and the second input of which is coupled to the signal (L_Inhibit), the NAND gate 290 Its first input and its second input can be negated to produce its output, which is coupled to the inverter 291 of the bulk receiver 275 . The input of the inverter 291 is coupled to the output of the NAND gate 290 and can invert its input to form its output as the output of the large receiver 275 (L_Data_in).

請參見第5A圖,當訊號(L_Inhibit)係為邏輯值“0”時,非及(NAND)閘290之輸出係總是為邏輯值“1”,而大型接收器275之輸出(L_Data_in)係總是為邏輯值“1”。此時,可以抑制大型接收器275產生與其第一輸入有關之其輸出(L_Data_in),其第一輸入係耦接至該I/O接墊272。Please refer to Fig. 5A, when the signal (L_Inhibit) is a logic value "0", the output of the NAND gate 290 is always a logic value "1", and the output of the large receiver 275 (L_Data_in) is a logic value "1". Always logical "1". At this time, the large receiver 275 can be inhibited from generating its output (L_Data_in) related to its first input, which is coupled to the I/O pad 272 .

請參見第5A圖,當訊號(L_Inhibit)係為邏輯值“1”時,會啟動大型接收器275。同時,當由位在半導體晶片之外部的電路傳送至該I/O接墊272的資料係為邏輯值“1”時,非及(NAND)閘290之輸出係為邏輯值“0”,使得大型接收器275之輸出(L_Data_in)係為邏輯值“1”;當由位在半導體晶片之外部的電路傳送至該I/O接墊272的資料係為邏輯值“0”時,非及(NAND)閘290之輸出係為邏輯值“1”,使得大型接收器275之輸出(L_Data_in)係為邏輯值“0”。因此,訊號(L_Inhibit)可以啟動大型接收器275,以放大或驅動由位在半導體晶片之外部的電路傳送至該I/O接墊272的資料形成其輸出(L_Data_in)。Referring to Figure 5A, when the signal (L_Inhibit) is a logic "1", the large receiver 275 is activated. At the same time, when the data transmitted to the I/O pad 272 by a circuit located outside the semiconductor chip is a logic value "1", the output of the NAND gate 290 is a logic value "0", so that The output (L_Data_in) of the large receiver 275 is a logic value "1"; when the data transmitted to the I/O pad 272 by a circuit located outside the semiconductor chip is a logic value "0", the non-and ( The output of the NAND gate 290 is a logic value "1", so that the output of the large receiver 275 (L_Data_in) is a logic value "0". Thus, a signal (L_Inhibit) can activate the large receiver 275 to amplify or drive the data transmitted to the I/O pad 272 from a circuit located outside the semiconductor die to form its output (L_Data_in).

請參見第5A圖,該I/O接墊272之輸入電容,例如是由大型靜電放電(ESD)保護電路273及大型接收器275所產生的,而其範圍例如介於2pF與100pF之間、介於2pF與50pF之間、介於2pF與30pF之間、大於2pF、大於5pF、大於10pF、大於15pF或是大於20pF。大型驅動器274之輸出電容或是驅動能力或負荷例如是介於2pF與100pF之間、介於2pF與50pF之間、介於2pF與30pF之間或是大於2pF、大於5pF、大於10pF、大於15pF或是大於20pF。大型靜電放電(ESD)保護電路273之尺寸例如是介於0.5pF與20pF之間、介於0.5pF與15pF之間、介於0.5pF與10pF之間、介於0.5pF與5pF之間、介於0.5pF與20pF之間、大於0.5pF、大於1pF、大於2pF、大於3pF、大於5pf或是大於10pF。Referring to FIG. 5A, the input capacitance of the I/O pad 272 is generated, for example, by a large electrostatic discharge (ESD) protection circuit 273 and a large receiver 275, and its range is, for example, between 2pF and 100pF, Between 2pF and 50pF, between 2pF and 30pF, greater than 2pF, greater than 5pF, greater than 10pF, greater than 15pF, or greater than 20pF. The output capacitance or drive capability or load of the large driver 274 is, for example, between 2pF and 100pF, between 2pF and 50pF, between 2pF and 30pF, or greater than 2pF, greater than 5pF, greater than 10pF, greater than 15pF or greater than 20pF. The size of the large electrostatic discharge (ESD) protection circuit 273 is, for example, between 0.5pF and 20pF, between 0.5pF and 15pF, between 0.5pF and 10pF, between 0.5pF and 5pF, Between 0.5pF and 20pF, greater than 0.5pF, greater than 1 pF, greater than 2pF, greater than 3pF, greater than 5pF, or greater than 10pF.

小型輸入/輸出(I/O)電路之說明Description of Small Input/Output (I/O) Circuits

第5B圖係為根據本申請案之實施例所繪示之小型I/O電路之電路圖。請參見第5B圖,半導體晶片可以包括多個I/O接墊372,可耦接至其小型靜電放電(ESD)保護電路373、其小型驅動器374及其小型接收器375。小型靜電放電(ESD)保護電路、小型驅動器374及小型接收器375可組成一小型I/O電路203。小型靜電放電(ESD)保護電路373可以包括兩個二極體382及383,其中二極體382之陰極耦接至電源端(Vcc),其陽極耦接至節點381,而二極體383之陰極耦接至節點381,而其陽極耦接至接地端(Vss),節點381係耦接至I/O接墊372。FIG. 5B is a circuit diagram of a small I/O circuit according to an embodiment of the present application. Referring to FIG. 5B , the semiconductor die may include a plurality of I/O pads 372 , which may be coupled to its miniature electrostatic discharge (ESD) protection circuit 373 , its miniature driver 374 and its miniature receiver 375 . A small electrostatic discharge (ESD) protection circuit, a small driver 374 and a small receiver 375 can form a small I/O circuit 203 . The miniature electrostatic discharge (ESD) protection circuit 373 may include two diodes 382 and 383, wherein the cathode of the diode 382 is coupled to the power supply terminal (Vcc), the anode thereof is coupled to the node 381, and the diode 383 is The cathode is coupled to node 381 , and its anode is coupled to ground (Vss), which is coupled to I/O pad 372 .

請參見第5B圖,小型驅動器374之第一輸入係耦接訊號(S_Enable),用以致能小型驅動器374,而其第二輸入耦接資料(S_Data_out),使得該資料(S_Data_out)可經小型驅動器374之放大或驅動以形成其輸出(位在節點381),經由I/O接墊372傳送至位在該半導體晶片之外部的電路。小型驅動器374可以包括一P型MOS電晶體385及一N型MOS電晶體386,兩者的汲極係相互耦接作為其輸出(位在節點381),兩者的源極係分別耦接至電源端(Vcc)及接地端(Vss)。小型驅動器374可以包括一非及(NAND)閘387及一非或(NOR)閘388,其中非及(NAND)閘387之輸出係耦接至P型MOS電晶體385之閘極,非或(NOR)閘388之輸出係耦接至N型MOS電晶體386之閘極.。小型驅動器374之非及(NAND)閘387之第一輸入係耦接至小型驅動器374之反向器389之輸出,而其第二輸入係耦接至資料(S_Data_out),非及(NAND)閘387可以對其第一輸入及其第二輸入進行非及運算而產生其輸出,其輸出係耦接至P型MOS電晶體385之閘極。小型驅動器374之非或(NOR)閘388之第一輸入係耦接至資料(S_Data_out),而其第二輸入係耦接至訊號(S_Enable),非或(NOR)閘388可以對其第一輸入及其第二輸入進行非或運算而產生其輸出,其輸出係耦接至N型MOS電晶體386之閘極。反向器389之輸入係耦接訊號(S_Enable),並可將其輸入反向而形成其輸出,其輸出係耦接至非及(NAND)閘387之第一輸入。Please refer to FIG. 5B, the first input of the small driver 374 is coupled to the signal (S_Enable) to enable the small driver 374, and the second input of the small driver 374 is coupled to the data (S_Data_out), so that the data (S_Data_out) can be passed through the small driver 374 is amplified or driven to form its output (at node 381 ), which is transmitted via I/O pads 372 to circuits located outside the semiconductor die. The miniature driver 374 may include a P-type MOS transistor 385 and an N-type MOS transistor 386, the drains of which are coupled to each other as their outputs (located at node 381), and the sources of the two are respectively coupled to Power supply terminal (Vcc) and ground terminal (Vss). The miniature driver 374 may include a NAND gate 387 and a NOR gate 388, wherein the output of the NAND gate 387 is coupled to the gate of the P-type MOS transistor 385, and the NOR gate 385 The output of the NOR gate 388 is coupled to the gate of the N-type MOS transistor 386 . The first input of the non-and (NAND) gate 387 of the small driver 374 is coupled to the output of the inverter 389 of the small driver 374, and the second input thereof is coupled to the data (S_Data_out), the non-and (NAND) gate 387 can negate its first input and its second input to generate its output, which is coupled to the gate of the P-type MOS transistor 385 . The first input of the NOR gate 388 of the small driver 374 is coupled to the data (S_Data_out), and the second input thereof is coupled to the signal (S_Enable). The input and its second input are NOT ORed to generate its output, which is coupled to the gate of the N-type MOS transistor 386 . The input of the inverter 389 is coupled to the signal (S_Enable), and its input can be inverted to form its output, the output of which is coupled to the first input of the NAND gate 387 .

請參見第5B圖,當訊號(S_Enable)係為邏輯值“1”時,非及(NAND)閘387之輸出係總是為邏輯值“1”,以關閉P型MOS電晶體385,而非或(NOR)閘388之輸出係總是為邏輯值“0”,以關閉N型MOS電晶體386。此時,訊號(S_Enable)會禁能小型驅動器374,使得資料(S_Data_out)不會傳送至小型驅動器374之輸出(位在節點381)。Please refer to Fig. 5B, when the signal (S_Enable) is a logic value "1", the output of the NAND gate 387 is always a logic value "1" to turn off the P-type MOS transistor 385 instead of The output of the OR (NOR) gate 388 is always logic "0" to turn off the N-type MOS transistor 386. At this time, the signal (S_Enable) disables the small driver 374, so that the data (S_Data_out) is not transmitted to the output of the small driver 374 (bit at node 381).

請參見第5B圖,當訊號(S_Enable)係為邏輯值“0”時,會致能小型驅動器374。同時,當資料(S_Data_out)係為邏輯值“0”時,非及(NAND)閘387及非或(NOR)閘388之輸出係為邏輯值“1”,以關閉P型MOS電晶體385及開啟N型MOS電晶體386,讓小型驅動器374之輸出(位在節點381)處在邏輯值“0”的狀態,並傳送至I/O接墊372。若是當資料(S_Data_out)係為邏輯值“1”時,非及(NAND)閘387及非或(NOR)閘388之輸出係為邏輯值“0”,以開啟P型MOS電晶體385及關閉N型MOS電晶體386,讓小型驅動器374之輸出(位在節點381)處在邏輯值“1”的狀態,並傳送至I/O接墊372。因此,訊號(S_Enable)可以致能小型驅動器374,以放大或驅動資料(S_Data_out)形成其輸出(位在節點381),並傳送至I/O接墊372。Referring to FIG. 5B, when the signal (S_Enable) is a logic value of "0", the small driver 374 is enabled. Meanwhile, when the data (S_Data_out) is the logic value "0", the outputs of the NAND gate 387 and the NOR gate 388 are the logic value "1" to turn off the P-type MOS transistor 385 and The N-type MOS transistor 386 is turned on, causing the output of the miniature driver 374 (bit at node 381 ) to be at a logic "0" state and sent to the I/O pad 372 . If the data (S_Data_out) is the logic value "1", the outputs of the NAND gate 387 and the NOR gate 388 are the logic value "0" to turn on the P-type MOS transistor 385 and turn it off The N-type MOS transistor 386 causes the output of the miniature driver 374 (bit at node 381 ) to be in the logic "1" state, which is transmitted to the I/O pad 372 . Therefore, the signal (S_Enable) can enable the small driver 374 to amplify or drive the data (S_Data_out) to form its output (at node 381 ) and transmit it to the I/O pad 372 .

請參見第5B圖,小型接收器375之第一輸入係耦接該I/O接墊372,可經由小型接收器375之放大或驅動以形成其輸出(S_Data_in),小型接收器375之第二輸入係耦接訊號(S_Inhibit),用以抑制小型接收器375產生與其第一輸入有關之其輸出(S_Data_in)。小型接收器375包括一非及(NAND)閘390,其第一輸入係耦接至該I/O接墊372,而其第二輸入係耦接訊號(S_Inhibit),非及(NAND)閘290可以對其第一輸入及其第二輸入進行非及運算而產生其輸出,其輸出係耦接至小型接收器375之反向器391。反向器391之輸入係耦接非及(NAND)閘390之輸出,並可將其輸入反向而形成其輸出,作為小型接收器375之輸出(S_Data_in)。Please refer to FIG. 5B , the first input of the small receiver 375 is coupled to the I/O pad 372 , which can be amplified or driven by the small receiver 375 to form its output (S_Data_in), and the second input of the small receiver 375 The input is coupled to a signal (S_Inhibit) for inhibiting the small receiver 375 from generating its output (S_Data_in) relative to its first input. The small receiver 375 includes a NAND gate 390, the first input of which is coupled to the I/O pad 372, and the second input of which is coupled to the signal (S_Inhibit), the NAND gate 290 Its first input and its second input can be negated to produce its output, which is coupled to the inverter 391 of the small receiver 375 . The input of the inverter 391 is coupled to the output of the NAND gate 390, and its input can be inverted to form its output as the output of the small receiver 375 (S_Data_in).

請參見第5B圖,當訊號(S_Inhibit)係為邏輯值“0”時,非及(NAND)閘390之輸出係總是為邏輯值“1”,而小型接收器375之輸出(S_Data_in)係總是為邏輯值“1”。此時,可以抑制小型接收器375產生與其第一輸入有關之其輸出(S_Data_in),其第一輸入係耦接至該I/O接墊372。Please refer to Fig. 5B, when the signal (S_Inhibit) is a logic value "0", the output of the NAND gate 390 is always a logic value "1", and the output of the small receiver 375 (S_Data_in) is a logic value "1". Always logical "1". At this time, the small receiver 375 can be inhibited from generating its output (S_Data_in) related to its first input, which is coupled to the I/O pad 372 .

請參見第5B圖,當訊號(S_Inhibit)係為邏輯值“1”時,會啟動小型接收器375。同時,當由位在半導體晶片之外部的電路傳送至該I/O接墊372的資料係為邏輯值“1”時,非及(NAND)閘390之輸出係為邏輯值“0”,使得小型接收器375之輸出(S_Data_in)係為邏輯值“1”;當由位在半導體晶片之外部的電路傳送至該I/O接墊372的資料係為邏輯值“0”時,非及(NAND)閘390之輸出係為邏輯值“1”,使得小型接收器375之輸出(S_Data_in)係為邏輯值“0”。因此,訊號(S_Inhibit)可以啟動小型接收器375,以放大或驅動由位在半導體晶片之外部的電路傳送至該I/O接墊372的資料形成其輸出(S_Data_in)。Referring to Fig. 5B, when the signal (S_Inhibit) is logic "1", the small receiver 375 is activated. At the same time, when the data transmitted to the I/O pad 372 by a circuit located outside the semiconductor chip is a logic value "1", the output of the NAND gate 390 is a logic value "0", so that The output (S_Data_in) of the small receiver 375 is a logic value of "1"; when the data transmitted to the I/O pad 372 by a circuit located outside the semiconductor chip is a logic value of "0", not and ( The output of the NAND gate 390 is a logic value "1", so that the output of the small receiver 375 (S_Data_in) is a logic value "0". Thus, the signal (S_Inhibit) can activate the small receiver 375 to amplify or drive the data sent to the I/O pad 372 by circuitry located outside the semiconductor die to form its output (S_Data_in).

請參見第5B圖,該I/O接墊372之輸入電容,例如是由小型靜電放電(ESD)保護電路373及小型接收器375所產生的,而其範圍例如介於0.1pF與10pF之間、介於0.1pF與5pF之間、介於0.1pF與3pF之間、介於0.1pF與2pF之間、小於10pF、小於5pF、小於3pF、小於1pF或是小於1pF。小型驅動器374之輸出電容或是驅動能力或負荷例如是介於0.1pF與10pF之間、介於0.1pF與5pF之間、介於0.1pF與3pF之間、介於0.1pF與2pF之間、小於10pF、小於5pF、小於3pF、小於2pF或是小於1pF。小型靜電放電(ESD)保護電路373之尺寸例如是介於0.05pF與10pF之間、介於0.05pF與5pF之間、介於0.05pF與2pF之間、介於0.05pF與1pF之間、小於5pF、小於3pF、小於2pF、小於1pF或是小於0.5pF。Referring to FIG. 5B, the input capacitance of the I/O pad 372 is, for example, generated by a small electrostatic discharge (ESD) protection circuit 373 and a small receiver 375, and the range is, for example, between 0.1 pF and 10 pF , between 0.1pF and 5pF, between 0.1pF and 3pF, between 0.1pF and 2pF, less than 10pF, less than 5pF, less than 3pF, less than 1pF, or less than 1pF. The output capacitance or driving capability or load of the small driver 374 is, for example, between 0.1pF and 10pF, between 0.1pF and 5pF, between 0.1pF and 3pF, between 0.1pF and 2pF, Less than 10 pF, less than 5 pF, less than 3 pF, less than 2 pF, or less than 1 pF. The size of the small electrostatic discharge (ESD) protection circuit 373 is, for example, between 0.05pF and 10pF, between 0.05pF and 5pF, between 0.05pF and 2pF, between 0.05pF and 1pF, less than 5pF, less than 3pF, less than 2pF, less than 1pF, or less than 0.5pF.

可編程邏輯區塊之說明Description of Programmable Logic Blocks

第6A圖係為根據本申請案之實施例所繪示之可編程邏輯區塊之方塊圖。請參見第6A圖,可編程邏輯區塊(LB)201可以是各種形式,包括一查找表(LUT)210及一多工器211(即是可配置或重新配置邏輯電路或選擇電路),可編程邏輯區塊(LB)201之多工器211包括第一組之輸入,例如為如第4A圖、第4C圖、第4D圖或第4G圖至第4I圖所繪示之D0-D15或是如第4E圖所繪示之D0-D255,其每一個係耦接儲存在查找表(LUT)210中之其中一結果值或編程碼;可編程邏輯區塊(LB)201之多工器211還包括第二組之輸入,例如為如第4A圖、第4C圖、第4D圖或第4G圖至第4I圖所繪示之4個輸入A0-A3或是如第4E圖所繪示之8個輸入A0-A7,用於決定其第一組之輸入其中之一傳送至其輸出,例如為如第4A圖、第4C圖至第4E圖或第4G圖至第4I圖所繪示之Dout,作為可編程邏輯區塊(LB)201之輸出。多工器211之第二組之輸入,例如為如第4A圖、第4C圖、第4D圖或第4G圖至第4I圖所繪示之4個輸入A0-A3或是如第4E圖所繪示之8個輸入A0-A7,係作為可編程邏輯區塊(LB)201之輸入。舉例而言,可編程邏輯區塊(LB)201的多工器211可具有:(1)用於邏輯操作的第一輸入資料組之一第一組輸入點,該第一輸入資料組與第二組輸入(即是如第4A圖、第4C圖、第4D圖或第4G圖至第4I圖中所繪示的四個數字輸入A0-A3,或是第4E圖中的八個數字輸入A0-A7)相關聯,(2)用於一第二輸入資料組的一第二組輸入點,該第二輸入資料組與第4A圖、第4C圖、第4D圖或第4G圖至第4I圖中所繪示的第一組輸入(即D0-D15)或是在第4E圖中的第一組輸入(即D0-D255)相關聯,每一第二組輸入點耦接儲存在查找表(LUT)210中其中之一結果值或編程碼,可編程邏輯區塊(LB)201的多工器211被配置從第二輸入資料組(與查找表(LUT)的結果值相關聯)中依據該第一輸入資料組選擇一結果值,作為一輸出資料用於多工器211的一輸出點上邏輯操作的一輸入資料。FIG. 6A is a block diagram of a programmable logic block according to an embodiment of the present application. Referring to FIG. 6A, the programmable logic block (LB) 201 can be in various forms, including a look-up table (LUT) 210 and a multiplexer 211 (ie, a configurable or reconfigurable logic circuit or a selection circuit), which can The multiplexer 211 of the programming logic block (LB) 201 includes a first set of inputs, such as D0-D15 as shown in Figure 4A, Figure 4C, Figure 4D, or Figure 4G to Figure 4I, or are D0-D255 as shown in FIG. 4E, each of which is coupled to one of the result values or programming codes stored in the look-up table (LUT) 210; the multiplexer of the programmable logic block (LB) 201 211 also includes a second set of inputs, such as four inputs A0-A3 as shown in Figure 4A, Figure 4C, Figure 4D or Figure 4G to Figure 4I or as shown in Figure 4E The 8 inputs A0-A7 are used to determine one of the inputs of the first group to send to its output, for example as shown in Figure 4A, Figure 4C to Figure 4E, or Figure 4G to Figure 4I The Dout is used as the output of the programmable logic block (LB) 201 . The input of the second group of the multiplexer 211 is, for example, four inputs A0-A3 as shown in Fig. 4A, Fig. 4C, Fig. 4D or Fig. 4G to Fig. 4I or as shown in Fig. 4E The eight inputs A0-A7 shown are used as inputs to the programmable logic block (LB) 201 . For example, the multiplexer 211 of the programmable logic block (LB) 201 may have: (1) a first set of input points for a first input data set for logic operations, the first input data set and the first input data set Two sets of inputs (i.e. four digital inputs A0-A3 as shown in Fig. 4A, Fig. 4C, Fig. 4D or Fig. 4G-4I, or eight digital inputs in Fig. 4E A0-A7) are associated, (2) a second set of input points for a second input data set, the second input data set is associated with Figure 4A, Figure 4C, Figure 4D or Figure 4G to Figure 4 The first set of inputs (ie D0-D15) shown in Figure 4I or the first set of inputs (ie D0-D255) in Figure 4E are associated, and each second set of input points is coupled and stored in the search One of the result values or programming codes in the table (LUT) 210, the multiplexer 211 of the programmable logic block (LB) 201 is configured from the second input data set (associated with the result value of the look-up table (LUT)) According to the first input data set, a result value is selected as an output data for an input data of a logic operation on an output point of the multiplexer 211 .

請參見第6A圖,可編程邏輯區塊(LB)201之查找表(LUT)210可以包括多個記憶單元490,其每一個係儲存其中一結果值或編程碼,而每一記憶單元490係晶片上揮發性記憶體單元,如第1A圖或第1B圖所描述之記憶單元398或是一非揮發性記憶體單元,例如是浮動閘極非揮發性記憶體單元、由磁阻隨機存取記憶體(MagnetoresistiveRandomAccessMemory,MRAM)IC晶片或電阻式隨機存取記憶體(resistiverandomaccessmemories,RRAM)IC晶片、相變化隨機存取記憶體(PhaseChangeRandomAccessMemory)IC晶片或鐵電隨機存取記憶體(FerroelectricRandomAccessMemory,FRAM)IC晶片。可編程邏輯區塊(LB)201之多工器211之第一組之輸入,例如為如第4A圖、第4C圖、第4D圖或第4G圖至第4I圖所繪示之D0-D15或是如第4E圖所繪示之D0-D255,其每一個係耦接至用於查找表(LUT)210之其中一記憶單元490之輸出(亦即為記憶單元398之輸出Out1或Out2),因此儲存於每一記憶單元490中的結果值或編程碼可以傳送至可編程邏輯區塊(LB)201之多工器211之第一組之其中一輸入。Referring to FIG. 6A, the look-up table (LUT) 210 of the programmable logic block (LB) 201 may include a plurality of memory cells 490, each of which stores a result value or a programming code, and each memory cell 490 is a An on-chip volatile memory cell, such as the memory cell 398 depicted in Figure 1A or 1B, or a non-volatile memory cell, such as a floating gate non-volatile memory cell, random access by magnetoresistive Memory (Magnetoresistive Random Access Memory, MRAM) IC chip or resistive random access memory (resistive random access memory, RRAM) IC chip, phase change random access memory (Phase Change Random Access Memory) IC chip or ferroelectric random access memory (Ferroelectric Random Access Memory, FRAM) IC chips. The input of the first group of the multiplexer 211 of the programmable logic block (LB) 201 is, for example, D0-D15 as shown in Fig. 4A, Fig. 4C, Fig. 4D or Fig. 4G to Fig. 4I Or D0-D255 as shown in FIG. 4E, each of which is coupled to the output of one of the memory cells 490 for the look-up table (LUT) 210 (ie, the output Out1 or Out2 of the memory cell 398) , so the result value or programming code stored in each memory cell 490 can be transmitted to one of the inputs of the first group of the multiplexer 211 of the programmable logic block (LB) 201 .

再者,當可編程邏輯區塊(LB)201之多工器211係為第二型或第三型時,如第4C圖、第4D圖、第4H圖或第4I圖所示,可編程邏輯區塊(LB)201還包括其他的記憶單元490,用於儲存編程碼,而其輸出係耦接至其多工器211之多級三態緩衝器292之輸入SC-4。每一該些其他的記憶單元490係晶片上揮發性記憶體單元,如第1A圖或第1B圖所描述之記憶單元398或是晶片上非揮發性記憶體單元,例如是浮動閘極非揮發性記憶體單元、MRAMIC晶片、相變化隨機存取記憶體IC晶片、RRAMIC晶片或FRAMIC晶片,其他的記憶單元490之輸出(亦即為記憶單元398之輸出Out1或Out2)係耦接可編程邏輯區塊(LB)201之多工器211之多級三態緩衝器292之輸入SC-4,且其他的記憶單元490係儲存編程碼,用以開啟或關閉可編程邏輯區塊(LB)201之多工器211。或者,可編程邏輯區塊(LB)201之多工器211之多級三態緩衝器292之P型及N型MOS電晶體295及296之閘極係分別耦接至其他的記憶單元490之輸出(亦即為記憶單元398之輸出Out1及Out2),且其他的記憶單元490係儲存編程碼,用以開啟或關閉可編程邏輯區塊(LB)201之多工器211,同時如第4C圖、第4D圖、第4H圖或第4I圖所示之反向器297可以省略。Furthermore, when the multiplexer 211 of the programmable logic block (LB) 201 is of the second type or the third type, as shown in FIG. 4C , FIG. 4D , FIG. 4H or FIG. 4I , programmable The logic block (LB) 201 also includes other memory cells 490 for storing programming codes, the output of which is coupled to the input SC-4 of the multi-level tri-state buffer 292 of the multiplexer 211 thereof. Each of these other memory cells 490 is an on-chip volatile memory cell, such as the memory cell 398 depicted in FIG. 1A or 1B, or an on-chip non-volatile memory cell, such as a floating gate non-volatile memory cell. The output of the other memory cells 490 (ie, the output Out1 or Out2 of the memory cell 398 ) is coupled to the programmable logic The input SC-4 of the multi-level tri-state buffer 292 of the multiplexer 211 of the block (LB) 201, and other memory cells 490 store programming codes for turning on or off the programmable logic block (LB) 201 The multiplexer 211. Alternatively, the gates of the P-type and N-type MOS transistors 295 and 296 of the multi-level tri-state buffer 292 of the multiplexer 211 of the programmable logic block (LB) 201 are respectively coupled to other memory cells 490 . output (ie, the outputs Out1 and Out2 of the memory cell 398), and the other memory cells 490 store programming codes for turning on or off the multiplexer 211 of the programmable logic block (LB) 201, and as shown in Section 4C The inverter 297 shown in Fig. 4D, Fig. 4H or Fig. 4I can be omitted.

可編程邏輯區塊(LB)201可以編程以執行邏輯運算或布林運算,例如為及(AND)運算、非及(NAND)運算、或(OR)運算、非或(NOR)運算。查找表(LUT)210可以編程讓可編程邏輯區塊(LB)201可以執行邏輯運算,例如與第6B圖所示之邏輯運算子所進行之邏輯運算相同。請參見第6B圖,該邏輯運算子例如包括平行排列之一及(AND)閘212及一非及(NAND)閘213,其中及(AND)閘212可以對其二輸入X0及X1(亦即為該邏輯運算子之二輸入)進行及(AND)運算以產生一輸出,非及(NAND)閘213可以對其二輸入X2及X3(亦即為該邏輯運算子之二輸入)進行非及(NAND)運算以產生一輸出。該邏輯運算子例如還包括一非及(NAND)閘214,其二輸入係分別耦接及(AND)閘212之輸出及非及(NAND)閘213之輸出,非及(NAND)閘214可以對其二輸入進行非及(NAND)運算以產生一輸出Y,作為該邏輯運算子之輸出。如第6A圖所繪示之可編程邏輯區塊(LB)201可以達成如第6B圖所繪示之邏輯運算子所進行之邏輯運算。就本實施例而言,可編程邏輯區塊(LB)201可以包括如上所述之4個輸入,例如為A0-A3,其第一個輸入A0係對等於該邏輯運算子之輸入X0,其第二個輸入A1係對等於該邏輯運算子之輸入X1,其第三個輸入A2係對等於該邏輯運算子之輸入X2,其第四個輸入A3係對等於該邏輯運算子之輸入X3。可編程邏輯區塊(LB)201可以包括如上所述之輸出Dout,係對等於該邏輯運算子之輸出Y。The programmable logic block (LB) 201 can be programmed to perform logical operations or Boolean operations, such as AND (AND), NOT AND (NAND), OR (OR), NOT OR (NOR) operations. A look-up table (LUT) 210 can be programmed so that the programmable logic block (LB) 201 can perform logic operations, such as the same logic operations performed by the logic operators shown in FIG. 6B. Referring to FIG. 6B, the logic operator includes, for example, an AND gate 212 and a NAND gate 213 arranged in parallel, wherein the AND gate 212 can input X0 and X1 to the two (that is, The AND (AND) operation is performed for the two inputs of the logic operator) to generate an output, and the NOT AND (NAND) gate 213 can perform the AND (AND) operation on its two inputs X2 and X3 (that is, the two inputs of the logic operator). (NAND) operation to generate an output. The logic operator further includes, for example, a NAND gate 214, the two inputs of which are respectively coupled to the output of the AND gate 212 and the output of the NAND gate 213. The NAND gate 214 can be A NAND operation is performed on the two inputs to generate an output Y as the output of the logic operator. The programmable logic block (LB) 201 as shown in FIG. 6A can achieve the logic operations performed by the logic operators as shown in FIG. 6B. For this embodiment, the programmable logic block (LB) 201 may include the above-mentioned 4 inputs, such as A0-A3, the first input A0 is equal to the input X0 of the logic operator, and its first input A0 is equal to the input X0 of the logic operator. The second input A1 corresponds to the input X1 of the logic operator, the third input A2 corresponds to the input X2 of the logic operator, and the fourth input A3 corresponds to the input X3 of the logic operator. The programmable logic block (LB) 201 may include the output Dout as described above, which is equal to the output Y of the logic operator.

第6C圖繪示查找表(LUT)210,可應用在達成如第6B圖所繪示之邏輯運算子所進行之邏輯運算。請參見第6C圖,查找表(LUT)210可以記錄或儲存如第6B圖所繪示之邏輯運算子依據其輸入X0-X3之16種組合而分別產生所有共16個之結果值或編程碼。查找表(LUT)210可以編程有該些16個結果值或編程碼,分別儲存在如第1A圖或第1B圖所繪示之共16個記憶單元490中(每一個記憶單元490可以是晶片上揮發性記憶體單元),而其輸出Out1或Out2分別耦接可編程邏輯區塊(LB)201之多工器211之第一組的共16個輸入D0-D15,如第4A圖、第4C圖、第4D圖或第4G圖至第4I圖所示,或是每一個記憶單元490可以是晶片上非揮發性記憶體單元,例如是浮動閘極非揮發性記憶體單元、由磁阻隨機存取記憶體(MagnetoresistiveRandomAccessMemory,MRAM)IC晶片或電阻式隨機存取記憶體(resistiverandomaccessmemories,RRAM)IC晶片、相變化隨機存取記憶體(PhaseChangeRandomAccessMemory)IC晶片或鐵電隨機存取記憶體(FerroelectricRandomAccessMemory,FRAM)IC晶片,多工器211可以根據其第二組之輸入A0-A3的組合決定其第一組之輸入D0-D15其中之一傳送至其輸出Dout,作為可編程邏輯區塊(LB)201之輸出,如第6A圖所示。FIG. 6C shows a look-up table (LUT) 210 that can be used to achieve logical operations performed by the logical operators shown in FIG. 6B. Referring to FIG. 6C, the look-up table (LUT) 210 can record or store all 16 result values or programming codes generated by the logic operator as shown in FIG. 6B according to 16 combinations of its inputs X0-X3 respectively. . The look-up table (LUT) 210 can be programmed with these 16 result values or programming codes, which are respectively stored in a total of 16 memory cells 490 as shown in FIG. 1A or FIG. 1B (each memory cell 490 may be a chip upper volatile memory cell), and its output Out1 or Out2 is respectively coupled to a total of 16 inputs D0-D15 of the first group of the multiplexer 211 of the programmable logic block (LB) 201, as shown in FIG. As shown in Figure 4C, Figure 4D, or Figures 4G to 4I, or each memory cell 490 may be an on-chip non-volatile memory cell, such as a floating gate non-volatile memory cell, a magnetoresistive Random Access Memory (Magnetoresistive Random Access Memory, MRAM) IC chip or Resistive Random Access Memory (RRAM) IC chip, Phase Change Random Access Memory (Phase Change Random Access Memory) IC chip or Ferroelectric Random Access Memory (Ferroelectric Random Access Memory) ,FRAM) IC chip, the multiplexer 211 can determine one of the inputs D0-D15 of the first group to transmit to its output Dout according to the combination of the inputs A0-A3 of the second group, as a programmable logic block (LB ) 201, as shown in Figure 6A.

或者,可編程邏輯區塊(LB)201可由多個可編程邏輯閘取代,經編程後可執行如第6B圖所示之邏輯運算或布林運算。Alternatively, the programmable logic block (LB) 201 may be replaced by a plurality of programmable logic gates, which are programmed to perform logic operations or Boolean operations as shown in FIG. 6B.

或者,多個可編程邏輯區塊(LB)201可經編程以整合形成一計算運算子,例如執行加法運算、減法運算、乘法運算或除法運算。計算運算子例如是加法器電路、多工器、移位寄存器、浮點電路及乘法和/或除法電路。舉例而言,計算運算子可以將兩個二進制數字[A1,A0]及[A3,A2]相乘以產生一四個二進制數字之輸出[C3,C2,C1,C0],如第6D圖所示。為達成此運算,4個如第6A圖所示之可編程邏輯區塊(LB)201可以編程以整合形成該計算運算子,其中每一個可以根據其輸入[A1,A0,A3,A2]之組合而產生其輸出,其輸出係為四個二進制數字[C3,C2,C1,C0]其中之一的二進制數字。在將二進制數字[A1,A0]乘以二進制數字[A3,A2]時,這4個可編程邏輯區塊(LB)201可以根據相同的其輸入[A1,A0,A3,A2]之組合而分別產生其輸出,亦即為四個二進制數字[C3,C2,C1,C0]其中之一,這4個可編程邏輯區塊(LB)201可以分別編程有查找表(LUT)210,亦即為Table-0、Table-1、Table-2及Table-3。Alternatively, multiple programmable logic blocks (LBs) 201 may be programmed to integrate to form a computational operator, such as to perform addition, subtraction, multiplication or division operations. Computational operators are, for example, adder circuits, multiplexers, shift registers, floating point circuits and multiply and/or divide circuits. For example, the computation operator can multiply two binary numbers [A1, A0] and [A3, A2] to produce an output of four binary numbers [C3, C2, C1, C0], as shown in Figure 6D Show. To achieve this operation, four programmable logic blocks (LB) 201 as shown in FIG. 6A can be programmed to integrate to form the calculation operator, each of which can be based on the combination of its inputs [A1, A0, A3, A2]. combined to produce its output, which is the binary number of one of the four binary numbers [C3, C2, C1, C0]. When multiplying the binary numbers [A1, A0] by the binary numbers [A3, A2], the four programmable logic blocks (LB) 201 can be generated according to the same combination of their inputs [A1, A0, A3, A2] The output is generated respectively, which is one of the four binary numbers [C3, C2, C1, C0]. The four programmable logic blocks (LB) 201 can be programmed with a look-up table (LUT) 210 respectively, that is, For Table-0, Table-1, Table-2 and Table-3.

舉例而言,請參見第6A圖及第6D圖,許多記憶單元490可以組成供作為每一查找表(LUT)210(Table-0、Table-1、Table-2或Table-3)之用,其中每一記憶單元490可以是晶片上揮發性記憶體單元,如第1A圖或第1B圖所描述之記憶單元398,或是一非揮發性記憶體單元,例如是浮動閘極非揮發性記憶體單元、由磁阻隨機存取記憶體(MagnetoresistiveRandomAccessMemory,MRAM)IC晶片或電阻式隨機存取記憶體(resistiverandomaccessmemories,RRAM)IC晶片、相變化隨機存取記憶體(PhaseChangeRandomAccessMemory)IC晶片或鐵電隨機存取記憶體(FerroelectricRandomAccessMemory,FRAM)IC晶片,且可以儲存對應於四個二進制數字C0-C3其中之一的其中一結果值或編程碼。這4個可編程邏輯區塊(LB)201其中第一個之多工器211之第一組之輸入D0-D15其每一個係耦接用於查找表210(Table-0)之其中一記憶單元490之輸出Out1或Out2,而其第二組之輸入A0-A3係決定讓其第一組之輸入D0-D15其中之一傳送至其輸出Dout,作為第一個可編程邏輯區塊(LB)201之輸出C0;這4個可編程邏輯區塊(LB)201其中第二個之多工器211之第一組之輸入D0-D15其每一個係耦接用於查找表210(Table-1)之其中一記憶單元490之輸出Out1或Out2,而其第二組之輸入A0-A3係決定讓其第一組之輸入D0-D15其中之一傳送至其輸出Dout,作為第二個可編程邏輯區塊(LB)201之輸出C1;這4個可編程邏輯區塊(LB)201其中第三個之多工器211之第一組之輸入D0-D15其每一個係耦接用於查找表210(Table-2)之其中一記憶單元490之輸出Out1或Out2,而其第二組之輸入A0-A3係決定讓其第一組之輸入D0-D15其中之一傳送至其輸出Dout,作為第三個可編程邏輯區塊(LB)201之輸出C2;這4個可編程邏輯區塊(LB)201其中第四個之多工器211之第一組之輸入D0-D15其每一個係耦接用於查找表210(Table-3)之其中一記憶單元490之輸出Out1或Out2,而其第二組之輸入A0-A3係決定讓其第一組之輸入D0-D15其中之一傳送至其輸出Dout,作為第四個可編程邏輯區塊(LB)201之輸出C3。For example, referring to FIGS. 6A and 6D, a number of memory cells 490 can be formed for each look-up table (LUT) 210 (Table-0, Table-1, Table-2 or Table-3), Each of the memory cells 490 may be an on-chip volatile memory cell, such as the memory cell 398 depicted in FIG. 1A or 1B, or a non-volatile memory cell, such as a floating gate non-volatile memory cell. Body unit, composed of magnetoresistive random access memory (MRAM) IC chip or resistive random access memory (RRAM) IC chip, phase change random access memory (Phase Change Random Access Memory) IC chip or ferroelectric random access memory The access memory (Ferroelectric Random Access Memory, FRAM) IC chip can store one of the result values or programming codes corresponding to one of the four binary numbers C0-C3. Each of the inputs D0-D15 of the first group of the multiplexer 211 of the first of the four programmable logic blocks (LB) 201 is coupled to one of the memories of the look-up table 210 (Table-0) The output Out1 or Out2 of the unit 490, and the input A0-A3 of the second group is determined to send one of the inputs D0-D15 of the first group to its output Dout as the first programmable logic block (LB ) 201 output C0; the four programmable logic blocks (LB) 201 in which the second one of the first group of inputs D0-D15 of the multiplexer 211 are each coupled for look-up table 210 (Table- 1) The output Out1 or Out2 of one of the memory cells 490, and the input A0-A3 of the second group is determined to send one of the inputs D0-D15 of the first group to its output Dout, as the second available The output C1 of the programming logic block (LB) 201; the inputs D0-D15 of the first group of the multiplexer 211 of the third of the four programmable logic blocks (LB) 201 are each coupled for The output Out1 or Out2 of one of the memory cells 490 of the lookup table 210 (Table-2), and the input A0-A3 of the second group is determined to send one of the inputs D0-D15 of the first group to its output Dout , as the output C2 of the third programmable logic block (LB) 201; among the four programmable logic blocks (LB) 201, each of the inputs D0-D15 of the first group of the multiplexer 211 of the fourth programmable logic block (LB) 201 One is coupled to the output Out1 or Out2 of one of the memory cells 490 used in the lookup table 210 (Table-3), and the input A0-A3 of the second group is determined to be one of the inputs D0-D15 of the first group One is sent to its output Dout as the output C3 of the fourth programmable logic block (LB) 201 .

因此,請參見第6D圖,這4個可編程邏輯區塊(LB)201可以構成該計算運算子,並且可以根據相同的其輸入之組合[A1,A0,A3,A2]分別產生二進制的其輸出C0-C3,以組成四個二進制數字[C0,C1,C2,C3]。在本實施例中,這4個可編程邏輯區塊(LB)201之相同的輸入即為該計算運算子之輸入,這4個可編程邏輯區塊(LB)201之輸出C0-C3即為該計算運算子之輸出。該計算運算子可以根據其四位元輸入之組合[A1,A0,A3,A2]產生四個二進制數字[C0,C1,C2,C3]之輸出。Therefore, please refer to FIG. 6D, the four programmable logic blocks (LB) 201 can constitute the calculation operator, and can respectively generate binary other Output C0-C3 to form four binary digits [C0,C1,C2,C3]. In this embodiment, the same input of the four programmable logic blocks (LB) 201 is the input of the calculation operator, and the outputs C0-C3 of the four programmable logic blocks (LB) 201 are The output of this computation operator. The computational operator can generate an output of four binary numbers [C0, C1, C2, C3] according to the combination of its four-bit inputs [A1, A0, A3, A2].

請參見第6D圖,舉3乘以3的例子而言,這4個可編程邏輯區塊(LB)201之輸入的組合[A1,A0,A3,A2]均為[1,1,1,1],根據其輸入的組合可以決定二進制的其輸出[C3,C2,C1,C0]係為[1,0,0,1]。第一個可編程邏輯區塊(LB)201可以根據輸入的組合([A1,A0,A3,A2]=[1,1,1,1]),產生其輸出C0,係為邏輯值為“1”之二進制數字;第二個可編程邏輯區塊(LB)201可以根據輸入的組合([A1,A0,A3,A2]=[1,1,1,1]),產生其輸出C1,係為邏輯值為“0”之二進制數字;第三個可編程邏輯區塊(LB)201可以根據輸入的組合([A1,A0,A3,A2]=[1,1,1,1]),產生其輸出C2,係為邏輯值為“0”之二進制數字;第四個可編程邏輯區塊(LB)201可以根據輸入的組合([A1,A0,A3,A2]=[1,1,1,1]),產生其輸出C3,係為邏輯值為“1”之二進制數字。Please refer to FIG. 6D, for example, for the example of multiplying 3 by 3, the combination [A1, A0, A3, A2] of the inputs of the four programmable logic blocks (LB) 201 are all [1, 1, 1, 1], according to the combination of its inputs, the binary output [C3, C2, C1, C0] can be determined as [1, 0, 0, 1]. The first programmable logic block (LB) 201 can generate its output C0 according to the combination of inputs ([A1,A0,A3,A2]=[1,1,1,1]), which is the logic value of " 1” binary number; the second programmable logic block (LB) 201 can generate its output C1 according to the combination of inputs ([A1,A0,A3,A2]=[1,1,1,1]), is a binary number with a logic value of "0"; the third programmable logic block (LB) 201 can be based on the combination of the input ([A1,A0,A3,A2]=[1,1,1,1]) , to generate its output C2, which is a binary number with a logic value of "0"; the fourth programmable logic block (LB) 201 can ,1,1]), which produces its output C3, which is a binary number with a logic value of "1".

或者,這4個可編程邏輯區塊(LB)201可由多個可編程邏輯閘取代,經編程後可形成如6E圖所示之電路執行計算運算,其相同於前述這4個可編程邏輯區塊(LB)201所執行之計算運算。計算運算子可以編程以形成如6E圖所示之電路,可對兩個二進制數字[A1,A0]及[A3,A2]進行乘法運算以獲得四個二進制數字[C3,C2,C1,C0],其運算結果如第6D圖所示。請參見第6E圖,該計算運算子可以編程有一及(AND)閘234,可以對其二輸入(亦即為該計算運算子之二輸入A0及A3)進行及(AND)運算以產生一輸出;該計算運算子還編程有一及(AND)閘235,可以對其二輸入(亦即為該計算運算子之二輸入A0及A2)進行及(AND)運算以產生一輸出,作為該計算運算子之輸出C0;該計算運算子還編程有一及(AND)閘236,可以對其二輸入(亦即為該計算運算子之二輸入A1及A2)進行及(AND)運算以產生一輸出;該計算運算子還編程有一及(AND)閘237,可以對其二輸入(亦即為該計算運算子之二輸入A1及A3)進行及(AND)運算以產生一輸出;該計算運算子還編程有一互斥或(ExOR)閘238,可以對分別耦接至及(AND)閘234及236之輸出的其二輸入進行互斥或(Exclusive-OR)運算以產生一輸出,作為該計算運算子之輸出C1;該計算運算子還編程有一及(AND)閘239,可以對分別耦接至及(AND)閘234及236之輸出的其二輸入進行及(AND)運算以產生一輸出;該計算運算子還編程有一互斥或(ExOR)閘242,可以對分別耦接至及(AND)閘239及237之輸出的其二輸入進行互斥或(Exclusive-OR)運算以產生一輸出,作為該計算運算子之輸出C2;該計算運算子還編程有一及(AND)閘253,可以對分別耦接至及(AND)閘239及237之輸出的其二輸入進行及(AND)運算以產生一輸出,作為該計算運算子之輸出C3。Alternatively, the four programmable logic blocks (LB) 201 can be replaced by a plurality of programmable logic gates, and after programming, a circuit as shown in FIG. 6E can be formed to perform calculation operations, which is the same as the above-mentioned four programmable logic regions Computational operations performed by block (LB) 201 . The calculation operator can be programmed to form a circuit as shown in Figure 6E, which can multiply two binary numbers [A1, A0] and [A3, A2] to obtain four binary numbers [C3, C2, C1, C0] , and its operation result is shown in Fig. 6D. Referring to FIG. 6E, the calculation operator can be programmed with an AND gate 234, which can AND its two inputs (ie, the two inputs A0 and A3 of the calculation operator) to generate an output The calculation operator is also programmed with an AND gate 235, which can perform AND (AND) operation on its two inputs (that is, the two inputs A0 and A2 of the calculation operator) to generate an output as the calculation operation The output C0 of the sub; the calculation operator is also programmed with an AND gate 236, which can perform AND (AND) operation on its two inputs (that is, the two inputs A1 and A2 of the calculation operator) to generate an output; The calculation operator is also programmed with an AND gate 237, which can AND its two inputs (that is, the two inputs A1 and A3 of the calculation operator) to generate an output; the calculation operator also An exclusive-OR (ExOR) gate 238 is programmed to perform an exclusive-OR operation on the two inputs coupled to the outputs of the AND (AND) gates 234 and 236, respectively, to generate an output as the calculation operation the output C1 of the sub; the calculation operator is also programmed with an AND gate 239 that can AND its two inputs coupled to the outputs of the AND gates 234 and 236, respectively, to produce an output; The computational operator is also programmed with an exclusive-OR (ExOR) gate 242, which can perform an exclusive-OR (Exclusive-OR) operation on the two inputs coupled to the outputs of the AND (AND) gates 239 and 237, respectively, to generate an output , as the output C2 of the calculation operator; the calculation operator is also programmed with an AND gate 253 to perform AND operation on its two inputs coupled to the outputs of the AND gates 239 and 237, respectively to generate an output as the output C3 of the calculation operator.

綜上所述,可編程邏輯區塊(LB)201可以設有用於查找表(LUT)210之2的n次方個的記憶單元490,儲存針對n個其輸入的所有組合(共2的n次方個組合)所對應之2的n次方個的結果值或編程碼。舉例而言,數目n可以是任何大於或等於2的整數,例如是介於2到64之間。例如請參見第6C圖及第6D圖,可編程邏輯區塊(LB)201之輸入的數目可以是等於4,故針對其輸入的所有組合所對應之結果值或編程碼之數目係為2的4次方個,亦即為16個。To sum up, the programmable logic block (LB) 201 may be provided with n memory cells 490 for the look-up table (LUT) 210 to the second power, storing all combinations of n inputs thereof (n of 2 in total) The result value or programming code of the nth power of 2 corresponding to the combination). For example, the number n can be any integer greater than or equal to 2, such as between 2 and 64. For example, referring to FIG. 6C and FIG. 6D, the number of inputs of the programmable logic block (LB) 201 can be equal to 4, so the number of result values or programming codes corresponding to all combinations of its inputs is 2 4 to the power, that is, 16.

如上所述,如第6A圖所繪示之可編程邏輯區塊(LB)201可以對其輸入執行邏輯運算以產生一輸出,其中該邏輯運算包括布林運算,例如是及(AND)運算、非及(NAND)運算、或(OR)運算、非或(NOR)運算。如第6A圖所繪示之可編程邏輯區塊(LB)201亦可以對其輸入執行計算運算以產生一輸出,其中該計算運算包括加法運算、減法運算、乘法運算或除法運算。As described above, the programmable logic block (LB) 201 shown in FIG. 6A can perform logical operations on its inputs to generate an output, wherein the logical operations include Boolean operations, such as AND operations, NOT AND (NAND) operation, OR (OR) operation, NOT OR (NOR) operation. The programmable logic block (LB) 201 as shown in FIG. 6A can also perform computational operations on its inputs to generate an output, wherein the computational operations include addition, subtraction, multiplication or division.

可編程交互連接線之說明Description of Programmable Interconnect Cables

第7A圖係為根據本申請案之實施例所繪示之由通過/不通開關所編程之可編程交互連接線之方塊圖。請參見第7A圖,如第2A圖至第2F圖所繪示之第一型至第六型之通過/不通開關258(即可配置或可重新配置交互連接線電路)可編程以控制二可編程交互連接線361是否要讓其相互耦接,其中一可編程交互連接線361係耦接至通過/不通開關258之節點N21,而其中另一可編程交互連接線361係耦接至通過/不通開關258之節點N22。因此,通過/不通開關258可以切換成開啟狀態,讓該其中一可編程交互連接線361可經由通過/不通開關258耦接至該其中另一可編程交互連接線361;或者,通過/不通開關258亦可以切換成關閉狀態,讓該其中一可編程交互連接線361不經由通過/不通開關258耦接至該其中另一可編程交互連接線361。FIG. 7A is a block diagram of a programmable interconnection line programmed by a pass/fail switch shown in accordance with an embodiment of the present application. Referring to FIG. 7A, the pass/no switch 258 (ie, configurable or reconfigurable interconnect circuit) of the first to sixth types shown in FIGS. 2A to 2F can be programmed to control the two Whether the programming interconnection lines 361 should be coupled to each other, wherein one programmable interconnection line 361 is coupled to the node N21 of the pass/non-pass switch 258, and the other programmable interconnection line 361 is coupled to the pass/fail switch 258 Node N22 of switch 258 is blocked. Therefore, the pass/no switch 258 can be switched to an on state, allowing one of the programmable interconnect lines 361 to be coupled to the other one of the programmable interconnect lines 361 via the pass/no switch 258; alternatively, a pass/no switch 258 can also be switched to an off state, so that one of the programmable interconnect lines 361 is not coupled to the other of the programmable interconnect lines 361 through the pass/no switch 258 .

請參見第7A圖,記憶單元362可以耦接通過/不通開關258,用以控制開啟或關閉通過/不通開關258,其中記憶單元362是晶片上揮發性記憶體單元,如第1A圖或第1B圖所描述之記憶單元398或是一非揮發性記憶體單元,例如是浮動閘極非揮發性記憶體單元、由磁阻隨機存取記憶體(MagnetoresistiveRandomAccessMemory,MRAM)IC晶片或電阻式隨機存取記憶體(resistiverandomaccessmemories,RRAM)IC晶片、相變化隨機存取記憶體(PhaseChangeRandomAccessMemory)IC晶片或鐵電隨機存取記憶體(FerroelectricRandomAccessMemory,FRAM)IC晶片。當可編程交互連接線361係透過如第2A圖所繪示之第一型通過/不通開關258進行編程時,第一型通過/不通開關258之每一節點SC-1及SC-2係分別耦接至記憶單元362之輸出(亦即為記憶單元398之輸出Out1及Out2),以接收與儲存在記憶單元362中之編程碼有關的其輸出來控制開啟或關閉第一型通過/不通開關258,讓分別耦接第一型通過/不通開關258之二節點N21及N22的二可編程交互連接線361呈相互耦合狀態或呈斷路狀態。當可編程交互連接線361係透過如第2B圖所繪示之第二型通過/不通開關258進行編程時,第二型通過/不通開關258之節點SC-3係耦接至記憶單元362之輸出(亦即為記憶單元398之輸出Out1或Out2),以接收與儲存在記憶單元362中之編程碼有關的其輸出來控制開啟或關閉第二型通過/不通開關258,讓分別耦接第二型通過/不通開關258之二節點N21及N22的二可編程交互連接線361呈相互耦合狀態或呈斷路狀態。當可編程交互連接線361係透過如第2C圖或第2D圖所繪示之第三型或第四型通過/不通開關258進行編程時,第三型或第四型通過/不通開關258之節點SC-4係耦接至記憶單元362之輸出(亦即為記憶單元398之輸出Out1或Out2),以接收與儲存在記憶單元362中之編程碼有關的其輸出來控制開啟或關閉第三型或第四型通過/不通開關258,讓分別耦接第三型或第四型通過/不通開關258之二節點N21及N22的二可編程交互連接線361呈相互耦合狀態或呈斷路狀態;或者,其P型及N型MOS電晶體295及296之閘極係分別耦接至記憶單元362之二輸出(亦即為記憶單元398之輸出Out1及Out2),以接收與儲存在記憶單元362中之編程碼有關的其二輸出來控制開啟或關閉第三型或第四型通過/不通開關258,讓分別耦接第三型或第四型通過/不通開關258之二節點N21及N22的二可編程交互連接線361呈相互耦合狀態或呈斷路狀態,此時其反向器297係可省去的。當可編程交互連接線361係透過如第2E圖或第2F圖所繪示之第五型或第六型通過/不通開關258進行編程時,第五型或第六型通過/不通開關258之每一節點SC-5及SC-6係分別耦接至記憶單元362之輸出(亦即為記憶單元398之輸出Out1或Out2),以接收與儲存在記憶單元362中之編程碼有關的其輸出來控制開啟或關閉第五型或第六型通過/不通開關258,讓分別耦接第五型或第六型通過/不通開關258之二節點N21及N22的二可編程交互連接線361呈相互耦合狀態或呈斷路狀態;或者,位在其左側之其P型及N型MOS電晶體295及296之閘極係分別耦接至一記憶單元362之二輸出(亦即為記憶單元398之輸出Out1及Out2),以接收與儲存在該記憶單元362中之編程碼有關的其二輸出,並且位在其右側之其P型及N型MOS電晶體295及296之閘極係分別耦接至另一記憶單元362之二輸出(亦即為記憶單元398之輸出Out1及Out2),以接收與儲存在該另一記憶單元362中之編程碼有關的其二輸出,來控制開啟或關閉第五型或第六型通過/不通開關258,讓分別耦接第五型或第六型通過/不通開關258之二節點N21及N22的二可編程交互連接線361呈相互耦合狀態或呈斷路狀態,此時其反向器297係可省去的。在編程記憶單元362之前或是在編程記憶單元362當時,可編程交互連接線361是不會用於訊號傳輸的,而透過編程記憶單元362可以讓通過/不通開關258切換成開啟狀態,以耦接該二可編程交互連接線361,用於訊號傳輸;或者,透過編成記憶單元362可讓通過/不通開關258切換成關閉狀態,以切斷該二可編程交互連接線361之耦接。同樣地,如第3A圖及第3B圖所繪示之第一型及第二型交叉點開關379係由多個上述任一型之通過/不通開關258所構成,其中每一通過/不通開關258之節點(SC-1及SC-2)、SC-3、SC-4或(SC-5及SC-6)係耦接至記憶單元362之輸出,以接收與儲存在記憶單元362中之編程碼有關的其輸出來控制開啟或關閉該每一通過/不通開關258,讓分別耦接該每一通過/不通開關258之二節點N21及N22的二可編程交互連接線361呈相互耦合狀態或呈斷路狀態。Please refer to FIG. 7A, the memory unit 362 can be coupled to the pass/fail switch 258 to control turning on or off of the pass/fail switch 258, wherein the memory unit 362 is an on-chip volatile memory cell, as shown in FIG. 1A or 1B The memory cell 398 depicted in the figure is also a non-volatile memory cell, such as a floating gate non-volatile memory cell, a magnetoresistive random access memory (MRAM) IC chip or a resistive random access memory cell. Memory (resistiverandomaccessmemories, RRAM) IC chip, phase change random access memory (PhaseChangeRandomAccessMemory)IC chip or ferroelectric randomaccessmemory (FerroelectricRandomAccessMemory,FRAM) IC chip. When the programmable interconnect 361 is programmed through the first type pass/stop switch 258 as shown in FIG. 2A, each node SC-1 and SC-2 of the first type pass/break switch 258 is respectively coupled to the outputs of the memory unit 362 (ie, the outputs Out1 and Out2 of the memory unit 398 ) to receive its outputs related to the programming code stored in the memory unit 362 to control the opening or closing of the first type pass/fail switch 258 , making the two programmable interconnecting lines 361 respectively coupled to the two nodes N21 and N22 of the first type pass/fail switch 258 to be in a mutually coupled state or in an open state. When the programmable interconnection line 361 is programmed through the second type pass/fail switch 258 as shown in FIG. 2B, the node SC-3 of the second type pass/fail switch 258 is coupled to the memory cell 362 The output (that is, the output Out1 or Out2 of the memory unit 398) is used to receive its output related to the programming code stored in the memory unit 362 to control the opening or closing of the second-type pass/no-pass switch 258, so that the The two programmable interconnecting lines 361 of the two nodes N21 and N22 of the type 2 pass/fail switch 258 are in a mutually coupled state or in an open state. When the programmable interconnect 361 is programmed through the third or fourth type pass/fail switch 258 as depicted in FIG. 2C or 2D, the third or fourth type pass/fail switch 258 The node SC-4 is coupled to the output of the memory unit 362 (ie, the output Out1 or Out2 of the memory unit 398 ) to receive its output related to the programming code stored in the memory unit 362 to control turning on or off the third Type 3 or Type 4 pass/stop switch 258, so that the two programmable interconnecting lines 361 respectively coupled to two nodes N21 and N22 of Type 3 or Type 4 pass/stop switch 258 are in a coupled state or in an open state; Alternatively, the gates of the P-type and N-type MOS transistors 295 and 296 are respectively coupled to the two outputs of the memory unit 362 (ie, the outputs Out1 and Out2 of the memory unit 398 ) to receive and store in the memory unit 362 The other two outputs related to the programming code in it are used to control the opening or closing of the third-type or fourth-type pass/fail switch 258, so that the two nodes N21 and N22 respectively coupled to the third-type or fourth-type pass/fail switch 258 The two programmable interconnecting lines 361 are in a mutually coupled state or in an open state, and the inverter 297 can be omitted at this time. When the programmable interconnect 361 is programmed through the fifth or sixth type pass/fail switch 258 as depicted in FIG. 2E or FIG. 2F, the connection between the fifth or sixth type pass/fail switch 258 Each node SC-5 and SC-6 is coupled to the output of memory cell 362 (ie, the output Out1 or Out2 of memory cell 398 ), respectively, to receive its output related to the programming code stored in memory cell 362 to control the opening or closing of the fifth type or sixth type pass/fail switch 258, so that the two programmable interconnection lines 361 respectively coupled to the two nodes N21 and N22 of the fifth type or sixth type pass/fail switch 258 are connected to each other. The coupled state or the open-circuit state; or, the gates of the P-type and N-type MOS transistors 295 and 296 on the left side thereof are respectively coupled to the two outputs of a memory cell 362 (that is, the output of the memory cell 398 ). Out1 and Out2) to receive its two outputs related to the programming code stored in the memory cell 362, and the gates of its P-type and N-type MOS transistors 295 and 296 on the right side thereof are respectively coupled to The second output of the other memory unit 362 (that is, the outputs Out1 and Out2 of the memory unit 398 ) is used to receive the other two outputs related to the programming code stored in the other memory unit 362 to control the opening or closing of the fifth The pass/no switch 258 of the type 5 or the type 6 makes the two programmable interconnecting lines 361 respectively coupled to the two nodes N21 and N22 of the pass/no switch 258 of the type 5 or type 6 to be in a mutually coupled state or in an open state, At this time, the inverter 297 can be omitted. Before programming the memory unit 362 or at the time of programming the memory unit 362, the programmable interconnection cable 361 will not be used for signal transmission, and through the programming memory unit 362, the pass/fail switch 258 can be switched to the on state to couple The two programmable interconnecting wires 361 are connected for signal transmission; alternatively, the pass/fail switch 258 can be switched to an off state by programming the memory unit 362 to cut off the coupling of the two programmable interconnecting wires 361 . Likewise, the first-type and second-type crosspoint switches 379 shown in FIGS. 3A and 3B are composed of a plurality of pass/no-pass switches 258 of any of the above-mentioned types, each of which is a pass/no-pass switch. 258 nodes (SC-1 and SC-2), SC-3, SC-4 or (SC-5 and SC-6) are coupled to the output of memory cell 362 to receive and store in memory cell 362 The output of the programming code is related to control to turn on or off each pass/no switch 258, so that the two programmable interconnecting lines 361 respectively coupled to the two nodes N21 and N22 of each pass/no switch 258 are in a mutually coupled state or open circuit.

第7B圖係為根據本申請案之實施例所繪示之由交叉點開關編程之可編程交互連接線之線路圖。請參見第7B圖,四條可編程交互連接線361係分別耦接如第3C圖所繪示之第三型交叉點開關379之四節點N23-N26。因此,該四條可編程交互連接線361之其中一條可以透過第三型交叉點開關379(即可配置或可重新配置交互連接線電路)之切換以耦接至其另外一條、其另外兩條或是其另外三條;因此,每一多工器211之三輸入係耦接該四條可編程交互連接線361之其中三條,而其輸出係耦接該四條可編程交互連接線361之另一條,每一多工器211(即可配置或可重新配置交互連接線電路)可以根據其第二組之二輸入A0及A1讓其第一組之該三輸入其中之一傳送至其輸出。當交叉點開關379係由四個第一型多工器211所構成時,其每一第一型多工器211之第二組之二輸入A0及A1係分別耦接三個記憶體單元362中的二個記憶單元之輸出(亦即為記憶單元398之輸出Out1或Out2);及每一通過/不通過開關258(即可配置或可重新配置交互連接線電路)可具有節點SC-4耦接另一個記憶體單元361的輸出(即記憶單元398之輸出Out1或Out2)。或者,當交叉點開關379係由四個第二型或第三型多工器211(如第4F圖或第4J圖中所示)所構成時,其每一第二型或第三型多工器211之第二組之二輸入A0及A1及節點SC-4其中每一個係耦接三個記憶單元262中的二個記憶單元262之輸出(亦即為記憶單元398之輸出Out1或Out2,及每一通過/不通過開關258(即可配置或可重新配置交互連接線電路)的節點SC-4耦接至另一記憶體單元362的輸出);或者,當交叉點開關379係由四個第二型或第三型多工器211所構成時,其每一第二型或第三型多工器211之第二組之二輸入A0及A1其中每一個係耦接記憶單元262之輸出(亦即為記憶單元398之輸出Out1或Out2),而其P型及N型MOS電晶體295及296之閘極係分別耦接至記憶單元362之二輸出(亦即為記憶單元398之輸出Out1及Out2),以接收與儲存在記憶單元362中之編程碼有關的其二輸出來控制開啟或關閉其第三型或第四型通過/不通開關258,讓其第三型或第四型通過/不通開關258之輸入與輸出Dout呈相互耦合狀態或呈斷路狀態,此時其反向器297係可省去的。因此,每一多工器211之三輸入係耦接該四條可編程交互連接線361之其中三條,而其輸出係耦接該四條可編程交互連接線361之另一條,每一多工器211可以根據其第二組之二輸入A0及A1讓其第一組之該三輸入其中之一傳送至其輸出,或者再根據節點SC-4之邏輯值或在P型及N型MOS電晶體295及296之閘極之邏輯值讓其第一組之該三輸入其中之一傳送至其輸出。FIG. 7B is a circuit diagram of a programmable interconnection line programmed by a crosspoint switch according to an embodiment of the present application. Referring to FIG. 7B, the four programmable interconnection lines 361 are respectively coupled to the four nodes N23-N26 of the third-type cross-point switch 379 shown in FIG. 3C. Therefore, one of the four programmable interconnect lines 361 can be coupled to the other one of the four programmable interconnect lines 361 through the switching of the third type crosspoint switch 379 (ie, the configurable or reconfigurable interconnect line circuit), the other two interconnect lines, or are the other three; therefore, three inputs of each multiplexer 211 are coupled to three of the four programmable interconnect lines 361, and its output is coupled to the other of the four programmable interconnect lines 361, each A multiplexer 211 (ie, a configurable or reconfigurable interconnect circuit) can have one of the three inputs of its first set routed to its output according to its second set of two inputs A0 and A1. When the cross-point switch 379 is composed of four first-type multiplexers 211 , the two inputs A0 and A1 of the second group of each first-type multiplexer 211 are respectively coupled to the three memory cells 362 The output of two memory cells in (ie, the output Out1 or Out2 of memory cell 398); and each pass/fail switch 258 (ie, configurable or reconfigurable interconnect circuit) may have node SC-4 It is coupled to the output of another memory unit 361 (ie, the output Out1 or Out2 of the memory unit 398 ). Alternatively, when the crosspoint switch 379 is composed of four second or third type multiplexers 211 (as shown in FIG. 4F or 4J), each of the second or third type multiplexers 211 is more Each of the two inputs A0 and A1 of the second group of the processor 211 and the node SC-4 is coupled to the output of two memory cells 262 of the three memory cells 262 (ie, the output Out1 or Out2 of the memory cell 398 ). , and each node SC-4 that passes/does not pass through switch 258 (ie, a configurable or reconfigurable crosslink circuit is coupled to the output of another memory cell 362); or, when crosspoint switch 379 is connected by When four second-type or third-type multiplexers 211 are formed, each of the two inputs A0 and A1 of the second group of each second-type or third-type multiplexer 211 is coupled to the memory unit 262 The output of the memory cell 398 (that is, the output Out1 or Out2 of the memory cell 398 ), and the gates of the P-type and N-type MOS transistors 295 and 296 are respectively coupled to the two outputs of the memory cell 362 (that is, the memory cell 398 ) output Out1 and Out2), to receive the other two outputs related to the programming code stored in the memory unit 362 to control opening or closing of its third or fourth type pass/fail switch 258, allowing its third or fourth type The input and output Dout of the four-type pass/fail switch 258 are in a mutually coupled state or in an open-circuit state, and the inverter 297 can be omitted at this time. Therefore, three inputs of each multiplexer 211 are coupled to three of the four programmable interconnection lines 361, and its output is coupled to the other of the four programmable interconnection lines 361. Each multiplexer 211 According to the two inputs A0 and A1 of the second group, one of the three inputs of the first group can be transmitted to its output, or according to the logic value of the node SC-4 or the P-type and N-type MOS transistors 295 The logic value of the gate of and 296 causes one of the three inputs of its first group to be sent to its output.

舉例而言,請參見第3C圖及第7B圖,以下說明係以交叉點開關379由四個第二型或第三型多工器211所構成為例。上面的多工器211之第二組之輸入A01 及A11 及節點SC1 -4係耦接至三個記憶單元362-1之輸出(亦即為三個記憶單元398之輸出Out1或Out2),左邊的多工器211之第二組之輸入A02 及A12 及節點SC2 -4係耦接至三個記憶單元362-2之輸出(亦即為三個記憶單元398之輸出Out1或Out2),下面的多工器211之第二組之輸入A03 及A13 及節點SC3 -4係耦接至三個記憶單元362-3之輸出(亦即為三個記憶單元398之輸出Out1或Out2),右邊的多工器211之第二組之輸入A04 及A14 及節點SC4 -4係耦接至三個記憶單元362-4之輸出(亦即為三個記憶單元398之輸出Out1或Out2)。在編程記憶單元362-1、362-2、362-3及362-4之前或是在編程記憶單元362-1、362-2、362-3及362-4當時,四條可編程交互連接線361是不會用於訊號傳輸的,而透過編程記憶單元362-1、362-2、362-3及362-4可以讓四個第二型或第三型多工器211之每一個從其三個第一組之輸入中選擇其一傳送至其輸出,使得四條可編程交互連接線361其中一條可耦接四條可編程交互連接線361其中另一條、其中另兩條或其中另三條,用於訊號傳輸。For example, please refer to FIG. 3C and FIG. 7B , the following description is based on an example in which the cross-point switch 379 is constituted by four second-type or third-type multiplexers 211 . The inputs A0 1 and A1 1 and nodes SC 1 -4 of the second group of the above multiplexer 211 are coupled to the outputs of the three memory cells 362 - 1 (ie, the outputs Out1 or Out2 of the three memory cells 398 ) ), the inputs A0 2 and A1 2 and the nodes SC 2 -4 of the second group of the multiplexer 211 on the left are coupled to the outputs of the three memory units 362 - 2 (that is, the output Out1 of the three memory units 398 ) or Out2), the inputs A0 3 and A1 3 and nodes SC 3-4 of the second group of the multiplexer 211 below are coupled to the outputs of the three memory cells 362-3 (that is, the three memory cells 398 or output Out1 and Out2), the right of the input multiplexer 211 of the second group of nodes A0 4 A1 4 SC 4 -4-based and is coupled to the memory unit 362-4 of three (i.e., three memory units 398 output Out1 or Out2). Before programming memory cells 362-1, 362-2, 362-3 and 362-4 or while programming memory cells 362-1, 362-2, 362-3 and 362-4, four programmable interconnect lines 361 is not used for signal transmission, but by programming memory cells 362-1, 362-2, 362-3 and 362-4, each of the four second or third type multiplexers 211 can be One of the inputs of the first set is selected to be sent to its output, so that one of the four programmable interconnect lines 361 can be coupled to the other, the other two, or the other three of the four programmable interconnect lines 361 for use in signal transmission.

第7C圖係為根據本申請案之實施例所繪示之由交叉點開關編程之可編程交互連接線之線路圖。請參見第7C圖,如第3D圖所繪示之第四型交叉點開關379之第一組之輸入(例如是16個輸入D0-D15)之每一個係耦接多條可編程交互連接線361(例如是16條)其中之一條,而其輸出Dout係耦接另一條可編程交互連接線361,使得第四型交叉點開關379可以從與其輸入耦接之該些多條可編程交互連接線361中選擇其中一條以耦接至該另一條可編程交互連接線361。第四型交叉點開關379之第二組之輸入A0-A3之每一個係耦接記憶單元362之輸出(亦即為記憶單元398之輸出Out1或Out2),以接收與儲存在記憶單元362中之編程碼有關的其輸出,來控制第四型交叉點開關379以從其第一組之輸入(例如為耦接該16條可編程交互連接線361之其輸入D0-D15)中選擇其中一個傳送至其輸出(例如為耦接該另一條可編程交互連接線361之其輸出Dout)。在編程記憶單元362之前或是在編程記憶單元362當時,該些多條可編程交互連接線361及該另一條可編程交互連接線361是不會用於訊號傳輸的,而透過編程記憶單元362可以讓第四型交叉點開關379從其第一組之輸入中選擇其一傳送至其輸出,使得該些多條可編程交互連接線361其中一條可耦接至該另一條可編程交互連接線361,用於訊號傳輸。FIG. 7C is a circuit diagram of a programmable interconnection line programmed by a crosspoint switch according to an embodiment of the present application. Referring to FIG. 7C, as shown in FIG. 3D, each of the first group of inputs (eg, 16 inputs D0-D15) of the fourth-type crosspoint switch 379 is coupled to a plurality of programmable interconnection lines One of the 361 (for example, 16), and its output Dout is coupled to another programmable interconnection line 361, so that the fourth-type crosspoint switch 379 can be connected from the plurality of programmable interconnections coupled to its input One of the lines 361 is selected to be coupled to the other programmable interconnect line 361 . Each of the inputs A0 - A3 of the second group of the fourth type cross point switch 379 is coupled to the output of the memory unit 362 (ie, the output Out1 or Out2 of the memory unit 398 ) to receive and store in the memory unit 362 its output related to the programming code to control the fourth type crosspoint switch 379 to select one of its first set of inputs (eg, its inputs D0-D15 coupled to the 16 programmable interconnect lines 361) to its output (eg, its output Dout coupled to the other programmable interconnect line 361). Before programming the memory cell 362 or when programming the memory cell 362 , the plurality of programmable interconnection lines 361 and the other programmable interconnection line 361 are not used for signal transmission. The fourth-type crosspoint switch 379 can select one of its first set of inputs to send to its output, so that one of the plurality of programmable interconnect lines 361 can be coupled to the other programmable interconnect line 361 for signal transmission.

固定交互連接線之說明Description of fixed interactive connection lines

在編程用於如第6A圖所描述之查找表(LUT)210之記憶單元490及用於如第7A圖至第7C圖所描述之可編程交互連接線361之記憶單元362之前或當時,透過不是現場可編程的固定交互連接線364可用於訊號傳輸或是電源/接地供應至(1)用於如第6A圖所描述之可編程邏輯區塊(LB)201之查找表(LUT)210之記憶單元490,用以編程記憶單元490;及/或(2)用於如第7A圖至第7C圖所描述之可編程交互連接線361之記憶單元362,用以編程記憶單元362。在編程用於查找表(LUT)210之記憶單元490及用於可編程交互連接線361之記憶單元362之後,在操作時固定交互連接線364還可用於訊號傳輸或是電源/接地供應。Before or while programming memory cells 490 for look-up tables (LUTs) 210 as depicted in FIG. 6A and memory cells 362 for programmable interconnect lines 361 as depicted in FIGS. 7A-7C, through Fixed interconnection lines 364 that are not field programmable can be used for signal transmission or power/ground supply to (1) a look-up table (LUT) 210 for a programmable logic block (LB) 201 as depicted in FIG. 6A memory cell 490 for programming memory cell 490; and/or (2) memory cell 362 for programmable interconnect 361 as described in FIGS. 7A-7C for programming memory cell 362. After programming memory cells 490 for look-up table (LUT) 210 and memory cells 362 for programmable interconnect lines 361, fixed interconnect lines 364 can also be used for signal transmission or power/ground supply during operation.

標準商業化現場可編程閘陣列(FPGA)積體電路(IC)晶片之說明Description of Standard Commercial Field Programmable Gate Array (FPGA) Integrated Circuit (IC) Chips

第8A圖係為根據本申請案之實施例所繪示之標準商業化現場可編程閘陣列(FPGA)積體電路(IC)晶片之上視方塊圖。請參見第8A圖,標準商業化FPGAIC晶片200係利用較先進之半導體技術世代進行設計及製造,例如是先進於或小於或等於30nm、20nm或10nm之製程,由於採用成熟的半導體技術世代,故在追求製造成本極小化的同時,可讓晶片尺寸及製造良率最適化。標準商業化FPGAIC晶片200之面積係介於400mm2 至9mm2 之間、介於225mm2 至9mm2 之間、介於144mm2 至16mm2 之間、介於100mm2 至16mm2 之間、介於75mm2 至16mm2 之間或介於50mm2 至16mm2 之間。應用先進半導體技術世代之標準商業化FPGAIC晶片200所使用之電晶體或半導體元件可以是鰭式場效電晶體(FINFET)、絕緣層上長矽之鰭式場效電晶體(FINFETSOI)、全空乏型之絕緣層上長矽之金屬氧化物半導體之場效電晶體(FDSOIMOSFET)、半空乏型之絕緣層上長矽之金屬氧化物半導體之場效電晶體(PDSOIMOSFET)或傳統的金屬氧化物半導體之場效電晶體。FIG. 8A is a top view block diagram of a standard commercial field programmable gate array (FPGA) integrated circuit (IC) chip according to an embodiment of the present application. Please refer to FIG. 8A, the standard commercial FPGAIC chip 200 is designed and manufactured by using a more advanced semiconductor technology generation, such as a process advanced in or less than or equal to 30nm, 20nm or 10nm. Due to the use of mature semiconductor technology generation, so While pursuing the minimization of manufacturing cost, the wafer size and manufacturing yield can be optimized. The area of standard commercial FPGAIC chip 200 is between 400mm 2 to 9mm 2 , 225mm 2 to 9mm 2 , 144mm 2 to 16mm 2 , 100mm 2 to 16mm 2 , between 100mm 2 to 16mm 2 Between 75mm 2 and 16mm 2 or between 50mm 2 and 16mm 2 . The transistors or semiconductor elements used in the standard commercialized FPGAIC chip 200 of the advanced semiconductor technology generation can be fin field effect transistors (FINFET), fin field effect transistors with long silicon on insulating layer (FINFET SOI), fully depleted type Field effect transistor (FDSOIMOSFET) with silicon-on-insulator layer, semi-depletion-type metal-oxide-semiconductor field-effect transistor (PDSOIMOSFET) on insulating layer, or traditional metal oxide semiconductor field effect transistor.

請參見第8A圖,由於標準商業化FPGAIC晶片200係為標準商業化IC晶片,故標準商業化FPGAIC晶片200僅需減少至少量類型即可,因此採用先進之半導體技術世代製造之標準商業化FPGAIC晶片200所需的昂貴光罩或光罩組在數量上可以減少,用於一半導體技術世代之光罩組可以減少至3組至20組之間、3組至10組之間或是3組至5組之間,其一次性工程費用(NRE)也會大幅地減少。由於標準商業化FPGAIC晶片200之類型很少,因此製造過程可以最適化達到非常高的製造晶片產能。再者,可以簡化晶片的存貨管理,達到高效能及高效率之目標,故可縮短晶片交貨時間,是非常具成本效益的。Please refer to FIG. 8A, since the standard commercial FPGAIC chip 200 is a standard commercial IC chip, the standard commercial FPGAIC chip 200 only needs to be reduced by at least a few types, so the standard commercial FPGAIC manufactured by advanced semiconductor technology generation The number of expensive masks or mask sets required for wafer 200 can be reduced to between 3 and 20 sets, between 3 and 10 sets, or 3 sets for a generation of semiconductor technology. Between groups of 5, the one-time engineering cost (NRE) will also be greatly reduced. Since there are few types of standard commercial FPGAIC chips 200, the fabrication process can be optimized to achieve very high throughputs of fabricated wafers. Furthermore, the inventory management of the chips can be simplified to achieve the goals of high performance and high efficiency, so the lead time of the chips can be shortened, which is very cost-effective.

請參見第8A圖,各種類型之標準商業化FPGAIC晶片200包括:(1)多個可編程邏輯區塊(LB)201,如第6A圖至第6E圖所描述之內容,係以陣列的方式排列於其中間區域;(2)多條晶片內交互連接線502,其中每一條係在相鄰之二可編程邏輯區塊(LB)201之間的上方空間延伸;以及(3)多個小型I/O電路203,如第5B圖所描述之內容,其中每一個的輸出S_Data_in係耦接一條或多條之晶片內交互連接線502,其中每一個的每一輸入S_Data_out、S_Enable或S_Inhibit係耦接另外一條或多條之晶片內交互連接線502。Referring to FIG. 8A, various types of standard commercial FPGAIC chips 200 include: (1) a plurality of programmable logic blocks (LB) 201, as described in FIGS. 6A to 6E, in an array manner Arranged in the middle area thereof; (2) a plurality of intra-chip interconnection lines 502, each of which extends in the upper space between two adjacent programmable logic blocks (LB) 201; and (3) a plurality of small The I/O circuit 203, as depicted in FIG. 5B, wherein each output S_Data_in is coupled to one or more intra-chip interconnect lines 502, and each of which is coupled to each input S_Data_out, S_Enable or S_Inhibit Connect to another one or more inter-chip interconnection lines 502 .

請參見第8A圖,每一晶片內交互連接線502可以是如第7A圖至第7C圖所描述之可編程交互連接線361或是固定交互連接線364。標準商業化FPGAIC晶片200具有如第5B圖所描述之小型I/O電路203,其每一個之輸出S_Data_in係耦接至一或多條之可編程交互連接線361及/或一或多條之固定交互連接線364,其每一個之輸入S_Data_out、S_Enable或S_Inhibit係耦接至其他一或多條之可編程交互連接線361及/或其他一或多條之固定交互連接線364。Referring to FIG. 8A, each intra-chip interconnection line 502 may be a programmable interconnection line 361 or a fixed interconnection line 364 as described in FIGS. 7A-7C. A standard commercial FPGA IC chip 200 has small I/O circuits 203 as depicted in FIG. 5B, each output S_Data_in of which is coupled to one or more programmable interconnect lines 361 and/or one or more of the The fixed interconnection lines 364, each of whose inputs S_Data_out, S_Enable or S_Inhibit are coupled to the other one or more programmable interconnection lines 361 and/or the other one or more fixed interconnection lines 364.

請參見第8A圖,每一可編程邏輯區塊(LB)201係如第6A圖至第6E圖所描述之內容,其輸入A0-A3之每一個係耦接至一或多條之可編程交互連接線361及/或一或多條之固定交互連接線364,以對其輸入進行一邏輯運算或計算運算而產生一輸出Dout,耦接至其他一或多條之可編程交互連接線361及/或其他一或多條之固定交互連接線364,其中該邏輯運算包括布林運算,例如是及(AND)運算、非及(NAND)運算、或(OR)運算、非或(NOR)運算,而該計算運算例如是加法運算、減法運算、乘法運算或除法運算。Referring to Figure 8A, each programmable logic block (LB) 201 is as described in Figures 6A-6E, with each of its inputs A0-A3 coupled to one or more programmable logic blocks The interconnection line 361 and/or one or more fixed interconnection lines 364 are used to perform a logic operation or calculation operation on its input to generate an output Dout, which is coupled to the other one or more programmable interconnection lines 361 and/or other one or more fixed interconnection lines 364, wherein the logical operations include Boolean operations, such as AND (AND), NOT AND (NAND), OR (OR), NOT OR (NOR) An operation, such as an addition operation, a subtraction operation, a multiplication operation or a division operation, is performed.

請參見第8A圖,標準商業化FPGAIC晶片200可以包括多個I/O接墊372,如第5B圖所描述的內容,其每一個係垂直地設在其中一小型I/O電路203上方,並連接該其中一小型I/O電路203之節點381。在第一時脈中,其中一如第6A圖所繪示之可編程邏輯區塊(LB)201之輸出Dout可以經由其中一或多條之可編程交互連接線361傳送至其中一小型I/O電路203之小型驅動器374之輸入S_Data_out,該其中一小型I/O電路203之小型驅動器374可以放大其輸入S_Data_out至垂直地位在該其中一小型I/O電路203之上方的I/O接墊372以傳送至標準商業化FPGAIC晶片200之外部的電路。在第二時脈中,來自標準商業化FPGAIC晶片200之外部的電路之訊號可經由該I/O接墊372傳送至該其中一小型I/O電路203之小型接收器375,該其中一小型I/O電路203之小型接收器375可以放大該訊號至其輸出S_Data_in,經由其中另一或多條之可編程交互連接線361可以傳送至其他的可編程邏輯區塊(LB)201之輸入A0-A3其中一個。Referring to FIG. 8A, a standard commercial FPGA IC die 200 may include a plurality of I/O pads 372, each of which is disposed vertically above one of the small I/O circuits 203, as depicted in FIG. 5B, and connected to the node 381 of the one of the small I/O circuits 203 . In the first clock, the output Dout of one of the programmable logic blocks (LB) 201 as shown in FIG. 6A can be transmitted to one of the small I/ The input S_Data_out of the small driver 374 of the O circuit 203, the small driver 374 of the one of the small I/O circuits 203 can amplify its input S_Data_out to the I/O pad vertically above the one of the small I/O circuits 203 372 for transfer to circuitry outside of standard commercial FPGAIC die 200. In the second clock, signals from circuits external to the standard commercial FPGAIC chip 200 can be transmitted via the I/O pads 372 to the miniature receiver 375 of one of the miniature I/O circuits 203, which is The small receiver 375 of the I/O circuit 203 can amplify the signal to its output S_Data_in, which can be transmitted to the input A0 of the other programmable logic block (LB) 201 via one or more of the programmable interconnect lines 361. -A3 one of them.

請參見第8A圖,標準商業化FPGAIC晶片200還包括(1)多個電源接墊205,可以經由一或多條之固定交互連接線364施加電源供應電壓Vcc至如第6A圖所描述之用於可編程邏輯區塊(LB)201之查找表(LUT)201之記憶單元490及/或如第7A圖至第7C圖所描述之用於交叉點開關379之記憶單元362,其中電源供應電壓Vcc可以是介於0.2伏特至2.5伏特之間、介於0.2伏特至2伏特之間、介於0.2伏特至1.5伏特之間、介於0.1伏特至1伏特之間、介於0.2伏特至1伏特之間或是小於或等於2.5伏特、2伏特、1.8伏特、1.5伏特或1伏特;以及(2)多個接地接墊206,可以經由一或多條之固定交互連接線364傳送接地參考電壓Vss至如第6A圖所描述之用於可編程邏輯區塊(LB)201之查找表(LUT)201之記憶單元490及/或如第7A圖至第7C圖所描述之用於交叉點開關379之記憶單元362。Referring to FIG. 8A, the standard commercial FPGAIC chip 200 further includes (1) a plurality of power pads 205, which can apply the power supply voltage Vcc through one or more fixed interconnecting wires 364 to the power supply voltage Vcc as described in FIG. 6A Memory cell 490 in look-up table (LUT) 201 of programmable logic block (LB) 201 and/or memory cell 362 for crosspoint switch 379 as depicted in FIGS. 7A-7C, where the power supply voltage Vcc can be between 0.2 volts and 2.5 volts, between 0.2 volts and 2 volts, between 0.2 volts and 1.5 volts, between 0.1 volts and 1 volts, between 0.2 volts and 1 volts or less than or equal to 2.5 volts, 2 volts, 1.8 volts, 1.5 volts or 1 volt; and (2) a plurality of ground pads 206, which can transmit the ground reference voltage Vss through one or more fixed interconnecting lines 364 to memory cells 490 for look-up table (LUT) 201 of programmable logic block (LB) 201 as depicted in Figure 6A and/or for crosspoint switch 379 as depicted in Figures 7A-7C The memory unit 362.

I.標準商業化FPGAIC晶片之記憶單元、多工器及通過/不通開關之設置I. Setting of memory cells, multiplexers and pass/fail switches of standard commercial FPGAIC chips

第8B圖至第8E圖係為根據本申請案之實施例所繪示之用於可編程邏輯區塊(LB)之記憶單元(用於查找表)及多工器及用於可編程交互連接線之記憶單元及通過/不通開關之各種布置/布局示意圖。通過/不通開關258可以構成如第3A圖及第3B圖所繪示之第一型及第二型交叉點開關379。各種布置/布局係如下所述:Figures 8B to 8E illustrate memory cells (for look-up tables) and multiplexers for programmable logic blocks (LBs) and for programmable interconnects according to embodiments of the present application Schematic diagrams of various arrangements/layouts of memory cells of lines and pass/no switches. The pass/fail switch 258 may constitute the first and second type crosspoint switches 379 as shown in FIGS. 3A and 3B. The various arrangements/layouts are described below:

(1)標準商業化FPGAIC晶片之記憶單元、多工器及通過/不通開關之第一種布置/布局(1) The first arrangement/layout of memory cells, multiplexers and pass/fail switches of standard commercial FPGAIC chips

請參見第8B圖,針對標準商業化FPGAIC晶片200之每一個可編程邏輯區塊(LB)201,用於其查找表210之記憶單元490可以配設在標準商業化FPGAIC晶片200之半導體基底2之第一區域上,與用於其查找表210之記憶單元490耦接之其多工器211可以配設在標準商業化FPGAIC晶片200之半導體基底2之第二區域上,其中該第一區域係相鄰該第二區域。每一個可編程邏輯區塊(LB)201可以包括一或多個多工器211及一或多組的記憶單元490,每一組的記憶單元490係用於其中一查找表210且耦接至其中一多工器211之第一組之輸入D0-D15,該每一組的記憶單元490之每一個可以儲存該其中一查找表210之結果值或編程碼其中一個,且其輸出可以耦接至該其中一多工器211之第一組之輸入D0-D15其中一個。Referring to FIG. 8B , for each programmable logic block (LB) 201 of the standard commercial FPGAIC chip 200 , the memory cells 490 used for its look-up table 210 may be disposed on the semiconductor substrate 2 of the standard commercial FPGAIC chip 200 Its multiplexer 211 coupled with its memory cells 490 for its look-up table 210 can be disposed on a second region of the semiconductor substrate 2 of a standard commercial FPGAIC chip 200, wherein the first region is adjacent to the second region. Each programmable logic block (LB) 201 may include one or more multiplexers 211 and one or more sets of memory cells 490, each set of memory cells 490 for one of the look-up tables 210 and coupled to One of the inputs D0-D15 of the first group of the multiplexer 211, each of the memory cells 490 of each group can store one of the result value of the one of the look-up table 210 or the programming code, and its output can be coupled to To one of the inputs D0-D15 of the first group of the one of the multiplexers 211.

請參見第8B圖,用於如第7A圖所描述之可編程交互連接線361之一組記憶單元362可於相鄰之二可編程邏輯區塊(LB)201之間排列成一或多條線,用於如第7A圖所描述之可編程交互連接線361之一組通過/不通開關258可於相鄰之二可編程邏輯區塊(LB)201之間排列成一或多條線,一組通過/不通開關258配合一組記憶單元362可構成如第3A圖或第3B圖所描述之一個交叉點開關379,每一組之通過/不通開關258其中每一個可耦接至每一組之記憶單元362其中一個或多個。Referring to FIG. 8B, a group of memory cells 362 for programmable interconnection lines 361 as described in FIG. 7A may be arranged in one or more lines between two adjacent programmable logic blocks (LB) 201 , a set of pass/no-pass switches 258 for programmable interconnection lines 361 as described in FIG. 7A can be arranged between two adjacent programmable logic blocks (LB) 201 into one or more lines, a set of The pass/no switch 258 cooperates with a set of memory cells 362 to form a crosspoint switch 379 as described in FIG. 3A or 3B, each of which can be coupled to the pass/no switch 258 of each set. One or more of the memory units 362.

(2)標準商業化FPGAIC晶片之記憶單元、多工器及通過/不通開關之第二種布置/布局(2) The second arrangement/layout of memory cells, multiplexers and pass/fail switches of standard commercial FPGAIC chips

請參見第8C圖,針對標準商業化FPGAIC晶片200,用於其所有查找表210之記憶單元490及用於其所有可編程交互連接線361之記憶單元362可以聚集地設在其半導體基底2上中間區域中的記憶體陣列區塊395內。針對相同的可編程邏輯區塊(LB)201,用於其一或多個查找表210之記憶單元490及其一或多個多工器211係設置在分開的區域中,其中的一區域係容置用於其一或多個查找表210之記憶單元490,而其中的另一區域係容置其一或多個多工器211,用於其可編程交互連接線361之通過/不通開關258係於相鄰之二可編程邏輯區塊(LB)201之多工器211之間排列成一或多條線。Referring to FIG. 8C, for a standard commercial FPGAIC chip 200, the memory cells 490 for all of its look-up tables 210 and the memory cells 362 for all of its programmable interconnect lines 361 can be collectively disposed on its semiconductor substrate 2 Within the memory array block 395 in the middle area. For the same programmable logic block (LB) 201, the memory cells 490 for its one or more look-up tables 210 and its one or more multiplexers 211 are arranged in separate areas, one of which is houses memory cells 490 for one or more of its look-up tables 210, while another area therein houses one or more of its multiplexers 211 for pass/no-pass switches for its programmable interconnect lines 361 258 is arranged in one or more lines between the multiplexers 211 of two adjacent programmable logic blocks (LB) 201 .

(3)標準商業化FPGAIC晶片之記憶單元、多工器及通過/不通開關之第三種布置/布局(3) The third arrangement/layout of memory cells, multiplexers and pass/no pass switches of standard commercial FPGA IC chips

請參見第8D圖,針對標準商業化FPGAIC晶片200,用於其所有查找表210之記憶單元490及用於其所有可編程交互連接線361之記憶單元362可以聚集地設在其半導體基底2之分開的多個中間區域中的記憶體陣列區塊395a及395b內。針對相同的可編程邏輯區塊(LB)201,用於其一或多個查找表210之記憶單元490及其一或多個多工器211係設置在分開的區域中,其中的一區域係容置用於其一或多個查找表210之記憶單元490,而其中的另一區域係容置其一或多個多工器211,用於其可編程交互連接線361之通過/不通開關258係於相鄰之二可編程邏輯區塊(LB)201之多工器211之間排列成一或多條線。針對標準商業化FPGAIC晶片200,其一些多工器211及其一些通過/不通開關258係設在記憶體陣列區塊395a及395b之間。Referring to FIG. 8D, for a standard commercial FPGAIC chip 200, the memory cells 490 for all of its look-up tables 210 and the memory cells 362 for all of its programmable interconnect lines 361 can be collectively disposed on its semiconductor substrate 2 Within the memory array blocks 395a and 395b in separate intermediate regions. For the same programmable logic block (LB) 201, the memory cells 490 for its one or more look-up tables 210 and its one or more multiplexers 211 are arranged in separate areas, one of which is houses memory cells 490 for one or more of its look-up tables 210, while another area therein houses one or more of its multiplexers 211 for pass/no-pass switches for its programmable interconnect lines 361 258 is arranged in one or more lines between the multiplexers 211 of two adjacent programmable logic blocks (LB) 201 . For a standard commercial FPGAIC chip 200, some of its multiplexers 211 and some of its pass/fail switches 258 are provided between memory array blocks 395a and 395b.

(4)標準商業化FPGAIC晶片之記憶單元、多工器及通過/不通開關之第四種布置/布局(4) The fourth arrangement/layout of memory cells, multiplexers and pass/no pass switches of standard commercial FPGA IC chips

請參見第8E圖,針對標準商業化FPGAIC晶片200,用於其可編程交互連接線361之記憶單元362可以聚集地設在其半導體基底2上中間區域中的記憶體陣列區塊395內,且可以耦接至(1)位於其半導體基底2上之其多個第一群之通過/不通開關258,多個第一群之通過/不通開關258之每一個係位在同一列之其可編程邏輯區塊(LB)201其中相鄰兩個之間或是位在同一列之其可編程邏輯區塊(LB)201與其記憶體陣列區塊395之間;耦接至(2)位於其半導體基底2上之其多個第二群之通過/不通開關258,多個第二群之通過/不通開關258之每一個係位在同一行之其可編程邏輯區塊(LB)201其中相鄰兩個之間或是位在同一行之其可編程邏輯區塊(LB)201與其記憶體陣列區塊395之間;以及耦接至(3)位於其半導體基底2上之其多個第三群之通過/不通開關258,多個第三群之通過/不通開關258之每一個係位在同一行之第一群之通過/不通開關258其中相鄰兩個之間及位在同一列之第二群之通過/不通開關258其中相鄰兩個之間。針對標準商業化FPGAIC晶片200,其每一個可編程邏輯區塊(LB)201可以包括一或多個多工器211及一或多組的記憶單元490,每一組的記憶單元490係用於其中一查找表210且耦接至其中一多工器211之第一組之輸入D0-D15,該每一組的記憶單元490之每一個可以儲存該其中一查找表210之結果值或編程碼其中一個,且其輸出可以耦接至該其中一多工器211之第一組之輸入D0-D15其中一個,如第8B圖所描述之內容。Referring to FIG. 8E, for a standard commercial FPGAIC chip 200, the memory cells 362 for its programmable interconnection lines 361 can be collectively arranged in the memory array block 395 in the middle region on the semiconductor substrate 2 thereof, and Can be coupled to (1) its first plurality of pass/no switches 258 located on its semiconductor substrate 2, each of the first plurality of pass/no switches 258 being located in the same row of its programmable Logic block (LB) 201 between two adjacent ones or between its programmable logic block (LB) 201 and its memory array block 395 in the same column; coupled to (2) its semiconductor The pass/no switches 258 of the plurality of second groups on the substrate 2, each of the pass/no switches 258 of the plurality of second groups is located adjacent to its programmable logic block (LB) 201 in the same row between two or between its programmable logic block (LB) 201 and its memory array block 395 in the same row; and coupled to (3) its plurality of thirds on its semiconductor substrate 2 The pass/no switch 258 of the group, and each of the pass/no switches 258 of the plurality of third groups are located in the same row of the pass/no switches 258 of the first group, wherein two adjacent ones are located between and in the same column. The pass/fail switches 258 of the second group are between two adjacent ones. For a standard commercial FPGA IC chip 200, each programmable logic block (LB) 201 may include one or more multiplexers 211 and one or more sets of memory cells 490, each set of memory cells 490 is used for One of the look-up tables 210 is coupled to the inputs D0-D15 of the first group of one of the multiplexers 211, and each of the memory cells 490 of each group can store the result value or the programming code of the one of the look-up tables 210 One of them, and its output can be coupled to one of the inputs D0-D15 of the first group of the one of the multiplexers 211, as described in FIG. 8B.

(5)標準商業化FPGAIC晶片之記憶單元、多工器及通過/不通開關之第五種布置/布局(5) The fifth arrangement/layout of memory cells, multiplexers and pass/no pass switches of standard commercial FPGA IC chips

請參見第8F圖,針對標準商業化FPGAIC晶片200,用於其可編程交互連接線361之記憶單元362可以聚集地設在其半導體基底2上的多個記憶體陣列區塊395內,且可以耦接至(1)位於其半導體基底2上之其多個第一群之通過/不通開關258,多個第一群之通過/不通開關258之每一個係位在同一列之其可編程邏輯區塊(LB)201其中相鄰兩個之間或是位在同一列之其可編程邏輯區塊(LB)201與其記憶體陣列區塊395之間;耦接至(2)位於其半導體基底2上之其多個第二群之通過/不通開關258,多個第二群之通過/不通開關258之每一個係位在同一行之其可編程邏輯區塊(LB)201其中相鄰兩個之間或是位在同一行之其可編程邏輯區塊(LB)201與其記憶體陣列區塊395之間;以及耦接至(3)位於其半導體基底2上之其多個第三群之通過/不通開關258,多個第三群之通過/不通開關258之每一個係位在同一行之第一群之通過/不通開關258其中相鄰兩個之間及位在同一列之第二群之通過/不通開關258其中相鄰兩個之間。針對標準商業化FPGAIC晶片200,其每一個可編程邏輯區塊(LB)201可以包括一或多個多工器211及一或多組的記憶單元490,每一組的記憶單元490係用於其中一查找表210且耦接至其中一多工器211之第一組之輸入D0-D15,該每一組的記憶單元490之每一個可以儲存該其中一查找表210之結果值或編程碼其中一個,且其輸出可以耦接至該其中一多工器211之第一組之輸入D0-D15其中一個,如第8B圖所描述之內容。此外,一或多個之可編程邏輯區塊(LB)201可以設在記憶體陣列區塊395之間。Referring to FIG. 8F, for a standard commercial FPGAIC chip 200, the memory cells 362 for its programmable interconnection lines 361 can be collectively arranged in a plurality of memory array blocks 395 on its semiconductor substrate 2, and can be coupled to (1) its plurality of first groups of pass/fail switches 258 located on its semiconductor substrate 2, each of the plurality of first group of pass/no pass switches 258 being located in the same row of its programmable logic The block (LB) 201 is between two adjacent ones or between its programmable logic block (LB) 201 and its memory array block 395 in the same column; coupled to (2) its semiconductor substrate The pass/no switches 258 of the plurality of second groups on the 2nd group, each of the pass/no switches 258 of the plurality of second groups is located in its programmable logic block (LB) 201 in the same row, two adjacent ones of which are located in the same row. between its programmable logic block (LB) 201 and its memory array block 395 in the same row; and coupled to (3) its plurality of third groups on its semiconductor substrate 2 Each of the pass/no switches 258 of the third group is located in the same row of the pass/no switches 258 of the first group, which are located between two adjacent ones and in the same column. The pass/no pass switch 258 of the two groups is between two adjacent ones. For a standard commercial FPGA IC chip 200, each programmable logic block (LB) 201 may include one or more multiplexers 211 and one or more sets of memory cells 490, each set of memory cells 490 is used for One of the look-up tables 210 is coupled to the inputs D0-D15 of the first group of one of the multiplexers 211, and each of the memory cells 490 of each group can store the result value or the programming code of the one of the look-up tables 210 One of them, and its output can be coupled to one of the inputs D0-D15 of the first group of the one of the multiplexers 211, as described in FIG. 8B. In addition, one or more programmable logic blocks (LBs) 201 may be provided between the memory array blocks 395 .

(6)用於第一種至第五種布置/布局之記憶單元(6) Memory cells for the first to fifth arrangements/layouts

請參見第8B圖至第8F圖,針對標準商業化FPGAIC晶片200,用於其查找表210之每一記憶單元490之每一個可以是一晶片上揮發性記憶體單元,如第1A圖或第1B圖所描述之記憶單元398,而所產生的輸出Out1或Out2可耦接至其多工器211之第一組之輸入D0-D15其中一個,如第6A圖至第6E圖所描述之內容,,或是一晶片上非揮發性記憶體單元,例如是浮動閘極非揮發性記憶體單元、由磁阻隨機存取記憶體(MagnetoresistiveRandomAccessMemory,MRAM)IC晶片或電阻式隨機存取記憶體(resistiverandomaccessmemories,RRAM)IC晶片、相變化隨機存取記憶體(PhaseChangeRandomAccessMemory)IC晶片或鐵電隨機存取記憶體(FerroelectricRandomAccessMemory,FRAM)IC晶片,其中多工器211可以是如第4A圖至第4J圖所描述之第一型至第三型多工器211;用於其可編程交互連接線361之記憶單元362之每一個亦可以參考如第1A圖或第1B圖所描述之記憶單元398,所產生的輸出Out1及/或Out2可耦接至其通過/不通開關258,如第7A圖所描述之內容,其通過/不通開關258可以是如第2A圖至第2F圖所描述之第一型至第六型通過/不通開關258。Referring to FIGS. 8B-8F, for a standard commercial FPGAIC chip 200, each of the memory cells 490 for its look-up table 210 may be an on-chip volatile memory cell, as shown in FIG. 1A or FIG. The memory unit 398 depicted in Fig. 1B, and the generated output Out1 or Out2 can be coupled to one of the inputs D0-D15 of the first group of the multiplexer 211, as described in Figs. 6A to 6E , or an on-chip non-volatile memory cell, such as a floating-gate non-volatile memory cell, a magnetoresistive random access memory (MRAM) IC chip or a resistive random access memory ( Resistive random access memory (RRAM) IC chip, Phase Change Random Access Memory (Phase Change Random Access Memory) IC chip or Ferroelectric Random Access Memory (FRAM) IC chip, wherein the multiplexer 211 can be as shown in FIG. 4A to FIG. 4J The described first to third type multiplexers 211; each of the memory cells 362 for its programmable interconnection lines 361 may also refer to the memory cells 398 as described in FIG. 1A or 1B, so The resulting outputs Out1 and/or Out2 may be coupled to their pass/fail switches 258, as described in Figure 7A, which may be of the first type as described in Figures 2A-2F To the sixth type pass/fail switch 258 .

II.標準商業化FPGAIC晶片之繞道交互連接線的設置II. SETTING OF BYPASS INTERCONNECTION LINES FOR STANDARD COMMERCIAL FPGAIC DIGITS

第8G圖係為根據本申請案之實施例所繪示之作為繞道交互連接線之可編程交互連接線之示意圖。請參見第8G圖,標準商業化FPGAIC晶片200可以包括第一組之可編程交互連接線361,作為繞道交互連接線279,其中每一條可以連接其中一交叉點開關379至遠方的另一個交叉點開關379,而繞過其他一或多個的交叉點開關379,該些交叉點開關379可以是如第3A圖至第3D圖所繪示之第一型至第四型中的任一型。標準商業化FPGAIC晶片200可以包括第二組之可編程交互連接線361,並不會繞過任何的交叉點開關379,而每一繞道交互連接線279係平行於多條可透過交叉點開關379相互耦接之第二組之可編程交互連接線361。FIG. 8G is a schematic diagram of a programmable interconnection line as a bypass interconnection line according to an embodiment of the present application. Referring to FIG. 8G, a standard commercial FPGA IC chip 200 may include a first set of programmable interconnect lines 361 as bypass interconnect lines 279, each of which may connect one of the cross-point switches 379 to another remote cross-point The switch 379 bypasses one or more other cross-point switches 379. The cross-point switches 379 may be any of the first to fourth types shown in FIGS. 3A to 3D. A standard commercial FPGA IC chip 200 may include a second set of programmable interconnects 361 that do not bypass any cross-point switches 379, and each bypass interconnect 279 is parallel to a plurality of pass-through cross-point switches 379 A second set of programmable interconnect lines 361 are coupled to each other.

舉例而言,如第3A圖至第3C圖所描述之交叉點開關379之節點N23及N25可以分別耦接第二組之可編程交互連接線361,而其節點N24及N26可以分別耦接繞道交互連接線279,故交叉點開關379可以從與其節點N24及N26耦接之兩條繞道交互連接線279及與其節點N23及N25耦接之兩條第二組之可編程交互連接線361中選擇其中一條耦接至其中另外一條或多條。因此,該交叉點開關379可以切換以選擇與其節點N24耦接之繞道交互連接線279耦接至及與其節點N23耦接之第二組之可編程交互連接線361;或者,該交叉點開關379可以切換以選擇與其節點N23耦接之第二組之可編程交互連接線361耦接至及與其節點N25耦接之第二組之可編程交互連接線361;或者,該交叉點開關379可以切換以選擇與其節點N24耦接之繞道交互連接線279耦接至及與其節點N26耦接之繞道交互連接線279。For example, the nodes N23 and N25 of the cross-point switch 379 as described in FIGS. 3A to 3C can be respectively coupled to the programmable interconnection lines 361 of the second group, and the nodes N24 and N26 thereof can be respectively coupled to the detours cross-connect line 279, so crosspoint switch 379 can be selected from two detour cross-connect lines 279 coupled to its nodes N24 and N26 and two second set of programmable cross-connect lines 361 coupled to its nodes N23 and N25 One of them is coupled to the other one or more of them. Thus, the cross-point switch 379 can be toggled to select the detour cross-connect line 279 coupled to its node N24 to the second set of programmable cross-connect lines 361 coupled to its node N23; alternatively, the cross-point switch 379 Can be toggled to select a second set of programmable interconnect lines 361 coupled to its node N23 to a second set of programmable interconnect lines 361 coupled to its node N25; alternatively, the cross point switch 379 can be toggled To select the detour interconnect 279 coupled to its node N24 is coupled to the detour interconnect 279 coupled to its node N26.

或者,舉例而言,如第3A圖至第3C圖所描述之交叉點開關379之節點N23-N26其中每一個可以耦接第二組之可編程交互連接線361,故交叉點開關379可以從與其節點N23-N26耦接之四條第二組之可編程交互連接線361中選擇其中一條耦接至其中另外一條或多條。Or, for example, each of the nodes N23-N26 of the cross-point switch 379 as described in FIGS. 3A-3C may be coupled to the second set of programmable interconnect lines 361, so the cross-point switch 379 can be connected from One of the four programmable interconnect lines 361 of the second group coupled to its nodes N23-N26 is selected to be coupled to the other one or more of them.

請參見第8G圖,多個交叉點開關379可以設在一區域278的周圍,在該區域278中設置有多個記憶體單元362,其中每一個可以是晶片上揮發性記憶體單元,如第1A圖或第1B圖之揭露之記憶單元398,且其中每一個之輸出Out1及/或Out2可以耦接至該多個交叉點開關379其中一個,如第7A圖至第7C圖所描述之內容,或是晶片上非揮發性記憶體單元,例如是浮動閘極非揮發性記憶體單元、MRAMIC晶片、相變化隨機存取記憶體IC晶片、RRAMIC晶片或FRAMIC晶片。在該區域278中還設置有用於可編程邏輯區塊(LB)201之查找表210的多個記憶單元490,其中每一個可以是晶片上揮發性記憶體單元,如第1A圖或第1B圖之揭露之記憶單元398,且其中每一個之輸出Out1及/或Out2可以耦接至位於該區域278中的可編程邏輯區塊(LB)201之多工器211之第一組之輸入D0-D15其中一個,如第6A圖至第6E圖所描述之內容,或是晶片上非揮發性記憶體單元,例如是浮動閘極非揮發性記憶體單元、MRAMIC晶片、相變化隨機存取記憶體IC晶片、RRAMIC晶片或FRAMIC晶片。用於交叉點開關379之記憶體單元362係在可編程邏輯區塊(LB)201的周圍環繞成一環或多環的樣式。在該區域278周圍的第二組之可編程交互連接線361其中多條可以耦接多個在該區域278周圍的交叉點開關379至可編程邏輯區塊(LB)201之多工器211之第二組之輸入A0-A3,而在該區域278周圍的第二組之可編程交互連接線361其中另外一條可以耦接可編程邏輯區塊(LB)201之多工器211之輸出Dout至另外一個在該區域278周圍的交叉點開關379。Referring to FIG. 8G, a plurality of cross-point switches 379 may be disposed around a region 278 in which are disposed a plurality of memory cells 362, each of which may be an on-chip volatile memory cell, as shown in FIG. The memory cell 398 disclosed in Fig. 1A or Fig. 1B, and the output Out1 and/or Out2 of each of which may be coupled to one of the plurality of cross-point switches 379, as described in Figs. 7A-7C , or on-chip non-volatile memory cells, such as floating gate non-volatile memory cells, MRMIC chips, phase change random access memory IC chips, RRMIC chips or FRMIC chips. Also disposed in this area 278 are a plurality of memory cells 490 for the look-up table 210 of the programmable logic block (LB) 201, each of which may be an on-chip volatile memory cell, as shown in Figure 1A or Figure 1B The exposed memory cells 398, and the output Out1 and/or Out2 of each of them may be coupled to the first set of inputs D0- One of D15, as described in Figures 6A to 6E, or an on-chip non-volatile memory cell, such as a floating gate non-volatile memory cell, MRMIC chip, phase change random access memory IC chip, RRMIC chip or FRMIC chip. The memory cells 362 for the crosspoint switches 379 are wrapped around the programmable logic block (LB) 201 in a pattern of one or more rings. A plurality of the second set of programmable interconnect lines 361 around the region 278 may couple a plurality of crosspoint switches 379 around the region 278 to one of the multiplexers 211 of the programmable logic block (LB) 201 The inputs A0-A3 of the second group, and the other one of the programmable interconnect lines 361 of the second group around the area 278 can be coupled to the output Dout of the multiplexer 211 of the programmable logic block (LB) 201 to Another crosspoint switch 379 around the area 278.

因此,請參見第8G圖,其中一個可編程邏輯區塊(LB)201之多工器211之輸出Dout可以(1)輪流地經過一或多條之第二組之可編程交互連接線361及一或多個的交叉點開關379傳送至其中一繞道交互連接線279,(2)接著輪流地經過一或多個的交叉點開關379及一或多條之繞道交互連接線279從該其中一繞道交互連接線279傳送至另一條之第二組之可編程交互連接線361,以及(3)最後輪流地經過一或多個的交叉點開關379及一或多條之第二組之可編程交互連接線361從該另一條之第二組之可編程交互連接線361傳送至另一個可編程邏輯區塊(LB)201之多工器211之第二組之輸入A0-A3其中之一個。Therefore, please refer to FIG. 8G, wherein the output Dout of the multiplexer 211 of one programmable logic block (LB) 201 may (1) alternately pass through one or more programmable interconnect lines 361 of the second group and One or more cross-point switches 379 are sent to one of the detour cross-connect lines 279, (2) then alternately pass through one or more cross-point switches 379 and one or more bypass cross-connect lines 279 from one of the detour cross-connect lines 279. Bypass cross-connect line 279 to another programmable cross-connect line 361 of the second group, and (3) finally pass through one or more cross-point switches 379 and one or more programmable cross-connect lines 379 in turn The interconnection line 361 is transmitted from the programmable interconnection line 361 of the second group of the other one to one of the inputs A0-A3 of the second group of the multiplexer 211 of the other programmable logic block (LB) 201 .

III.標準商業化FPGAIC晶片之交叉點開關的設置III. SETTINGS OF CROSSPOINT SWITCHES FOR STANDARD COMMERCIAL FPGAIC DIE

第8H圖係為根據本申請案之實施例所繪示之標準商業化FPGAIC晶片之交叉點開關之設置的示意圖。請參見第8H圖,標準商業化FPGAIC晶片200可以包括:(1)矩陣排列之可編程邏輯區塊(LB)201;(2)多個連接區塊(CB)455,其中每一個係設在同一列或同一行之相鄰兩個的可編程邏輯區塊(LB)201之間;以及(3)多個開關區塊(SB)456,其中每一個係設在同一列或同一行之相鄰兩個的連接區塊(CB)455之間。每一連接區塊(CB)455可以設有如第3D圖及第7C圖所繪示之多個第四型交叉點開關379,而每一開關區塊(SB)456可以設有如第3C圖及第7B圖所繪示之多個第三型交叉點開關379。FIG. 8H is a schematic diagram of a cross-point switch arrangement of a standard commercial FPGA IC chip depicted in accordance with an embodiment of the present application. Referring to FIG. 8H, a standard commercial FPGA IC chip 200 may include: (1) programmable logic blocks (LB) 201 arranged in a matrix; (2) a plurality of connection blocks (CB) 455, each of which is located in Between two adjacent programmable logic blocks (LB) 201 in the same row or the same row; and (3) a plurality of switch blocks (SB) 456, each of which is arranged in the same row or the same row phase Between two adjacent connecting blocks (CBs) 455. Each connection block (CB) 455 may be provided with a plurality of fourth type cross point switches 379 as shown in FIGS. 3D and 7C, and each switch block (SB) 456 may be provided with A plurality of third-type cross-point switches 379 are shown in FIG. 7B.

請參見第8H圖,針對每一個連接區塊(CB)455,其每一個第四型交叉點開關379之輸入D0-D15其中每一個係耦接至可編程交互連接線361其中一條,而其輸出Dout係耦接至可編程交互連接線361其中另一條。可編程交互連接線361可以耦接連接區塊(CB)455之如第3D圖及第7C圖所繪示之第四型交叉點開關379之輸入D0-D15其中一個至(1)如第6A圖所繪示之可編程邏輯區塊(LB)201之輸出Dout,或是至(2)開關區塊(SB)456之如第3C圖及第7B圖所繪示之第三型交叉點開關379之節點N23-N26其中一個。或者,可編程交互連接線361可以耦接連接區塊(CB)455之如第3D圖及第7C圖所繪示之第四型交叉點開關379之輸出Dout至(1)如第6A圖所繪示之可編程邏輯區塊(LB)201之輸入A0-A3其中一個,或是至(2)開關區塊(SB)456之如第3C圖及第7B圖所繪示之第三型交叉點開關379之節點N23-N26其中一個。Referring to FIG. 8H, for each connection block (CB) 455, each of the inputs D0-D15 of each fourth-type crosspoint switch 379 is coupled to one of the programmable interconnection lines 361, and its The output Dout is coupled to the other of the programmable interconnect lines 361 . The programmable interconnection line 361 can be coupled to one of the inputs D0-D15 of the fourth-type crosspoint switch 379 of the connection block (CB) 455 as shown in FIG. 3D and FIG. 7C to (1) as shown in FIG. 6A The output Dout of the programmable logic block (LB) 201 shown in the figure, or to (2) the switch block (SB) 456 is a third-type crosspoint switch as shown in Figures 3C and 7B One of the nodes N23-N26 of 379. Alternatively, the programmable interconnection line 361 can be coupled to the output Dout of the fourth type cross point switch 379 of the connection block (CB) 455 as shown in FIG. 3D and FIG. 7C to (1) as shown in FIG. 6A One of the inputs A0-A3 of the programmable logic block (LB) 201 shown, or to (2) the switch block (SB) 456, a third-type crossover as shown in Figures 3C and 7B One of the nodes N23-N26 of the switch 379 is turned on.

舉例而言,請參見第8H圖,連接區塊(CB)455之如第3D圖及第7C圖所繪示之交叉點開關379之輸入D0-D15其中一或多個可以透過可編程交互連接線361其中一條或多條耦接位在其第一側之如第6A圖所繪示之可編程邏輯區塊(LB)201之輸出Dout,連接區塊(CB)455之如第3D圖及第7C圖所繪示之交叉點開關379之輸入D0-D15其中另一或多個可以透過可編程交互連接線361其中一條或多條耦接位在相對於其第一側之其第二側之如第6A圖所繪示之可編程邏輯區塊(LB)201之輸出Dout,連接區塊(CB)455之如第3D圖及第7C圖所繪示之交叉點開關379之輸入D0-D15其中另一或多個可以透過可編程交互連接線361其中一條或多條耦接位在其第三側之開關區塊(SB)456之如第3C圖及第7B圖所繪示之交叉點開關379之節點N23-N26其中一個,連接區塊(CB)455之如第3D圖及第7C圖所繪示之交叉點開關379之輸入D0-D15其中另一或多個可以透過可編程交互連接線361其中一條或多條耦接位在相對於其第三側之其第四側之開關區塊(SB)456之如第3C圖及第7B圖所繪示之交叉點開關379之節點N23-N26其中一個。連接區塊(CB)455之如第3D圖及第7C圖所繪示之交叉點開關379之輸出Dout可以透過可編程交互連接線361其中一條耦接位在其第三側或第四側之開關區塊(SB)456之如第3C圖及第7B圖所繪示之交叉點開關379之節點N23-N26其中一個,或透過可編程交互連接線361其中一條耦接位在其第一側或第二側之如第6A圖所繪示之可編程邏輯區塊(LB)201之輸入A0-A3其中一個。For example, referring to Fig. 8H, one or more of the inputs D0-D15 of the crosspoint switch 379 of the connection block (CB) 455 as depicted in Figs. 3D and 7C may be interconnected through programmable interconnects One or more of the lines 361 are coupled to the output Dout of the programmable logic block (LB) 201 as shown in FIG. 6A on the first side thereof, and are connected to the block (CB) 455 as shown in FIG. 3D and One or more of the inputs D0-D15 of the cross-point switch 379 shown in FIG. 7C can be coupled on the second side of the cross-point switch 379 through the programmable interconnection line 361 on the second side thereof relative to the first side thereof. The output Dout of the programmable logic block (LB) 201 as shown in Figure 6A is connected to the input D0- of the crosspoint switch 379 of the connection block (CB) 455 as shown in Figures 3D and 7C One or more of D15 can be coupled to the switch block (SB) 456 on the third side thereof through the programmable interconnection line 361 one or more of the intersections as shown in FIGS. 3C and 7B One of the nodes N23-N26 of the point switch 379 is connected to the input D0-D15 of the cross-point switch 379 of the block (CB) 455 as shown in FIG. 3D and FIG. 7C, and the other or more of them can be programmed through One or more of the interconnection lines 361 are coupled to the switch block (SB) 456 located on its fourth side relative to its third side to the cross-point switch 379 as shown in FIGS. 3C and 7B. One of nodes N23-N26. The output Dout of the crosspoint switch 379 of the connection block (CB) 455 as shown in FIG. 3D and FIG. 7C can be coupled to the third side or the fourth side thereof through one of the programmable interconnection lines 361 One of the nodes N23-N26 of the cross-point switch 379 of the switch block (SB) 456 as shown in FIGS. 3C and 7B, or one of the programmable interconnect lines 361 is coupled on its first side Or one of the inputs A0-A3 of the programmable logic block (LB) 201 on the second side as shown in FIG. 6A.

請參見第8H圖,針對每一開關區塊(SB)456,如第3C圖及第7B圖所繪示之第三型交叉點開關379之四個節點N23-N26可以分別一一耦接在四個不同方向上的可編程交互連接線361。舉例而言,該每一開關區塊(SB)456之如第3C圖及第7B圖所繪示之第三型交叉點開關379之節點N23可以經由該四個可編程交互連接線361其中一條耦接位於其左側之連接區塊(CB)455之如第3D圖及第7C圖所繪示之第四型交叉點開關379之輸入D0-D15其中一個或是其輸出Dout,該每一開關區塊(SB)456之如第3C圖及第7B圖所繪示之第三型交叉點開關379之節點N24可以經由該四個可編程交互連接線361其中另一條耦接位於其上側之連接區塊(CB)455之如第3D圖及第7C圖所繪示之第四型交叉點開關379之輸入D0-D15其中一個或是其輸出Dout,該每一開關區塊(SB)456之如第3C圖及第7B圖所繪示之第三型交叉點開關379之節點N25可以經由該四個可編程交互連接線361其中另一條耦接位於其右側之連接區塊(CB)455之如第3D圖及第7C圖所繪示之第四型交叉點開關379之輸入D0-D15其中一個或是其輸出Dout,且該每一開關區塊(SB)456之如第3C圖及第7B圖所繪示之第三型交叉點開關379之節點N25可以經由該四個可編程交互連接線361其中另一條耦接位於其下側之連接區塊(CB)455之如第3D圖及第7C圖所繪示之第四型交叉點開關379之輸入D0-D15其中一個或是其輸出Dout。Referring to FIG. 8H, for each switch block (SB) 456, the four nodes N23-N26 of the third-type crosspoint switch 379 shown in FIGS. 3C and 7B can be respectively coupled to one by one. Programmable interconnect lines 361 in four different directions. For example, the node N23 of the third-type cross-point switch 379 shown in FIGS. 3C and 7B of each switch block (SB) 456 can be connected via one of the four programmable interconnect lines 361 One of the inputs D0-D15 or the output Dout of the fourth-type crosspoint switch 379 as shown in FIG. 3D and FIG. 7C coupled to the connection block (CB) 455 on its left side, each switch is The node N24 of the third-type crosspoint switch 379 of the block (SB) 456 as shown in FIG. 3C and FIG. 7B can be coupled to the connection on the upper side thereof via the other one of the four programmable interconnection lines 361 One of the inputs D0-D15 of the fourth type cross-point switch 379 as shown in FIG. 3D and FIG. 7C of the block (CB) 455 or its output Dout, each switch block (SB) 456 has As shown in FIGS. 3C and 7B, the node N25 of the third-type cross-point switch 379 can be coupled to the connection block (CB) 455 on the right side of the four programmable interconnection lines 361 through the other one. As shown in FIG. 3D and FIG. 7C, one of the inputs D0-D15 of the fourth-type crosspoint switch 379 or its output Dout, and each switch block (SB) 456 is as shown in FIGS. 3C and 7C. The node N25 of the third-type cross-point switch 379 shown in FIG. 7B can be coupled to the connecting block (CB) 455 on the lower side of the four programmable interconnection lines 361 through the other one as shown in FIG. 3D and One of the inputs D0-D15 of the fourth-type cross-point switch 379 shown in FIG. 7C or its output Dout.

因此,請參見第8H圖,訊號可以從其中一個的可編程邏輯區塊(LB)201經由多個的開關區塊(SB)456傳送至其中另一個的可編程邏輯區塊(LB)201,位於該些多個的開關區塊(SB)456其中每相鄰兩個之間係設有連接區塊(CB)455供該訊號的傳送,位於該其中一個的可編程邏輯區塊(LB)201與該些多個的開關區塊(SB)456其中一個之間係設有連接區塊(CB)455供該訊號的傳送,位於該其中另一個的可編程邏輯區塊(LB)201與該些多個的開關區塊(SB)456其中一個之間係設有連接區塊(CB)455供該訊號的傳送。舉例而言,該訊號可以從如第6A圖所繪示之該其中一個的可編程邏輯區塊(LB)201之輸出Dout經由其中一條的可編程交互連接線361傳送至第一個的連接區塊(CB)455之如第3D圖及第7C圖所繪示之第四型交叉點開關379之輸入D0-D15其中一個,接著該第一個的連接區塊(CB)455之如第3D圖及第7C圖所繪示之第四型交叉點開關379可以切換該其中一個的輸入D0-D15耦接至其輸出Dout供該訊號的傳送,使得該訊號可以從其輸出經由其中另一條的可編程交互連接線361傳送至其中一個的開關區塊(SB)456之如第3C圖及第7B圖所繪示之第三型交叉點開關379之節點N23,接著該其中一個的開關區塊(SB)456之如第3C圖及第7B圖所繪示之第三型交叉點開關379可以切換其節點N23耦接至其節點N25供該訊號的傳送,使得該訊號可以從其節點N25經由其中另一條的可編程交互連接線361傳送至第二個的連接區塊(CB)455之如第3D圖及第7C圖所繪示之第四型交叉點開關379之輸入D0-D15其中一個,接著該第二個的連接區塊(CB)455之如第3D圖及第7C圖所繪示之第四型交叉點開關379可以切換該其中一個的輸入D0-D15耦接至其輸出Dout供該訊號的傳送,使得該訊號可以從其輸出經由其中另一條的可編程交互連接線361傳送至如第6A圖所繪示之該其中另一個的可編程邏輯區塊(LB)201之輸入A0-A3其中一個。Therefore, referring to FIG. 8H, a signal can be transmitted from one of the programmable logic blocks (LB) 201 to the other of the programmable logic blocks (LB) 201 through a plurality of switch blocks (SB) 456, A connection block (CB) 455 is provided between each adjacent two of the switch blocks (SB) 456 for the signal transmission, and a programmable logic block (LB) located in one of the plurality of switch blocks (SB) 456 There is a connection block (CB) 455 between 201 and one of the plurality of switch blocks (SB) 456 for the transmission of the signal, and the programmable logic block (LB) 201 located in the other one is connected to A connection block (CB) 455 is provided between one of the plurality of switch blocks (SB) 456 for the signal transmission. For example, the signal may be transmitted from the output Dout of the one of the programmable logic blocks (LB) 201 as shown in FIG. 6A to the first connection area via one of the programmable interconnect lines 361 One of the inputs D0-D15 of the fourth type cross-point switch 379 of block (CB) 455 as shown in Fig. 3D and Fig. 7C, and then the first connecting block (CB) 455 as shown in Fig. 3D The fourth-type cross-point switch 379 shown in FIG. 7C can switch one of the inputs D0-D15 to be coupled to its output Dout for the transmission of the signal, so that the signal can be transmitted from its output through the other The programmable interconnect 361 is routed to node N23 of the third type crosspoint switch 379 as shown in Figures 3C and 7B of one of the switch blocks (SB) 456, followed by the one of the switch blocks The third type crosspoint switch 379 of (SB) 456 as shown in Figures 3C and 7B can switch its node N23 to be coupled to its node N25 for the transmission of the signal, so that the signal can pass from its node N25 through One of the programmable interconnection lines 361 of the other one is transmitted to one of the inputs D0-D15 of the fourth-type cross-point switch 379 as shown in FIG. 3D and FIG. 7C of the second connection block (CB) 455 , then the fourth-type cross-point switch 379 of the second connection block (CB) 455 as shown in FIG. 3D and FIG. 7C can switch one of the inputs D0-D15 to be coupled to its output Dout For the transmission of the signal so that the signal can be transmitted from its output through the programmable interconnection line 361 of the other one to the input of the other programmable logic block (LB) 201 as shown in FIG. 6A One of A0-A3.

IV.標準商業化FPGAIC晶片之修復IV. Repair of standard commercial FPGAIC chips

第8I圖係為根據本申請案之實施例所繪示之修復標準商業化FPGAIC晶片之示意圖。請參見第8I圖,標準商業化FPGAIC晶片200具有可編程邏輯區塊(LB)201,其中備用的一個201-s可以取代其中壞掉的一個。標準商業化FPGAIC晶片200包括:(1)多個修復用輸入開關陣列276,其中每一個的多個輸出之每一個係串聯地耦接至如第6A圖所繪示之可編程邏輯區塊(LB)201之輸入A0-A3其中一個;以及(2)多個修復用輸出開關陣列277,其中每一個的一或多個輸入係分別一一串聯地耦接至如第6A圖所繪示之可編程邏輯區塊(LB)201之一或多個的輸出Dout。此外,標準商業化FPGAIC晶片200還包括:(1)多個備用之修復用輸入開關陣列276-s,其中每一個的多個輸出之每一個係並聯地耦接至其他每一個備用之修復用輸入開關陣列276-s之輸出的其中一個,且串聯地耦接至如第6A圖所繪示之可編程邏輯區塊(LB)201之輸入A0-A3其中一個;以及(2)多個備用之修復用輸出開關陣列277-s,其中每一個的一或多個輸入係分別一一並聯地耦接至其他每一個備用之修復用輸出開關陣列277-s之一或多個輸入,分別一一串聯地耦接至如第6A圖所繪示之可編程邏輯區塊(LB)201之一或多個的輸出Dout。每一個備用之修復用輸入開關陣列276-s具有多個輸入,其中每一個係並聯地耦接其中一修復用輸入開關陣列276之輸入的其中一個。每一個備用之修復用輸出開關陣列277-s具有一或多個輸出,分別一一並聯地耦接其中一修復用輸出開關陣列277之一或多個輸出。FIG. 8I is a schematic diagram of a commercialized FPGAIC chip according to the repair standard shown in the embodiment of the present application. Referring to Figure 8I, a standard commercial FPGAIC die 200 has programmable logic blocks (LBs) 201, of which a spare one 201-s can replace a broken one. A standard commercial FPGAIC chip 200 includes: (1) a plurality of repair input switch arrays 276, wherein each of the plurality of outputs of each is coupled in series to a programmable logic block ( One of the inputs A0-A3 of LB) 201; and (2) a plurality of repair output switch arrays 277, wherein one or more inputs of each of them are respectively coupled in series one-to-one to the one shown in FIG. 6A Output Dout of one or more programmable logic blocks (LB) 201 . In addition, the standard commercial FPGA IC chip 200 also includes: (1) a plurality of spare repair input switch arrays 276-s, wherein each of the plurality of outputs of each is coupled in parallel to each of the other spare repair input switch arrays 276-s one of the outputs of the input switch array 276-s, coupled in series to one of the inputs A0-A3 of the programmable logic block (LB) 201 as shown in FIG. 6A; and (2) a plurality of spares The repair output switch array 277-s, wherein one or more inputs of each are coupled in parallel to one or more inputs of each of the other spare repair output switch arrays 277-s, respectively one or more. An output Dout coupled in series to one or more of the programmable logic blocks (LB) 201 as shown in FIG. 6A. Each spare repair input switch array 276-s has a plurality of inputs, each of which is coupled in parallel with one of the inputs of one of the repair input switch arrays 276. Each spare repair output switch array 277-s has one or more outputs, which are respectively coupled to one or more outputs of one of the repair output switch arrays 277 in parallel one by one.

因此,請參見第8I圖,當其中一個的可編程邏輯區塊(LB)201壞掉時,可以關閉分別耦接該其中一個的可編程邏輯區塊(LB)201之輸入及輸出的其中一個的修復用輸入開關陣列276及其中一個的修復用輸出開關陣列277,而開啟具有輸入分別一一並聯地耦接該其中一個的修復用輸入開關陣列276之輸入之備用之修復用輸入開關陣列276-s,開啟具有輸出分別一一並聯地耦接該其中一個的修復用輸出開關陣列277之輸出之備用之修復用輸出開關陣列277-s,並關閉其他備用之修復用輸入開關陣列276-s及備用之修復用輸出開關陣列277-s。如此,備用的可編程邏輯區塊(LB)201-s可以取代壞掉的該其中一個的可編程邏輯區塊(LB)201。Therefore, referring to FIG. 8I, when one of the programmable logic blocks (LB) 201 is damaged, one of the inputs and outputs of the programmable logic blocks (LB) 201 respectively coupled to the one of the programmable logic blocks (LB) 201 can be turned off The repair input switch array 276 and the repair output switch array 277 of one of them are turned on, and the standby repair input switch array 276 having the inputs of the repair input switch array 276 whose inputs are respectively coupled in parallel one-to-one -s, turn on the spare repair output switch array 277-s having outputs coupled to the outputs of the one of the repair output switch arrays 277 in parallel, and turn off the other spare repair input switch arrays 276-s And a spare repair output switch array 277-s. In this way, the spare programmable logic block (LB) 201-s can replace one of the defective programmable logic blocks (LB) 201 .

第8J圖係為根據本申請案之實施例所繪示之修復標準商業化FPGAIC晶片之示意圖。請參照第8J圖,可編程邏輯區塊(LB)201係為陣列的形式排列。當其中一個位在其中一行上的可編程邏輯區塊(LB)201壞掉時,將關閉位在該其中一行上的所有可編程邏輯區塊(LB)201,而開啟位在其中一行上的所有備用的可編程邏輯區塊(LB)201-s。接著,可編程邏輯區塊(LB)201及備用的可編程邏輯區塊(LB)201-s之行號將重新編號,修復後行號經重新編號之每一行每一列的可編程邏輯區塊(LB)201所執行的運算係相同於修復前行號未重新編號之與其行號相同之每一行及與其列號相同之每一列的可編程邏輯區塊(LB)201所執行的運算。舉例而言,當位在第N-1行中的可編程邏輯區塊(LB)201其中一個壞掉時,將關閉位在第N-1行中所有可編程邏輯區塊(LB)201,而開啟位在最右邊一行中所有備用的可編程邏輯區塊(LB)201-s。接著,可編程邏輯區塊(LB)201及備用的可編程邏輯區塊(LB)201-s之行號將重新編號,修復前供所有備用的可編程邏輯區塊(LB)201-s設置的最右邊一行在修復可編程邏輯區塊(LB)201後將重新編號為第1行,修復前供可編程邏輯區塊(LB)201-s設置的第1行在修復可編程邏輯區塊(LB)201後將重新編號為第2行,以此類推。修復前供可編程邏輯區塊(LB)201-s設置的第n-2行在修復可編程邏輯區塊(LB)201後將重新編號為第n-1行,其中n係為介於3至N的整數。修復後行號經重新編號之第m行每一列的可編程邏輯區塊(LB)201所執行的運算係相同於修復前行號未重新編號之第m行及與其列號相同之每一列的可編程邏輯區塊(LB)201所執行的運算,其中m係為介於1至N的整數。舉例而言,修復後行號經重新編號之第1行每一列的可編程邏輯區塊(LB)201所執行的運算係相同於修復前行號未重新編號之第1行及與其列號相同之每一列的可編程邏輯區塊(LB)201所執行的運算。FIG. 8J is a schematic diagram of a commercialized FPGAIC chip according to the repair standard shown in the embodiment of the present application. Please refer to FIG. 8J, the programmable logic blocks (LB) 201 are arranged in the form of an array. When one of the programmable logic blocks (LB) 201 whose bits are on one of the rows is broken, all the programmable logic blocks (LB) 201 whose bits are on one of the rows are turned off, and the LBs 201 whose bits are on one of the rows are turned on. All spare programmable logic blocks (LBs) 201-s. Next, the row numbers of the programmable logic block (LB) 201 and the spare programmable logic block (LB) 201-s will be renumbered, and the row numbers of the renumbered programmable logic blocks in each row and column after repairing are renumbered. The operation performed by (LB) 201 is the same as that performed by the programmable logic block (LB) 201 of each row with the same row number and each column with the same column number without renumbering before repairing. For example, when one of the programmable logic blocks (LB) 201 in row N-1 is broken, all programmable logic blocks (LB) 201 in row N-1 are turned off, The turn-on bits are in all spare programmable logic blocks (LBs) 201-s in the rightmost row. Next, the row numbers of the programmable logic block (LB) 201 and the spare programmable logic block (LB) 201-s will be renumbered, and set for all the spare programmable logic block (LB) 201-s before repairing The rightmost row will be renumbered as row 1 after the repair of the programmable logic block (LB) 201, and the first row for the programmable logic block (LB) 201-s set before repair is in the repaired programmable logic block (LB) 201-s. (LB) 201 will be renumbered to line 2, and so on. The n-2th row set for the programmable logic block (LB) 201-s before repair will be renumbered to the n-1th row after the programmable logic block (LB) 201 is repaired, where n is between 3 an integer to N. The operation performed by the programmable logic block (LB) 201 of each column of the m-th row with the renumbered row number after the repair is the same as the operation performed by the m-th row of the un-renumbered row number and each column with the same column number before the repair. The operations performed by the programmable logic block (LB) 201 , where m is an integer between 1 and N. For example, the operation performed by the programmable logic block (LB) 201 in each column of the 1st row with renumbered row numbers after repair is the same as that of the 1st row without renumbered row numbers and the same column number as before the repair. The operation performed by the programmable logic block (LB) 201 of each column.

專用於可編程交互連接(dedicatedprogrammable-interconnection,DPI)之積體電路(IC)晶片之說明Description of Integrated Circuit (IC) Chips for Dedicated Programmable-Interconnection (DPI)

第9圖係為根據本申請案之實施例所繪示之專用於可編程交互連接(dedicatedprogrammable-interconnection,DPI)之積體電路(IC)晶片之上視圖。請參照第9圖,專用於可編程交互連接(DPI)之積體電路(IC)晶片410係利用較先進之半導體技術世代進行設計及製造,例如是先進於或小於或等於30nm、20nm或10nm之製程,由於採用成熟的半導體技術世代,故在追求製造成本極小化的同時,可讓晶片尺寸及製造良率最適化。專用於可編程交互連接(DPI)之積體電路(IC)晶片410之面積係介於400mm2 至9mm2 之間、介於225mm2 至9mm2 之間、介於144mm2 至16mm2 之間、介於100mm2 至16mm2 之間、介於75mm2 至16mm2 之間或介於50mm2 至16mm2 之間。應用先進半導體技術世代之專用於可編程交互連接(DPI)之積體電路(IC)晶片410所使用之電晶體或半導體元件可以是鰭式場效電晶體(FINFET)、絕緣層上長矽之鰭式場效電晶體(FINFETSOI)、全空乏型之絕緣層上長矽之金屬氧化物半導體之場效電晶體(FDSOIMOSFET)、半空乏型之絕緣層上長矽之金屬氧化物半導體之場效電晶體(PDSOIMOSFET)或傳統的金屬氧化物半導體之場效電晶體。FIG. 9 is a top view of an integrated circuit (IC) chip dedicated to programmable interconnection (DPI) according to an embodiment of the present application. Referring to FIG. 9, an integrated circuit (IC) chip 410 dedicated to programmable interconnect (DPI) is designed and fabricated using more advanced semiconductor technology generations, such as 30nm, 20nm or 10nm advanced or less than or equal to The process, because of the use of mature semiconductor technology generations, can optimize the chip size and manufacturing yield while pursuing the minimization of manufacturing costs. The area of the integrated circuit (IC) chip 410 dedicated to programmable interconnect (DPI) is between 400mm 2 to 9mm 2 , 225mm 2 to 9mm 2 , 144mm 2 to 16mm 2 , between 100mm 2 and 16mm 2 , between 75mm 2 and 16mm 2 or between 50mm 2 and 16mm 2 . The transistor or semiconductor device used in the integrated circuit (IC) chip 410 dedicated to programmable interconnect (DPI) of the generation of advanced semiconductor technology can be a fin field effect transistor (FINFET), a fin with long silicon on an insulating layer Type field effect transistor (FINFETSOI), full depletion type field effect transistor with silicon-on-insulator metal oxide semiconductor (FDSOIMOSFET), semi-depletion type field effect transistor with silicon-on-insulator metal oxide semiconductor field effect transistor (PDSOIMOSFET) or traditional metal oxide semiconductor field effect transistor.

請參見第9A圖,由於專用於可編程交互連接(DPI)之積體電路(IC)晶片410係為標準商業化IC晶片,故專用於可編程交互連接(DPI)之積體電路(IC)晶片410僅需減少至少量類型即可,因此採用先進之半導體技術世代製造之專用於可編程交互連接(DPI)之積體電路(IC)晶片410所需的昂貴光罩或光罩組在數量上可以減少,用於一半導體技術世代之光罩組可以減少至3組至20組之間、3組至10組之間或是3組至5組之間,其一次性工程費用(NRE)也會大幅地減少。由於專用於可編程交互連接(DPI)之積體電路(IC)晶片410之類型很少,因此製造過程可以最適化達到非常高的製造晶片產能。再者,可以簡化晶片的存貨管理,達到高效能及高效率之目標,故可縮短晶片交貨時間,是非常具成本效益的。Referring to FIG. 9A, since the integrated circuit (IC) chip 410 dedicated to programmable interconnect (DPI) is a standard commercial IC chip, the integrated circuit (IC) dedicated to programmable interconnect (DPI) Chips 410 need only be reduced in at least a few types, so that the number of expensive masks or mask sets required for integrated circuit (IC) chips 410 dedicated to Programmable Interconnection (DPI) to be manufactured using advanced semiconductor technology generations is reduced in number can be reduced, the mask set for a semiconductor technology generation can be reduced to between 3 sets to 20 sets, 3 sets to 10 sets, or 3 sets to 5 sets, the one-time engineering cost (NRE) will also be greatly reduced. Since there are few types of integrated circuit (IC) chips 410 dedicated to programmable interconnect (DPI), the fabrication process can be optimized to achieve very high throughput of fabricated chips. Furthermore, the inventory management of the chips can be simplified to achieve the goals of high performance and high efficiency, so the lead time of the chips can be shortened, which is very cost-effective.

請參見第9圖,各種類型之專用於可編程交互連接(DPI)之積體電路(IC)晶片410包括:(1)多個記憶陣列區塊423,係以陣列的方式排列於其中間區域;(2)多組的交叉點開關379,如第3A圖至第3D圖所描述之內容,其中每一組係在記憶陣列區塊423其中一個的周圍環繞成一環或多環的樣式;以及(3)多個小型I/O電路203,如第5B圖所描述之內容,其中每一個的輸出S_Data_in係經由可編程交互連接線361其中一條耦接其中一個如第3A圖至第3C圖、第7A圖及第7B圖所繪示之交叉點開關379之節點N23-N26其中一個或是耦接其中一個如第3D圖及第7C圖所繪示之交叉點開關379之輸入D0-D16其中一個,其中每一個的輸出S_Data_out係經由可編程交互連接線361其中另一條耦接其中另一個如第3A圖至第3C圖、第7A圖及第7B圖所繪示之交叉點開關379之節點N23-N26其中一個或是耦接其中另一個如第3D圖及第7C圖所繪示之交叉點開關379之輸出Dout。在每一個的記憶陣列區塊423中,設有多個的記憶單元362,其每一個可以是晶片上揮發性記憶體單元,如第1A圖或第1B圖所繪示之記憶單元398,其每一個的輸出Out1及/或Out2係耦接位在該每一個的記憶陣列區塊423附近之交叉點開關379之通過/不通開關258其中一個,如第3A圖、第3B圖及第7A圖所描述之內容,或是晶片上非揮發性記憶體單元,例如是浮動閘極非揮發性記憶體單元、MRAMIC晶片、相變化隨機存取記憶體IC晶片、RRAMIC晶片或FRAMIC晶片;或者,其每一個的輸出Out1或Out2係耦接位在該每一個的記憶陣列區塊423附近之交叉點開關379之多工器211之第二組之輸入A0及A1及多工器211之輸入SC-4其中一個,如第3C圖及第7B圖所描述之內容;或者,其每一個的輸出Out1或Out2係耦接位在該每一個的記憶陣列區塊423附近之交叉點開關379之多工器211之第二組之輸入A0-A3其中一個,如第3D圖及第7C圖所描述之內容。Referring to FIG. 9, various types of integrated circuit (IC) chips 410 dedicated to programmable interconnect (DPI) include: (1) a plurality of memory array blocks 423, which are arranged in an array in the middle area thereof (2) a plurality of sets of cross-point switches 379, as described in Figures 3A to 3D, wherein each set surrounds one of the memory array blocks 423 in a pattern of one or more rings; and (3) A plurality of small I/O circuits 203, as described in FIG. 5B, each of the outputs S_Data_in is coupled to one of them via the programmable interconnection lines 361 as shown in FIG. 3A to FIG. 3C, One of the nodes N23-N26 of the cross-point switch 379 shown in FIGS. 7A and 7B may be coupled to one of the inputs D0-D16 of the cross-point switch 379 shown in FIGS. 3D and 7C. One, the output S_Data_out of each is coupled to the other one of the nodes of the cross-point switch 379 as shown in FIGS. 3A-3C, 7A, and 7B via programmable interconnect lines 361 One of N23-N26 is either coupled to the output Dout of the other cross-point switch 379 as shown in FIG. 3D and FIG. 7C. In each memory array block 423, there are a plurality of memory cells 362, each of which may be an on-chip volatile memory cell, such as the memory cell 398 shown in FIG. 1A or FIG. 1B, which The output Out1 and/or Out2 of each is coupled to one of the pass/fail switches 258 of the cross-point switch 379 located near the memory array block 423 of each, as shown in FIGS. 3A , 3B and 7A What is described, or on-chip non-volatile memory cells, such as floating gate non-volatile memory cells, MRMIC chips, phase-change random access memory IC chips, RRMIC chips, or FRMIC chips; or, its The output Out1 or Out2 of each is coupled to the second set of inputs A0 and A1 of the multiplexer 211 of the cross-point switch 379 located near the memory array block 423 of the each and the input SC- of the multiplexer 211 4. One of them, as described in Figures 3C and 7B; alternatively, the output Out1 or Out2 of each is coupled to the multiplexing of the cross-point switch 379 located near the memory array block 423 of each One of the inputs A0-A3 of the second group of the device 211, as described in FIG. 3D and FIG. 7C.

請參見第9圖,DPIIC晶片410包括多條晶片內交互連接線(未繪示),其中每一條可以在相鄰兩個記憶陣列區塊423之間的上方空間延伸,且可以是如第7A圖至第7C圖所描述之可編程交互連接線361或是固定交互連接線364。DPIIC晶片410之如第5B圖所描述之小型I/O電路203其每一個之輸出S_Data_in係耦接至一或多條之可編程交互連接線361及/或一或多條之固定交互連接線364,其每一個之輸入S_Data_out、S_Enable或S_Inhibit係耦接至其他一或多條之可編程交互連接線361及/或其他一或多條之固定交互連接線364。Referring to FIG. 9, the DPIIC chip 410 includes a plurality of intra-chip interconnection lines (not shown), each of which may extend in the upper space between two adjacent memory array blocks 423, and may be as shown in FIG. 7A Programmable interconnection lines 361 or fixed interconnection lines 364 depicted in FIGS. 7C through 7C. Each output S_Data_in of the small I/O circuits 203 of the DPIIC chip 410 as depicted in FIG. 5B is coupled to one or more programmable interconnect lines 361 and/or one or more fixed interconnect lines 364 , each of whose inputs S_Data_out, S_Enable or S_Inhibit are coupled to one or more other programmable interconnect lines 361 and/or one or more other fixed interconnect lines 364 .

請參見第9圖,DPIIC晶片410可以包括多個I/O接墊372,如第5B圖所描述的內容,其每一個係垂直地設在其中一小型I/O電路203上方,並連接該其中一小型I/O電路203之節點381。在第一時脈中,來自如第3A圖至第3C圖、第7A圖及第7B圖所繪示之交叉點開關379之節點N23-N26其中之一的訊號,或是如第3D圖及第7C圖所繪示之交叉點開關379之輸出Dout,可以經由其中一或多條之可編程交互連接線361傳送至其中一小型I/O電路203之小型驅動器374之輸入S_Data_out,該其中一小型I/O電路203之小型驅動器374可以放大其輸入S_Data_out至垂直地位在該其中一小型I/O電路203之上方的I/O接墊372以傳送至DPIIC晶片410之外部的電路。在第二時脈中,來自DPIIC晶片410之外部的電路之訊號可經由該I/O接墊372傳送至該其中一小型I/O電路203之小型接收器375,該其中一小型I/O電路203之小型接收器375可以放大該訊號至其輸出S_Data_in,經由其中另一或多條之可編程交互連接線361可以傳送至其他的如第3A圖至第3C圖、第7A圖及第7B圖所繪示之交叉點開關379之節點N23-N26其中之一,或者可以傳送至其他的如第3D圖及第7C圖所繪示之交叉點開關379之輸入D0-D15其中一個。請參見第9圖,DPIIC晶片410還包括(1)多個電源接墊205,可以經由一或多條之固定交互連接線364施加電源供應電壓Vcc至如第7A圖至第7C圖所描述之用於交叉點開關379之記憶單元362,其中電源供應電壓Vcc可以是介於0.2伏特至2.5伏特之間、介於0.2伏特至2伏特之間、介於0.2伏特至1.5伏特之間、介於0.1伏特至1伏特之間、介於0.2伏特至1伏特之間或是小於或等於2.5伏特、2伏特、1.8伏特、1.5伏特或1伏特;以及(2)多個接地接墊206,可以經由一或多條之固定交互連接線364傳送接地參考電壓Vss至如第7A圖至第7C圖所描述之用於交叉點開關379之記憶單元362。Referring to FIG. 9, the DPIIC chip 410 may include a plurality of I/O pads 372, as described in FIG. 5B, each of which is disposed vertically above one of the small I/O circuits 203 and connects to the Node 381 of one of the small I/O circuits 203 . In the first clock, the signal from one of the nodes N23-N26 of the cross-point switch 379 as shown in FIGS. 3A to 3C, 7A and 7B, or as shown in FIGS. 3D and 7B The output Dout of the cross-point switch 379 shown in FIG. 7C can be transmitted to the input S_Data_out of the small driver 374 of one of the small I/O circuits 203 through one or more of the programmable interconnect lines 361, one of the The small driver 374 of the small I/O circuits 203 can amplify its input S_Data_out to the I/O pads 372 located vertically above the one of the small I/O circuits 203 for transmission to circuits outside the DPIIC chip 410 . In the second clock, signals from circuits external to the DPIIC chip 410 can be transmitted via the I/O pads 372 to the small receiver 375 of the one of the small I/O circuits 203, the one of the small I/O The small receiver 375 of the circuit 203 can amplify the signal to its output S_Data_in, which can be transmitted to others such as Figures 3A to 3C, Figure 7A and Figure 7B via one or more of the programmable interconnect lines 361. One of the nodes N23-N26 of the cross-point switch 379 shown in the figure, or may be transmitted to one of the inputs D0-D15 of the other cross-point switch 379 as shown in FIGS. 3D and 7C. Referring to FIG. 9 , the DPIIC chip 410 further includes (1) a plurality of power supply pads 205 , which can apply the power supply voltage Vcc to the power supply voltage Vcc as described in FIGS. 7A to 7C through one or more fixed interconnecting lines 364 The memory cell 362 for the crosspoint switch 379, wherein the power supply voltage Vcc can be between 0.2 volts to 2.5 volts, between 0.2 volts and 2 volts, between 0.2 volts and 1.5 volts, between between 0.1 volts and 1 volts, between 0.2 volts and 1 volts, or less than or equal to 2.5 volts, 2 volts, 1.8 volts, 1.5 volts, or 1 volt; and (2) a plurality of ground pads 206, accessible via One or more fixed interconnect lines 364 transmit the ground reference voltage Vss to the memory cell 362 for the crosspoint switch 379 as described in FIGS. 7A-7C.

專用於輸入/輸出(I/O)之晶片的說明Description of chips dedicated to input/output (I/O)

第10圖係為根據本申請案之實施例所繪示之專用於輸入/輸出(I/O)之晶片的方塊圖。請參照第10圖,專用於輸入/輸出(I/O)之晶片265包括複數個大型I/O電路341(僅繪示其中一個)及複數個小型I/O電路203(僅繪示其中一個)。大型I/O電路341可以參考如第5A圖所敘述之內容,小型I/O電路203可以參考如第5B圖所敘述之內容。FIG. 10 is a block diagram of an input/output (I/O) dedicated chip depicted in accordance with an embodiment of the present application. Referring to FIG. 10, a chip 265 dedicated to input/output (I/O) includes a plurality of large I/O circuits 341 (only one of which is shown) and a plurality of small I/O circuits 203 (only one of which is shown) ). The large I/O circuit 341 can refer to the content described in FIG. 5A, and the small I/O circuit 203 can refer to the content described in FIG. 5B.

請參照第5A圖、第5B圖及第10圖,每一大型I/O電路341之大型驅動器274之輸入L_Data_out係耦接其中一小型I/O電路203之小型接收器375之輸出S_Data_in。每一大型I/O電路341之大型接收器275之輸出L_Data_in係耦接其中一小型I/O電路203之小型驅動器374之輸入S_Data_out。當利用訊號(L_Enable)致能大型驅動器274且同時利用訊號(S_Inhibit)啟動小型接收器375時,會利用訊號(L_Inhibit)抑制大型接收器275且同時利用訊號(S_Enable)禁能小型驅動器374,此時資料可以從小型I/O電路203之I/O接墊372依序經過小型接收器375及大型驅動器274傳送至大型I/O電路341之I/O接墊272。當利用訊號(L_Inhibit)啟動大型接收器275且同時利用訊號(S_Enable)致能小型驅動器374時,會利用訊號(L_Enable)禁能大型驅動器274且同時利用訊號(S_Inhibit)抑制小型驅動器375,此時資料可以從大型I/O電路341之I/O接墊272依序經過大型接收器275及小型驅動器374傳送至小型I/O電路203之I/O接墊372。5A, 5B and 10, the input L_Data_out of the large driver 274 of each large I/O circuit 341 is coupled to the output S_Data_in of the small receiver 375 of one of the small I/O circuits 203. The output L_Data_in of the large receiver 275 of each large I/O circuit 341 is coupled to the input S_Data_out of the small driver 374 of one of the small I/O circuits 203 . When the signal (L_Enable) is used to enable the large driver 274 and the signal (S_Inhibit) is used to enable the small receiver 375, the signal (L_Inhibit) is used to inhibit the large receiver 275 and the signal (S_Enable) is used to disable the small driver 374 at the same time. Time data can be transmitted from the I/O pad 372 of the small I/O circuit 203 to the I/O pad 272 of the large I/O circuit 341 through the small receiver 375 and the large driver 274 in sequence. When the signal (L_Inhibit) is used to enable the large receiver 275 and the signal (S_Enable) is used to enable the small driver 374, the signal (L_Enable) is used to disable the large driver 274 and the signal (S_Inhibit) is used to inhibit the small driver 375 at the same time. Data can be transferred from the I/O pads 272 of the large I/O circuit 341 to the I/O pads 372 of the small I/O circuit 203 through the large receiver 275 and the small driver 374 in sequence.

邏輯驅動器之說明Description of logical drives

各種的標準商業化邏輯驅動器(亦可稱為邏輯運算封裝結構、邏輯運算封裝驅動器、邏輯運算裝置、邏輯運算模組、邏輯運算碟片或邏輯運算碟片驅動器等)係介紹如下:Various standard commercial logic drivers (also called logic operation package structures, logic operation package drivers, logic operation devices, logic operation modules, logic operation disks or logic operation disk drivers, etc.) are introduced as follows:

I.第一型之邏輯驅動器I. Type 1 logical drive

第11A圖係為根據本申請案之實施例所繪示之第一型標準商業化邏輯驅動器之上視示意圖。請參見第11A圖,標準商業化邏輯驅動器300可以封裝有複數個如第8A圖至第8J圖所描述之標準商業化FPGAIC晶片200、一或多個的非揮發性記憶體(NVM)積體電路(IC)晶片250及一專用控制晶片260,排列成陣列的形式,其中專用控制晶片260係由標準商業化FPGAIC晶片200及非揮發性記憶體(NVM)積體電路(IC)晶片250所包圍環繞,且可以位在非揮發性記憶體(NVM)積體電路(IC)晶片250之間及/或標準商業化FPGAIC晶片200之間。位在邏輯驅動器300之右側中間的非揮發性記憶體(NVM)積體電路(IC)晶片250可以設於位在邏輯驅動器300之右側上面及右側下面的二標準商業化FPGAIC晶片200之間。標準商業化FPGAIC晶片200其中數個可以在邏輯驅動器300之上側排列成一條線。FIG. 11A is a schematic top view of a first type standard commercial logic driver according to an embodiment of the present application. Referring to FIG. 11A, a standard commercial logic driver 300 may be packaged with a plurality of standard commercial FPGA IC chips 200 as described in FIGS. 8A-8J, one or more non-volatile memory (NVM) ICs A circuit (IC) chip 250 and a dedicated control chip 260 are arranged in an array, wherein the dedicated control chip 260 is composed of a standard commercial FPGA IC chip 200 and a non-volatile memory (NVM) integrated circuit (IC) chip 250. Surrounded by and may be located between non-volatile memory (NVM) integrated circuit (IC) chips 250 and/or between standard commercial FPGA IC chips 200 . A non-volatile memory (NVM) integrated circuit (IC) chip 250 located in the right middle of the logic driver 300 may be disposed between two standard commercial FPGA IC chips 200 located on the upper and lower right sides of the logic driver 300 . Several of the standard commercial FPGA IC chips 200 may be arranged in a line above the logic driver 300 .

請參見第11A圖,邏輯驅動器300可以包括多條晶片間交互連接線371,其中每一條可以在標準商業化FPGAIC晶片200、NVMIC晶片250及專用控制晶片260其中相鄰的兩個之間的上方空間中延伸。邏輯驅動器300可以包括複數個DPIIC晶片410,對準於垂直延伸之一束晶片間交互連接線371及水平延伸之一束晶片間交互連接線371之交叉點處,每一DPIIC晶片410之周圍角落處係設有標準商業化FPGAIC晶片200、NVMIC晶片250及專用控制晶片260其中四個。舉例而言,位在專用控制晶片260之左上角處的第一個DPIIC晶片410與位在該第一個DPIIC晶片410左上角處的第一個標準商業化FPGAIC晶片200之間的最短距離即為第一個標準商業化FPGAIC晶片200之右下角與第一個DPIIC晶片410之左上角之間的距離;第一個DPIIC晶片410與位在該第一個DPIIC晶片410右上角處的第二個標準商業化FPGAIC晶片200之間的最短距離即為第二個標準商業化FPGAIC晶片200之左下角與第一個DPIIC晶片410之右上角之間的距離;第一個DPIIC晶片410與位在該第一個DPIIC晶片410左下角處的NVMIC晶片250之間的最短距離即為NVMIC晶片250之右上角與第一個DPIIC晶片410之左下角之間的距離;第一個DPIIC晶片410與位在該第一個DPIIC晶片410右下角處的專用控制晶片260之間的最短距離即為專用控制晶片260之左上角與第一個DPIIC晶片410之右下角之間的距離。Referring to FIG. 11A , the logic driver 300 may include a plurality of inter-die interconnection lines 371 , each of which may be above between adjacent two of the standard commercial FPGAIC die 200 , the NVMIC die 250 and the dedicated control die 260 . extend in space. The logic driver 300 may include a plurality of DPIIC chips 410 aligned at the intersections of a vertically extending bundle of inter-wafer interconnect lines 371 and a horizontally extending bundle of inter-wafer interconnect lines 371, surrounding corners of each DPIIC chip 410 There are four standard commercial FPGAIC chips 200 , NVMIC chips 250 and special control chips 260 in the system. For example, the shortest distance between the first DPIIC die 410 located at the upper left corner of the dedicated control die 260 and the first standard commercial FPGAIC die 200 located at the upper left corner of the first DPIIC die 410 is is the distance between the lower right corner of the first standard commercial FPGAIC die 200 and the upper left corner of the first DPIIC die 410 ; The shortest distance between a standard commercial FPGAIC chip 200 is the distance between the lower left corner of the second standard commercial FPGAIC chip 200 and the upper right corner of the first DPIIC chip 410; The shortest distance between the NVMIC chips 250 at the lower left corner of the first DPIIC chip 410 is the distance between the upper right corner of the NVMIC chip 250 and the lower left corner of the first DPIIC chip 410; The shortest distance between the dedicated control chips 260 at the lower right corner of the first DPIIC chip 410 is the distance between the upper left corner of the dedicated control chip 260 and the lower right corner of the first DPIIC chip 410 .

請參見第11A圖,每一晶片間交互連接線371可以是如第7A圖至第7C圖及所描述之可編程交互連接線361或固定交互連接線364,並可參見前述之“可編程交互連接線之說明”及“固定交互連接線之說明”。訊號之傳輸可以(1)經由標準商業化FPGAIC晶片200之小型I/O電路203,在晶片間交互連接線371之可編程交互連接線361與標準商業化FPGAIC晶片200之晶片內交互連接線502之可編程交互連接線361之間進行;或者(2)經由DPIIC晶片410之小型I/O電路203,在晶片間交互連接線371之可編程交互連接線361與DPIIC晶片410之晶片內交互連接線之可編程交互連接線361之間進行。訊號之傳輸可以(1)經由標準商業化FPGAIC晶片200之小型I/O電路203,在晶片間交互連接線371之固定交互連接線364與標準商業化FPGAIC晶片200之晶片內交互連接線502之固定交互連接線364之間進行;或者(2)經由DPIIC晶片410之小型I/O電路203,在晶片間交互連接線371之固定交互連接線364與DPIIC晶片410之晶片內交互連接線之固定交互連接線364之間進行。Referring to FIG. 11A, each inter-chip interconnection line 371 may be a programmable interconnection line 361 or a fixed interconnection line 364 as described in FIGS. 7A to 7C, and refer to the aforementioned “Programmable Interconnection Line 364” "Description of Connecting Lines" and "Description of Fixed Interchangeable Connecting Lines". Signals can be transmitted (1) via the small I/O circuits 203 of the standard commercial FPGAIC chip 200 , the programmable interconnect wires 361 of the inter-chip interconnect wires 371 and the intra-chip interconnect wires 502 of the standard commercial FPGA IC chip 200 or (2) through the small I/O circuit 203 of the DPIIC chip 410, the programmable interconnection line 361 of the inter-chip interconnection line 371 and the in-chip interconnection of the DPIIC chip 410 Programmable interconnection of lines is made between lines 361 . The transmission of the signal can be (1) through the small I/O circuit 203 of the standard commercial FPGAIC chip 200, between the fixed inter-chip interconnect 364 of the inter-chip interconnect 371 and the intra-chip interconnect 502 of the standard commercial FPGA IC chip 200. Or (2) through the small I/O circuit 203 of the DPIIC chip 410, the fixed interconnection line 364 of the inter-chip interconnection line 371 and the intra-chip interconnection line of the DPIIC chip 410 are fixed Interconnection between lines 364 takes place.

請參見第11A圖,每一個的標準商業化FPGAIC晶片200可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的DPIIC晶片410,每一個的標準商業化FPGAIC晶片200可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至專用控制晶片260,每一個的標準商業化FPGAIC晶片200可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的NVMIC晶片250,每一個的DPIIC晶片410可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的NVMIC晶片250,每一個的NVMIC晶片250可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至專用控制晶片260。Referring to FIG. 11A, each standard commercial FPGAIC chip 200 can be coupled to all DPIIC chips 410 through one or more programmable interconnect lines 361 or fixed interconnect lines 364 of one or more inter-die interconnect lines 371. A standard commercial FPGA IC chip 200 can be coupled to a dedicated control chip 260 through one or more inter-chip interconnect lines 371, programmable interconnect lines 361 or fixed interconnect lines 364, each of the standard commercial FPGA IC chips 200 Can be coupled to all NVMIC chips 250 through one or more programmable interconnects 361 or fixed interconnects 364 of inter-die interconnects 371, and each DPIIC die 410 can be interconnected through one or more inter-die interconnects The programmable interconnection lines 361 or fixed interconnection lines 364 of the lines 371 are coupled to all the NVMIC chips 250, and each NVMIC chip 250 can be connected through the programmable interconnection lines 361 of one or more inter-die interconnection lines 371 or The fixed interconnection line 364 is coupled to the dedicated control chip 260 .

因此,請參見第11A圖,第一個的標準商業化FPGAIC晶片200之第一個的可編程邏輯區塊201可以是如第6A圖所描述之內容,其輸出Dout可以經由其中一個的DPIIC晶片410之交叉點開關379傳送至第二個的標準商業化FPGAIC晶片200之第二個的可編程邏輯區塊201之輸入A0-A3其中一個。據此,第一個的可編程邏輯區塊201之輸出Dout傳送至第二個的可編程邏輯區塊201之輸入A0-A3其中一個之過程係依序地經過(1)第一個的標準商業化FPGAIC晶片200之晶片內交互連接線502之可編程交互連接線361、(2)第一組之晶片間交互連接線371之可編程交互連接線361、(3)該其中一個的DPIIC晶片410之第一組之晶片內交互連接線之可編程交互連接線361、(4)該其中一個的DPIIC晶片410之交叉點開關379、(5)該其中一個的DPIIC晶片410之第二組之晶片內交互連接線之可編程交互連接線361、(6)第二組之晶片間交互連接線371之可編程交互連接線361、以及(2)第二個的標準商業化FPGAIC晶片200之晶片內交互連接線502之可編程交互連接線361。Therefore, referring to FIG. 11A, the first programmable logic block 201 of the first standard commercialized FPGAIC chip 200 may be as described in FIG. 6A, and its output Dout may pass through one of the DPIIC chips The crosspoint switch 379 of 410 transmits to one of the inputs A0-A3 of the second programmable logic block 201 of the second standard commercial FPGAIC chip 200. Accordingly, the process of transmitting the output Dout of the first programmable logic block 201 to one of the inputs A0-A3 of the second programmable logic block 201 is sequentially through (1) the first standard The programmable interconnection lines 361 of the intra-chip interconnection lines 502 of the commercialized FPGAIC chip 200, (2) the programmable interconnection lines 361 of the inter-chip interconnection lines 371 of the first group, (3) the DPIIC chip of one of them The programmable interconnection lines 361 of the first group of intra-chip interconnection lines of 410, (4) the cross-point switch 379 of the one of the DPIIC chips 410, (5) of the second group of the one of the DPIIC chips 410 Programmable interconnection lines 361 of intra-chip interconnection lines, (6) programmable interconnection lines 361 of inter-chip interconnection lines 371 of the second set, and (2) chips of the second standard commercial FPGAIC chip 200 Programmable interconnect 361 within interconnect 502 .

或者,請參見第11A圖,其中一個的標準商業化FPGAIC晶片200之第一個的可編程邏輯區塊201可以是如第6A圖所描述之內容,其輸出Dout可以經由其中一個的DPIIC晶片410之交叉點開關379傳送至該其中一個的標準商業化FPGAIC晶片200之第二個的可編程邏輯區塊201之輸入A0-A3其中一個。據此,第一個的可編程邏輯區塊201之輸出Dout傳送至第二個的可編程邏輯區塊201之輸入A0-A3其中一個之過程係依序地經過(1)該其中一個的標準商業化FPGAIC晶片200之第一組之晶片內交互連接線502之可編程交互連接線361、(2)第一組之晶片間交互連接線371之可編程交互連接線361、(3)該其中一個的DPIIC晶片410之第一組之晶片內交互連接線之可編程交互連接線361、(4)該其中一個的DPIIC晶片410之交叉點開關379、(5)該其中一個的DPIIC晶片410之第二組之晶片內交互連接線之可編程交互連接線361、(6)第二組之晶片間交互連接線371之可編程交互連接線361、以及(7)該其中一個的標準商業化FPGAIC晶片200之第二組之晶片內交互連接線502之可編程交互連接線361。Alternatively, referring to FIG. 11A, the first programmable logic block 201 of one of the standard commercial FPGAIC chips 200 may be as described in FIG. 6A, the output Dout of which may be via one of the DPIIC chips 410 The cross-point switch 379 is transmitted to one of the inputs A0-A3 of the second programmable logic block 201 of the one of the standard commercial FPGA IC chips 200. Accordingly, the process of transmitting the output Dout of the first programmable logic block 201 to one of the inputs A0-A3 of the second programmable logic block 201 sequentially passes through (1) the one of the criteria The programmable interconnection lines 361 of the first group of intra-chip interconnection lines 502 of the commercialized FPGAIC chip 200, (2) the programmable interconnection lines 361 of the inter-chip interconnection lines 371 of the first group, (3) the among (4) the cross-point switch 379 of the one of the DPIIC chips 410, (5) the one of the DPIIC chips 410 Programmable interconnect lines 361 of the second group of intra-chip interconnect lines, (6) programmable interconnect lines 361 of the second group of inter-die interconnect lines 371, and (7) a standard commercial FPGAIC of one of them Programmable interconnect lines 361 of the second set of intra-chip interconnect lines 502 of the chip 200 .

請參見第11A圖,邏輯驅動器300可以包括多個專用I/O晶片265,位在邏輯驅動器300之周圍區域,其係環繞邏輯驅動器300之中間區域,其中邏輯驅動器300之中間區域係容置有標準商業化FPGAIC晶片200、NVMIC晶片321、專用控制晶片260及DPIIC晶片410。每一個的標準商業化FPGAIC晶片200可以經由一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的專用I/O晶片265,每一個的DPIIC晶片410可以經由一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的專用I/O晶片265,每一個的NVMIC晶片321可以經由一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的專用I/O晶片265,專用控制晶片260可以經由一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的專用I/O晶片265。Referring to FIG. 11A, the logical driver 300 may include a plurality of dedicated I/O chips 265 located in the surrounding area of the logical driver 300, which surrounds the middle area of the logical driver 300, wherein the middle area of the logical driver 300 accommodates the Standard commercial FPGAIC die 200 , NVMIC die 321 , dedicated control die 260 and DPIIC die 410 . Each standard commercial FPGAIC die 200 may be coupled to all of the dedicated I/O die 265 via one or more inter-die interconnects 371, programmable interconnects 361 or fixed interconnects 364, each DPIIC Die 410 can be coupled to all of the dedicated I/O die 265 via one or more programmable interconnect lines 361 or fixed interconnect lines 364 of inter-die interconnect lines 371, and each NVMIC die 321 can be coupled to all of the dedicated I/O die 265 via one or more The programmable interconnect lines 361 or the fixed interconnect lines 364 of the inter-die interconnect lines 371 are coupled to all the dedicated I/O chips 265, and the dedicated control chips 260 can be connected via one or more inter-die interconnect lines 371. Program interconnect lines 361 or fixed interconnect lines 364 are coupled to all dedicated I/O chips 265 .

請參見第11A圖,每一個的標準商業化FPGAIC晶片200可以參考如第8A圖至第8J圖所揭露之內容,而每一個的DPIIC晶片410可以參考如第9圖所揭露之內容。Referring to FIG. 11A , each standard commercial FPGAIC chip 200 can refer to the content disclosed in FIGS. 8A to 8J , and each DPIIC chip 410 can refer to the content disclosed in FIG. 9 .

請參見第11A圖,每一個專用I/O晶片265及專用控制晶片260可以利用較舊或較成熟之半導體技術世代進行設計及製造,例如是舊於或大於或等於40nm、50nm、90nm、130nm、250nm、350nm或500nm之製程。在相同的邏輯驅動器300中,每一個專用I/O晶片265及專用控制晶片260所採用的半導體技術世代可以是比每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410所採用的半導體技術世代晚於或舊於1個世代、2個世代、3個世代、4個世代、5個世代或超過5個世代。Referring to FIG. 11A, each dedicated I/O chip 265 and dedicated control chip 260 can be designed and manufactured using older or more mature semiconductor technology generations, such as older than or greater than or equal to 40nm, 50nm, 90nm, 130nm , 250nm, 350nm or 500nm process. In the same logic driver 300, the semiconductor technology generation employed by each of the dedicated I/O die 265 and the dedicated control die 260 may be a higher generation of semiconductors than that employed by each of the standard commercial FPGAIC die 200 and each of the DPIIC die 410. Technology generations later or older than 1, 2, 3, 4, 5, or more than 5 generations.

請參見第11A圖,每一個專用I/O晶片265及專用控制晶片260所使用的電晶體或半導體元件可以是全空乏型之絕緣層上長矽之金屬氧化物半導體之場效電晶體(FDSOIMOSFET)、半空乏型之絕緣層上長矽之金屬氧化物半導體之場效電晶體(PDSOIMOSFET)或傳統的金屬氧化物半導體之場效電晶體。在相同的邏輯驅動器300中,用於每一個專用I/O晶片265及專用控制晶片260之電晶體或半導體元件可以是不同於用於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410之電晶體或半導體元件。舉例而言,在相同的邏輯驅動器300中,用於每一個專用I/O晶片265及專用控制晶片260之電晶體或半導體元件可以是傳統的金屬氧化物半導體之場效電晶體,而用於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410之電晶體或半導體元件可以是鰭式場效電晶體(FINFET);或者,在相同的邏輯驅動器300中,用於每一個專用I/O晶片265及專用控制晶片260之電晶體或半導體元件可以是全空乏型之絕緣層上長矽之金屬氧化物半導體之場效電晶體(FDSOIMOSFET),而用於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410之電晶體或半導體元件可以是鰭式場效電晶體(FINFET)。Referring to FIG. 11A, the transistors or semiconductor elements used in each of the dedicated I/O chip 265 and the dedicated control chip 260 may be fully depleted type field effect transistors (FDSOI MOSFETs) with long silicon on insulating layers. ), a semi-depleted type of metal-oxide-semiconductor field effect transistor (PDSOIMOSFET) or a traditional metal-oxide-semiconductor field effect transistor. In the same logic driver 300, the transistors or semiconductor elements used for each of the dedicated I/O die 265 and the dedicated control die 260 may be different from the standard commercial FPGAIC die 200 used for each and the DPIIC die of each 410 transistors or semiconductor components. For example, in the same logic driver 300, the transistors or semiconductor elements used for each of the dedicated I/O chip 265 and the dedicated control chip 260 may be conventional metal-oxide-semiconductor field effect transistors, while those used for The transistors or semiconductor elements of each standard commercial FPGAIC die 200 and each DPIIC die 410 may be fin field effect transistors (FINFETs); or, in the same logic driver 300, for each dedicated I/ The transistors or semiconductor elements of the O chip 265 and the dedicated control chip 260 may be fully depleted silicon-on-insulator metal-oxide-semiconductor field effect transistors (FDSOI MOSFETs), and standard commercial FPGA IC chips for each The transistors or semiconductor elements of DPIIC chip 410 of 200 and each may be fin field effect transistors (FINFETs).

請參見第11A圖,每一個的NVMIC晶片250可以是裸晶形式的或多晶片封裝形式的非及(NAND)快閃記憶體晶片或NOR快閃記憶體晶片。當邏輯驅動器300之電源關閉時,儲存於邏輯驅動器300中的NVMIC晶片250中的資料還是可以保存。或者,NVMIC晶片250可以是裸晶形式的或晶片封裝形式的非揮發性隨機存取記憶體(NVRAM)積體電路(IC)晶片,例如是鐵電隨機存取記憶體(FRAM)、磁阻式隨機存取記憶體(MRAM)或相變化記憶體(PRAM)。每一個的NVMIC晶片250之記憶體密度或容量可以是大於64M位元、512M位元、1G位元、4G位元、16G位元、64G位元、128G位元、256G位元或512G位元。每一個的NVMIC晶片250係利用先進的非及(NAND)快閃記憶體或NOR快閃記憶體技術世代所製造,例如是先進於或小於或等於45nm、28nm、20nm、16nm或10nm,該先進的非及(NAND)快閃記憶體或NOR快閃記憶體技術可以是單層記憶單元(SLC)的技術或多層記憶單元(MLC)的技術,應用在2D非及(NAND)記憶體架構或3D非及(NAND)記憶體架構上,其中多層記憶單元(MLC)的技術例如是雙層記憶單元(DLC)的技術或三層記憶單元(TLC)的技術,而3D非及(NAND)記憶體架構可以是由非及(NAND)記憶單元所構成的4層、8層、16層或32層之堆疊結構。因此,邏輯驅動器300之非揮發記憶體密度或容量可以是大於或等於8M位元組、64M位元組、128M位元組、512M位元組、1G位元組、4G位元組、16G位元組、64G位元組、256G位元組或512G位元組,其中每一位元組包括8位元。Referring to FIG. 11A, each NVMIC chip 250 may be a NAND flash memory chip or a NOR flash memory chip in a bare die form or a multi-chip package form. When the power of the logical driver 300 is turned off, the data stored in the NVMIC chip 250 in the logical driver 300 can still be preserved. Alternatively, the NVMIC chip 250 may be a non-volatile random access memory (NVRAM) integrated circuit (IC) chip, such as a ferroelectric random access memory (FRAM), magnetoresistive Random Access Memory (MRAM) or Phase Change Memory (PRAM). The memory density or capacity of each NVMIC chip 250 may be greater than 64Mbit, 512Mbit, 1Gbit, 4Gbit, 16Gbit, 64Gbit, 128Gbit, 256Gbit or 512Gbit . Each NVMIC chip 250 is fabricated using advanced non-and (NAND) flash memory or NOR flash memory technology generations, such as advanced at or less than or equal to 45nm, 28nm, 20nm, 16nm, or 10nm. The non-and (NAND) flash memory or NOR flash memory technology can be single-level memory cell (SLC) technology or multi-level memory cell (MLC) technology, applied in 2D non-and (NAND) memory architecture or On the 3D non-sum (NAND) memory structure, the multi-layer memory cell (MLC) technology is, for example, the double-layer memory cell (DLC) technology or the triple-layer memory cell (TLC) technology, while the 3D non-sum (NAND) memory technology The body structure can be a stack structure of 4, 8, 16 or 32 layers composed of NAND memory cells. Therefore, the non-volatile memory density or capacity of the logical drive 300 may be greater than or equal to 8Mbytes, 64Mbytes, 128Mbytes, 512Mbytes, 1Gbytes, 4Gbytes, 16Gbytes tuple, 64G bytes, 256G bytes, or 512G bytes, where each byte includes 8 bits.

請參見第11A圖,在相同的邏輯驅動器300中,用於每一個專用I/O晶片265及專用控制晶片260之電源供應電壓Vcc可以是大於或等於1.5V、2V、2.5V、3V、3.5V、4V或5V,而用於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410之電源供應電壓Vcc可以是介於0.2V至2.5V之間、介於0.2V至2V之間、介於0.2V至1.5V之間、介於0.1V至1V之間、介於0.2V至1V之間或是小於或等於2.5V、2V、1.8V、1.5V或1V。在相同的邏輯驅動器300中,用於每一個專用I/O晶片265及專用控制晶片260之電源供應電壓Vcc可以是不同於用於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410之電源供應電壓Vcc。舉例而言,在相同的邏輯驅動器300中,用於每一個專用I/O晶片265及專用控制晶片260之電源供應電壓Vcc可以是4V,而用於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410之電源供應電壓Vcc可以是1.5V;或者,在相同的邏輯驅動器300中,用於每一個專用I/O晶片265及專用控制晶片260之電源供應電壓Vcc可以是2.5V,而用於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410之電源供應電壓Vcc可以是0.75V。Referring to FIG. 11A, in the same logic driver 300, the power supply voltage Vcc for each of the dedicated I/O chip 265 and the dedicated control chip 260 may be greater than or equal to 1.5V, 2V, 2.5V, 3V, 3.5V V, 4V or 5V, while the power supply voltage Vcc for each standard commercial FPGAIC chip 200 and each DPIIC chip 410 may be between 0.2V and 2.5V, between 0.2V and 2V , between 0.2V and 1.5V, between 0.1V and 1V, between 0.2V and 1V, or less than or equal to 2.5V, 2V, 1.8V, 1.5V or 1V. In the same logic driver 300, the power supply voltage Vcc for each dedicated I/O die 265 and dedicated control die 260 may be different from the standard commercial FPGAIC die 200 for each and the DPIIC die 410 for each The power supply voltage Vcc. For example, in the same logic driver 300, the power supply voltage Vcc for each of the dedicated I/O chip 265 and the dedicated control chip 260 may be 4V, while the standard commercial FPGAIC chip 200 for each and each of the dedicated control chips 260 may be 4V. The power supply voltage Vcc of one DPIIC chip 410 may be 1.5V; or, in the same logic driver 300, the power supply voltage Vcc for each dedicated I/O chip 265 and dedicated control chip 260 may be 2.5V, And the power supply voltage Vcc for each standard commercial FPGAIC chip 200 and each DPIIC chip 410 may be 0.75V.

請參見第11A圖,在相同的邏輯驅動器300中,用於每一個專用I/O晶片265及專用控制晶片260之半導體元件之場效電晶體(FET)之閘極氧化物之物理厚度係大於或等於5nm、6nm、7.5nm、10nm、12.5nm或15nm,而用於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410之場效電晶體(FET)之閘極氧化物之物理厚度係小於或等於4.5nm、4nm、3nm或2nm。在相同的邏輯驅動器300中,用於每一個專用I/O晶片265及專用控制晶片260之半導體元件之場效電晶體(FET)之閘極氧化物之物理厚度係不同於用於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410之場效電晶體(FET)之閘極氧化物之物理厚度。舉例而言,在相同的邏輯驅動器300中,用於每一個專用I/O晶片265及專用控制晶片260之半導體元件之場效電晶體(FET)之閘極氧化物之物理厚度可以是10nm,而用於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410之場效電晶體(FET)之閘極氧化物之物理厚度可以是3nm;或者,在相同的邏輯驅動器300中,用於每一個專用I/O晶片265及專用控制晶片260之半導體元件之場效電晶體(FET)之閘極氧化物之物理厚度可以是7.5nm,而用於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410之場效電晶體(FET)之閘極氧化物之物理厚度可以是2nm。Referring to FIG. 11A, in the same logical driver 300, the physical thickness of the gate oxide of the field effect transistors (FETs) used for the semiconductor elements of each dedicated I/O chip 265 and dedicated control chip 260 is greater than or equal to 5nm, 6nm, 7.5nm, 10nm, 12.5nm or 15nm, and the physics of the gate oxides of the field effect transistors (FETs) for each of the standard commercial FPGAIC chips 200 and each of the DPIIC chips 410 Thickness is less than or equal to 4.5 nm, 4 nm, 3 nm or 2 nm. In the same logical driver 300, the physical thickness of the gate oxides of the field effect transistors (FETs) used for the semiconductor elements of each dedicated I/O die 265 and dedicated control die 260 is different from that used for each The physical thickness of the gate oxides of the field effect transistors (FETs) of standard commercial FPGAIC chips 200 and DPIIC chips 410 of each. For example, in the same logical driver 300, the physical thickness of the gate oxides of the field effect transistors (FETs) for the semiconductor elements of each dedicated I/O chip 265 and dedicated control chip 260 may be 10 nm, While the physical thickness of the gate oxide of the field effect transistor (FET) for each standard commercial FPGAIC die 200 and each DPIIC die 410 may be 3 nm; or, in the same logic driver 300, use The physical thickness of the gate oxides of the field effect transistors (FETs) of the semiconductor elements of each dedicated I/O chip 265 and dedicated control chip 260 may be 7.5 nm, and the standard commercial FPGAIC chip 200 for each And the physical thickness of the gate oxide of the field effect transistor (FET) of each DPIIC chip 410 may be 2 nm.

請參見第11A圖,在邏輯驅動器300中,專用I/O晶片265可以是多晶片封裝的形式,每一個的專用I/O晶片265包括如第10圖所揭露之電路,亦即具有複數個大型I/O電路341及I/O接墊272,如第5A圖及第10圖所揭露之內容,供邏輯驅動器300用於一或多個(2個、3個、4個或多於4個)的通用序列匯流排(USB)連接埠、一或多個IEEE1394連接埠、一或多個乙太網路連接埠、一或多個HDMI連接埠、一或多個VGA連接埠、一或多個音源連接端或串行連接埠(例如RS-232或通訊(COM)連接埠)、無線收發I/O連接埠及/或藍芽收發器I/O連接埠等。每一個的專用I/O晶片265可以包括複數個大型I/O電路341及I/O接墊272,如第5A圖及第10圖所揭露之內容,供邏輯驅動器300用於串行高級技術附件(SATA)連接埠或外部連結(PCIe)連接埠,以連結一記憶體驅動器。Referring to FIG. 11A, in the logic driver 300, the dedicated I/O chips 265 may be in the form of multi-chip packages, and each dedicated I/O chip 265 includes a circuit as disclosed in FIG. 10, that is, there are a plurality of Large I/O circuits 341 and I/O pads 272, as disclosed in Figures 5A and 10, are used by logic driver 300 for one or more (2, 3, 4, or more than 4 one) Universal Serial Bus (USB) port, one or more IEEE1394 ports, one or more Ethernet ports, one or more HDMI ports, one or more VGA ports, one or more Multiple audio source connections or serial ports (such as RS-232 or communication (COM) ports), wireless transceiver I/O ports and/or Bluetooth transceiver I/O ports, etc. Each dedicated I/O chip 265 may include a plurality of large I/O circuits 341 and I/O pads 272, as disclosed in FIGS. 5A and 10, for use by the logic driver 300 for serial advanced technology Attachment (SATA) port or external link (PCIe) port to connect a memory drive.

請參見第11A圖,標準商業化FPGAIC晶片200可以具有如下所述之標準規格或特性:(1)每一個的標準商業化FPGAIC晶片200之可編程邏輯區塊(LB)201之數目可以是大於或等於16K、64K、256K、512K、1M、4M、16M、64M、256M、1G或4G;(2)每一個的標準商業化FPGAIC晶片200之可編程邏輯區塊(LB)201其中每一個之輸入的數目可以是大於或等於4、8、16、32、64、128或256;(3)施加至每一個的標準商業化FPGAIC晶片200之電源接墊205之電源供應電壓(Vcc)可以是介於0.2V至2.5V之間、介於0.2V至2V之間、介於0.2V至1.5V之間、介於0.1V至1V之間、介於0.2V至1V之間或是小於或等於2.5V、2V、1.8V、1.5V或1V;(4)所有標準商業化FPGAIC晶片200之I/O接墊372具有相同的布局及數目,且在所有標準商業化FPGAIC晶片200之相同相對位置上的I/O接墊372具有相同的功能。Referring to FIG. 11A, the standard commercial FPGAIC chip 200 may have standard specifications or characteristics as follows: (1) The number of programmable logic blocks (LB) 201 of each standard commercial FPGAIC chip 200 may be greater than or equal to 16K, 64K, 256K, 512K, 1M, 4M, 16M, 64M, 256M, 1G or 4G; (2) each of the programmable logic blocks (LB) 201 of the standard commercial FPGAIC chip 200 of each The number of inputs may be greater than or equal to 4, 8, 16, 32, 64, 128 or 256; (3) the power supply voltage (Vcc) applied to the power pads 205 of each standard commercial FPGA IC chip 200 may be between 0.2V and 2.5V, between 0.2V and 2V, between 0.2V and 1.5V, between 0.1V and 1V, between 0.2V and 1V, or less than or Equal to 2.5V, 2V, 1.8V, 1.5V or 1V; (4) I/O pads 372 of all standard commercial FPGAIC chips 200 have the same layout and number, and the same relative to all standard commercial FPGAIC chips 200 The I/O pads 372 in place have the same function.

II.第二型之邏輯驅動器II. The second type of logical driver

第11B圖係為根據本申請案之實施例所繪示之第二型標準商業化邏輯驅動器之上視示意圖。請參見第11B圖,專用控制晶片260與專用I/O晶片265之功能可以結合至一單一晶片266中,亦即為專用控制及I/O晶片,用以執行上述專用控制晶片260之功能與專用I/O晶片265之功能,故專用控制及I/O晶片266具有如第10圖所繪示的電路結構。如第11A圖所繪示的專用控制晶片260可以由專用控制及I/O晶片266取代,設在專用控制晶片260所放置的位置,如第11B圖所示。針對繪示於第11A圖及第11B圖中的相同標號所指示的元件,繪示於第11B圖中的該元件可以參考該元件於第11A圖中的說明。FIG. 11B is a schematic top view of a second-type standard commercial logic driver according to an embodiment of the present application. Referring to FIG. 11B, the functions of the dedicated control chip 260 and the dedicated I/O chip 265 can be combined into a single chip 266, that is, the dedicated control and I/O chip, for performing the above-mentioned functions of the dedicated control chip 260 and The function of the dedicated I/O chip 265, so the dedicated control and I/O chip 266 has the circuit structure as shown in FIG. The dedicated control chip 260 as shown in FIG. 11A can be replaced by a dedicated control and I/O chip 266 at the location where the dedicated control chip 260 is placed, as shown in FIG. 11B. For the elements indicated by the same reference numerals shown in FIGS. 11A and 11B, the element shown in FIG. 11B may refer to the description of the element in FIG. 11A.

針對線路的連接而言,請參見第11B圖,每一個的標準商業化FPGAIC晶片200可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至專用控制及I/O晶片266,每一個的DPIIC晶片410可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至專用控制及I/O晶片266,專用控制及I/O晶片266可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的專用I/O晶片265,且專用控制及I/O晶片266可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的NVMIC晶片250。For wiring connections, see FIG. 11B , each of the standard commercial FPGAIC chips 200 can be coupled to one or more inter-chip interconnect lines 371 , programmable interconnect lines 361 or fixed interconnect lines 364 . Dedicated control and I/O chips 266, each DPIIC chip 410 can be coupled to the dedicated control and I/O chips through one or more programmable interconnects 361 of inter-chip interconnects 371 or fixed interconnects 364 266, dedicated control and I/O chips 266 can be coupled to all dedicated I/O chips 265 through one or more programmable interconnects 361 or fixed interconnects 364 of one or more inter-chip interconnects 371, and dedicated control and I/O chips 266 may be coupled to all NVMIC chips 250 through programmable interconnect lines 361 or fixed interconnect lines 364 of one or more inter-die interconnect lines 371 .

請參見第11B圖,每一個專用I/O晶片265及專用控制及I/O晶片266可以利用較舊或較成熟之半導體技術世代進行設計及製造,例如是舊於或大於或等於40nm、50nm、90nm、130nm、250nm、350nm或500nm之製程。在相同的邏輯驅動器300中,每一個專用I/O晶片265及專用控制及I/O晶片266所採用的半導體技術世代可以是比每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410所採用的半導體技術世代晚於或舊於1個世代、2個世代、3個世代、4個世代、5個世代或超過5個世代。Referring to FIG. 11B, each dedicated I/O chip 265 and dedicated control and I/O chip 266 can be designed and manufactured using older or more mature semiconductor technology generations, such as older than or greater than or equal to 40nm, 50nm , 90nm, 130nm, 250nm, 350nm or 500nm process. Within the same logic driver 300, each dedicated I/O die 265 and dedicated control and I/O die 266 may be of a generation of semiconductor technology that is more advanced than the standard commercial FPGAIC die 200 of each and the DPIIC die 410 of each The semiconductor technology generation used is 1, 2, 3, 4, 5, or more than 5 generations later or older.

請參見第11B圖,每一個專用I/O晶片265及專用控制及I/O晶片266所使用的電晶體或半導體元件可以是全空乏型之絕緣層上長矽之金屬氧化物半導體之場效電晶體(FDSOIMOSFET)、半空乏型之絕緣層上長矽之金屬氧化物半導體之場效電晶體(PDSOIMOSFET)或傳統的金屬氧化物半導體之場效電晶體。在相同的邏輯驅動器300中,用於每一個專用I/O晶片265及專用控制及I/O晶片266之電晶體或半導體元件可以是不同於用於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410之電晶體或半導體元件。舉例而言,在相同的邏輯驅動器300中,用於每一個專用I/O晶片265及專用控制及I/O晶片266之電晶體或半導體元件可以是傳統的金屬氧化物半導體之場效電晶體,而用於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410之電晶體或半導體元件可以是鰭式場效電晶體(FINFET);或者,在相同的邏輯驅動器300中,用於每一個專用I/O晶片265及專用控制及I/O晶片266之電晶體或半導體元件可以是全空乏型之絕緣層上長矽之金屬氧化物半導體之場效電晶體(FDSOIMOSFET),而用於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410之電晶體或半導體元件可以是鰭式場效電晶體(FINFET)。Referring to FIG. 11B, the transistors or semiconductor elements used in each of the dedicated I/O chips 265 and the dedicated control and I/O chips 266 may be fully depleted type of metal oxide semiconductors with silicon on insulating layers. Transistor (FDSOIMOSFET), semi-depleted type metal oxide semiconductor field effect transistor (PDSOIMOSFET) or traditional metal oxide semiconductor field effect transistor. In the same logic driver 300, the transistors or semiconductor components used for each of the dedicated I/O die 265 and the dedicated control and I/O die 266 may be different from the standard commercial FPGAIC die 200 used for each and each A transistor or semiconductor element of the DPIIC chip 410. For example, in the same logic driver 300, the transistors or semiconductor devices used for each dedicated I/O die 265 and dedicated control and I/O die 266 may be conventional metal-oxide-semiconductor field effect transistors , while the transistors or semiconductor elements used for each standard commercial FPGAIC die 200 and each DPIIC die 410 may be fin field effect transistors (FINFETs); or, in the same logic driver 300, for each The transistors or semiconductor elements of a dedicated I/O chip 265 and dedicated control and I/O chip 266 may be fully depleted type silicon-on-insulator metal-oxide-semiconductor field effect transistors (FDSOIMOSFETs) for use in The transistors or semiconductor elements of each of the standard commercial FPGAIC chips 200 and of each of the DPIIC chips 410 may be fin field effect transistors (FINFETs).

請參見第11B圖,在相同的邏輯驅動器300中,用於每一個專用I/O晶片265及專用控制及I/O晶片266之電源供應電壓Vcc可以是大於或等於1.5V、2V、2.5V、3V、3.5V、4V或5V,而用於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410之電源供應電壓Vcc可以是介於0.2V至2.5V之間、介於0.2V至2V之間、介於0.2V至1.5V之間、介於0.1V至1V之間、介於0.2V至1V之間或是小於或等於2.5V、2V、1.8V、1.5V或1V。在相同的邏輯驅動器300中,用於每一個專用I/O晶片265及專用控制及I/O晶片266之電源供應電壓Vcc可以是不同於用於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410之電源供應電壓Vcc。舉例而言,在相同的邏輯驅動器300中,用於每一個專用I/O晶片265及專用控制及I/O晶片266之電源供應電壓Vcc可以是4V,而用於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410之電源供應電壓Vcc可以是1.5V;或者,在相同的邏輯驅動器300中,用於每一個專用I/O晶片265及專用控制及I/O晶片266之電源供應電壓Vcc可以是2.5V,而用於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410之電源供應電壓Vcc可以是0.75V。Referring to FIG. 11B, in the same logic driver 300, the power supply voltage Vcc for each dedicated I/O chip 265 and the dedicated control and I/O chip 266 may be greater than or equal to 1.5V, 2V, 2.5V , 3V, 3.5V, 4V or 5V, and the power supply voltage Vcc for each standard commercial FPGAIC chip 200 and each DPIIC chip 410 may be between 0.2V to 2.5V, between 0.2V to 2V, 0.2V to 1.5V, 0.1V to 1V, 0.2V to 1V, or less than or equal to 2.5V, 2V, 1.8V, 1.5V or 1V. In the same logic driver 300, the power supply voltage Vcc for each dedicated I/O die 265 and dedicated control and I/O die 266 may be different from the standard commercial FPGAIC die 200 for each and each The power supply voltage Vcc of the DPIIC chip 410 . For example, in the same logic driver 300, the power supply voltage Vcc for each of the dedicated I/O chip 265 and the dedicated control and I/O chip 266 may be 4V, while the standard commercial FPGAIC for each The power supply voltage Vcc of the chip 200 and each of the DPIIC chips 410 may be 1.5V; or, in the same logic driver 300, the power supply for each of the dedicated I/O chips 265 and the dedicated control and I/O chips 266 The supply voltage Vcc may be 2.5V, while the power supply voltage Vcc for each of the standard commercial FPGAIC chips 200 and each of the DPIIC chips 410 may be 0.75V.

請參見第11B圖,在相同的邏輯驅動器300中,用於每一個專用I/O晶片265及專用控制及I/O晶片266之半導體元件之場效電晶體(FET)之閘極氧化物之物理厚度係大於或等於5nm、6nm、7.5nm、10nm、12.5nm或15nm,而用於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410之場效電晶體(FET)之閘極氧化物之物理厚度係小於等於4.5nm、4nm、3nm或2nm。在相同的邏輯驅動器300中,用於每一個專用I/O晶片265及專用控制及I/O晶片266之半導體元件之場效電晶體(FET)之閘極氧化物之物理厚度係不同於用於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410之場效電晶體(FET)之閘極氧化物之物理厚度。舉例而言,在相同的邏輯驅動器300中,用於每一個專用I/O晶片265及專用控制及I/O晶片266之半導體元件之場效電晶體(FET)之閘極氧化物之物理厚度可以是10nm,而用於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410之場效電晶體(FET)之閘極氧化物之物理厚度可以是3nm;或者,在相同的邏輯驅動器300中,用於每一個專用I/O晶片265及專用控制及I/O晶片266之半導體元件之場效電晶體(FET)之閘極氧化物之物理厚度可以是7.5nm,而用於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410之場效電晶體(FET)之閘極氧化物之物理厚度可以是2nm。Referring to FIG. 11B, in the same logic driver 300, the gate oxides of the field effect transistors (FETs) used for the semiconductor elements of each dedicated I/O chip 265 and dedicated control and I/O chips 266 The physical thickness is greater than or equal to 5 nm, 6 nm, 7.5 nm, 10 nm, 12.5 nm, or 15 nm for the gates of the field effect transistors (FETs) of each of the standard commercial FPGAIC chips 200 and of each of the DPIIC chips 410 The physical thickness of the oxide is less than or equal to 4.5 nm, 4 nm, 3 nm or 2 nm. In the same logical driver 300, the physical thickness of the gate oxides of the field effect transistors (FETs) used for the semiconductor elements of each dedicated I/O die 265 and dedicated control and I/O die 266 is different from that used in the The physical thickness of the gate oxide of the field effect transistor (FET) on each of the standard commercial FPGAIC chips 200 and each of the DPIIC chips 410. For example, in the same logical driver 300, the physical thickness of the gate oxide of the field effect transistors (FETs) used for the semiconductor elements of each dedicated I/O die 265 and dedicated control and I/O die 266 Can be 10 nm, while the physical thickness of the gate oxide of the field effect transistor (FET) for each standard commercial FPGAIC die 200 and each DPIIC die 410 can be 3 nm; alternatively, in the same logic driver In 300, the physical thickness of the gate oxide of the field effect transistor (FET) used for each dedicated I/O chip 265 and the semiconductor elements of the dedicated control and I/O chip 266 may be 7.5 nm, while the The physical thickness of the gate oxide of the field effect transistor (FET) of a standard commercial FPGAIC chip 200 and each of the DPIIC chips 410 may be 2 nm.

III.第三型之邏輯驅動器III. The third type of logical drive

第11C圖係為根據本申請案之實施例所繪示之第三型標準商業化邏輯驅動器之上視示意圖。如第11C圖所繪示之結構係類似如第11A圖所繪示之結構,不同處係在於創新的專用積體電路(ASIC)或客戶自有工具(COT)晶片402(以下簡寫為IAC晶片)還可以設在邏輯驅動器300中。針對繪示於第11A圖及第11C圖中的相同標號所指示的元件,繪示於第11C圖中的該元件可以參考該元件於第11A圖中的說明。FIG. 11C is a schematic top view of a third-type standard commercial logic driver according to an embodiment of the present application. The structure shown in FIG. 11C is similar to the structure shown in FIG. 11A, except that the innovative Application Specific Integrated Circuit (ASIC) or Customer Own Tool (COT) chip 402 (hereafter abbreviated as IAC chip) ) may also be provided in the logical drive 300. For the elements indicated by the same reference numerals shown in Figs. 11A and 11C, the elements shown in Fig. 11C may refer to the description of the elements in Fig. 11A.

請參見第11C圖,IAC晶片402可包括智財(IP)電路、專用電路、邏輯電路、混合型訊號電路、射頻電路、傳送器電路、接收器電路及/或收發器電路等。每一個專用I/O晶片265、專用控制晶片260及IAC晶片402可以利用較舊或較成熟之半導體技術世代進行設計及製造,例如是舊於或大於或等於40nm、50nm、90nm、130nm、250nm、350nm或500nm之製程。或者,先進的半導體技術世代亦可以用於製造IAC晶片402,例如是利用先進於或小於或等於40nm、20nm或10nm之半導體技術世代來製造IAC晶片402。在相同的邏輯驅動器300中,每一個專用I/O晶片265、專用控制晶片260及IAC晶片402所採用的半導體技術世代可以是比每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410所採用的半導體技術世代晚於或舊於1個世代、2個世代、3個世代、4個世代、5個世代或超過5個世代。IAC晶片402所使用的電晶體或半導體元件可以是鰭式場效電晶體(FINFET)、絕緣層上長矽之鰭式場效電晶體(FINFETSOI)、全空乏型之絕緣層上長矽之金屬氧化物半導體之場效電晶體(FDSOIMOSFET)、半空乏型之絕緣層上長矽之金屬氧化物半導體之場效電晶體(PDSOIMOSFET)或傳統的金屬氧化物半導體之場效電晶體。在相同的邏輯驅動器300中,用於每一個專用I/O晶片265、專用控制晶片260及IAC晶片402之電晶體或半導體元件可以是不同於用於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410之電晶體或半導體元件。舉例而言,在相同的邏輯驅動器300中,用於每一個專用I/O晶片265、專用控制晶片260及IAC晶片402之電晶體或半導體元件可以是傳統的金屬氧化物半導體之場效電晶體,而用於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410之電晶體或半導體元件可以是鰭式場效電晶體(FINFET);或者,在相同的邏輯驅動器300中,用於每一個專用I/O晶片265、專用控制晶片260及IAC晶片402之電晶體或半導體元件可以是全空乏型之絕緣層上長矽之金屬氧化物半導體之場效電晶體(FDSOIMOSFET),而用於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410之電晶體或半導體元件可以是鰭式場效電晶體(FINFET)。Referring to FIG. 11C, the IAC chip 402 may include intellectual property (IP) circuits, dedicated circuits, logic circuits, mixed-signal circuits, radio frequency circuits, transmitter circuits, receiver circuits, and/or transceiver circuits, and the like. Each of the dedicated I/O chip 265, the dedicated control chip 260, and the IAC chip 402 can be designed and fabricated using older or more mature semiconductor technology generations, such as older than or greater than or equal to 40nm, 50nm, 90nm, 130nm, 250nm , 350nm or 500nm process. Alternatively, advanced semiconductor technology generations can also be used to fabricate the IAC wafer 402 , such as using a semiconductor technology generation that is advanced or less than or equal to 40 nm, 20 nm, or 10 nm to fabricate the IAC wafer 402 . Within the same logic driver 300, each dedicated I/O die 265, dedicated control die 260, and IAC die 402 may be of a generation of semiconductor technology that is more advanced than the standard commercial FPGAIC die 200 of each and the DPIIC die 410 of each The semiconductor technology generation used is 1, 2, 3, 4, 5, or more than 5 generations later or older. The transistors or semiconductor elements used in the IAC chip 402 can be fin field effect transistors (FINFET), fin field effect transistors with long silicon on insulating layer (FINFET SOI), and metal oxide with long silicon on insulating layer of fully depletion type Semiconductor field effect transistor (FDSOIMOSFET), semi-depletion type metal oxide semiconductor field effect transistor with long silicon on insulating layer (PDSOIMOSFET) or traditional metal oxide semiconductor field effect transistor. In the same logic driver 300, the transistors or semiconductor elements used for each of the dedicated I/O die 265, the dedicated control die 260, and the IAC die 402 may be different from the standard commercial FPGAIC die 200 used for each and each A transistor or semiconductor element of the DPIIC chip 410. For example, in the same logic driver 300, the transistors or semiconductor devices used for each of the dedicated I/O chip 265, the dedicated control chip 260, and the IAC chip 402 may be conventional metal-oxide-semiconductor field effect transistors , while the transistors or semiconductor elements used for each standard commercial FPGAIC die 200 and each DPIIC die 410 may be fin field effect transistors (FINFETs); or, in the same logic driver 300, for each The transistors or semiconductor elements of a dedicated I/O chip 265, a dedicated control chip 260, and an IAC chip 402 may be fully depleted silicon-on-insulator field effect transistors (FDSOIMOSFETs) for use in The transistors or semiconductor elements of each of the standard commercial FPGAIC chips 200 and of each of the DPIIC chips 410 may be fin field effect transistors (FINFETs).

在本實施例中,由於IAC晶片402可以利用較舊或較成熟之半導體技術世代進行設計及製造,例如是舊於或大於或等於40nm、50nm、90nm、130nm、250nm、350nm或500nm之製程,因此其一次性工程費用(NRE)會少於傳統利用先進半導體技術世代(例如是先進於或是小於或等於30nm、20nm或10nm)所設計或製造的專用積體電路(ASIC)或客戶自有工具(COT)晶片。舉例而言,利用先進半導體技術世代(例如是先進於或是小於或等於30nm、20nm或10nm)設計或製造的專用積體電路(ASIC)或客戶自有工具(COT)晶片所需的一次性工程費用(NRE)可能會超過5百萬美金、一千萬美金、兩千萬美金或甚至超過5千萬美金或1億美金。在16nm技術世代時,用於專用積體電路(ASIC)或客戶自有工具(COT)晶片所需的光罩組之成本會超過2百萬美金、5百萬美金或1千萬美金,然而若是利用本實施例之第三型邏輯驅動器300,則可以配設有利用較舊半導體世代所製造的IAC晶片402,即可達成相同或類似的創新或應用,故其一次性工程費用(NRE)可以減少至少於一千萬美金、7百萬美金、5百萬美金、3百萬美金或1百萬美金。相較於現今或傳統的專用積體電路(ASIC)或客戶自有工具(COT)晶片之實現,在第三型邏輯驅動器300中達成相同或類似創新或應用所需的IAC晶片402之一次性工程費用(NRE)可以少超過2倍、5倍、10倍、20倍或30倍。In this embodiment, since the IAC chip 402 can be designed and manufactured by using an older or more mature semiconductor technology generation, such as a process older than or greater than or equal to 40 nm, 50 nm, 90 nm, 130 nm, 250 nm, 350 nm or 500 nm, Therefore, its one-time engineering cost (NRE) will be less than that of traditional application-specific integrated circuits (ASICs) or customer-owned integrated circuits (ASICs) designed or manufactured using advanced semiconductor technology generations (such as those that are advanced or less than or equal to 30nm, 20nm, or 10nm). Tool (COT) wafer. For example, a one-off required for application-specific integrated circuit (ASIC) or customer-owned-tool (COT) wafers designed or manufactured using advanced semiconductor technology generations (such as those advanced or less than or equal to 30nm, 20nm, or 10nm) The engineering cost (NRE) may exceed $5 million, $10 million, $20 million, or even $50 million or $100 million. In the 16nm technology generation, the cost of a mask set for an Application Specific Integrated Circuit (ASIC) or Customer Own Tool (COT) chip can exceed $2 million, $5 million or $10 million, however, If the third-type logic driver 300 of the present embodiment is used, an IAC chip 402 manufactured by using an older semiconductor generation can be provided, and the same or similar innovations or applications can be achieved, so the one-time engineering expense (NRE) Can be reduced by at least $10 million, $7 million, $5 million, $3 million, or $1 million. A one-off implementation of the IAC chip 402 required for the same or similar innovations or applications in the third type logic driver 300 as compared to today's or traditional application specific integrated circuit (ASIC) or customer owned tool (COT) chip implementations The engineering expense (NRE) can be less than 2 times, 5 times, 10 times, 20 times or 30 times less.

針對線路的連接而言,請參見第11C圖,每一個的標準商業化FPGAIC晶片200可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至IAC晶片402,每一個的DPIIC晶片410可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至IAC晶片402,IAC晶片402可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的專用I/O晶片265,IAC晶片402可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至專用控制晶片260,且IAC晶片402可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的NVMIC晶片250。For wiring connections, see FIG. 11C , each of the standard commercial FPGA IC chips 200 can be coupled to one or more inter-chip interconnect lines 371 , programmable interconnect lines 361 or fixed interconnect lines 364 . IAC chips 402, each DPIIC chip 410 can be coupled to the IAC chip 402 through one or more programmable interconnect lines 361 or fixed interconnect lines 364 of the inter-chip interconnect lines 371, and the IAC chip 402 can be coupled to the IAC chip 402 through one or more The programmable interconnect lines 361 or fixed interconnect lines 364 of the inter-die interconnect lines 371 are coupled to all dedicated I/O chips 265, and the IAC chip 402 can be programmed through one or more inter-die interconnect lines 371. The interconnect 361 or the fixed interconnect 364 is coupled to the dedicated control chip 260, and the IAC chip 402 can be coupled to the IAC chip 402 through the programmable interconnect 361 or the fixed interconnect 364 of the one or more inter-die interconnect 371 All NVMIC wafers 250.

IV.第四型之邏輯驅動器IV. The fourth type of logical driver

第11D圖係為根據本申請案之實施例所繪示之第四型標準商業化邏輯驅動器之上視示意圖。請參見第11D圖,專用控制晶片260與IAC晶片402之功能可以結合至一單一晶片267中,亦即為專用控制及IAC晶片(以下簡寫為DCIAC晶片),用以執行上述專用控制晶片260之功能與IAC晶片402之功能。如第11D圖所繪示之結構係類似如第11A圖所繪示之結構,不同處係在於DCIAC晶片267還可以設在邏輯驅動器300中。如第11A圖所繪示的專用控制晶片260可以由DCIAC晶片267取代,設在專用控制晶片260所放置的位置,如第11D圖所示。針對繪示於第11A圖及第11D圖中的相同標號所指示的元件,繪示於第11D圖中的該元件可以參考該元件於第11A圖中的說明。DCIAC晶片267可包括控制電路、智財(IP)電路、專用電路、邏輯電路、混合型訊號電路、射頻電路、傳送器電路、接收器電路及/或收發器電路等。FIG. 11D is a schematic top view of a fourth type standard commercialized logic driver according to an embodiment of the present application. Referring to FIG. 11D, the functions of the dedicated control chip 260 and the IAC chip 402 can be combined into a single chip 267, that is, the dedicated control and IAC chip (hereinafter abbreviated as DCIAC chip), for executing the above-mentioned functions of the dedicated control chip 260 Function and function of the IAC chip 402 . The structure shown in FIG. 11D is similar to the structure shown in FIG. 11A , except that the DCIAC chip 267 can also be provided in the logic driver 300 . The dedicated control chip 260 as shown in FIG. 11A can be replaced by a DCIAC chip 267 at the location where the dedicated control chip 260 is placed, as shown in FIG. 11D. For the elements indicated by the same reference numerals shown in Figs. 11A and 11D, the elements shown in Fig. 11D may refer to the description of the elements in Fig. 11A. The DCIAC chip 267 may include control circuits, intellectual property (IP) circuits, dedicated circuits, logic circuits, mixed-signal circuits, radio frequency circuits, transmitter circuits, receiver circuits, and/or transceiver circuits, and the like.

請參見第11D圖,每一個專用I/O晶片265及DCIAC晶片267可以利用較舊或較成熟之半導體技術世代進行設計及製造,例如是舊於或大於或等於40nm、50nm、90nm、130nm、250nm、350nm或500nm之製程。或者,先進的半導體技術世代亦可以用於製造DCIAC晶片267,例如是利用先進於或小於或等於40nm、20nm或10nm之半導體技術世代來製造DCIAC晶片267。在相同的邏輯驅動器300中,每一個專用I/O晶片265及DCIAC晶片267所採用的半導體技術世代可以是比每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410所採用的半導體技術世代晚於或舊於1個世代、2個世代、3個世代、4個世代、5個世代或超過5個世代。DCIAC晶片267所使用的電晶體或半導體元件可以是鰭式場效電晶體(FINFET)、絕緣層上長矽之鰭式場效電晶體(FINFETSOI)、全空乏型之絕緣層上長矽之金屬氧化物半導體之場效電晶體(FDSOIMOSFET)、半空乏型之絕緣層上長矽之金屬氧化物半導體之場效電晶體(PDSOIMOSFET)或傳統的金屬氧化物半導體之場效電晶體。在相同的邏輯驅動器300中,用於每一個專用I/O晶片265及DCIAC晶片267之電晶體或半導體元件可以是不同於用於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410之電晶體或半導體元件。舉例而言,在相同的邏輯驅動器300中,用於每一個專用I/O晶片265及DCIAC晶片267之電晶體或半導體元件可以是傳統的金屬氧化物半導體之場效電晶體,而用於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410之電晶體或半導體元件可以是鰭式場效電晶體(FINFET);或者,在相同的邏輯驅動器300中,用於每一個專用I/O晶片265及DCIAC晶片267之電晶體或半導體元件可以是全空乏型之絕緣層上長矽之金屬氧化物半導體之場效電晶體(FDSOIMOSFET),而用於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410之電晶體或半導體元件可以是鰭式場效電晶體(FINFET)。Referring to FIG. 11D, each dedicated I/O chip 265 and DCIAC chip 267 can be designed and fabricated using older or more mature semiconductor technology generations, such as older or greater than or equal to 40nm, 50nm, 90nm, 130nm, 250nm, 350nm or 500nm process. Alternatively, advanced semiconductor technology generations can also be used to fabricate DCIAC wafers 267 , such as DCIAC wafers 267 using semiconductor technology generations that are advanced at or below 40 nm, 20 nm, or 10 nm. In the same logic driver 300, the semiconductor technology generation employed by each of the dedicated I/O die 265 and the DCIAC die 267 may be greater than the semiconductor technology employed by each of the standard commercial FPGAIC die 200 and each of the DPIIC die 410 Generations are 1, 2, 3, 4, 5, or more than 5 generations later or older. The transistors or semiconductor elements used in the DCIAC chip 267 can be fin field effect transistors (FINFET), fin field effect transistors with long silicon on insulating layer (FINFET SOI), and metal oxide with long silicon on insulating layer of fully depletion type Semiconductor field effect transistor (FDSOIMOSFET), semi-depletion type metal oxide semiconductor field effect transistor with long silicon on insulating layer (PDSOIMOSFET) or traditional metal oxide semiconductor field effect transistor. In the same logic driver 300, the transistors or semiconductor components used for each of the dedicated I/O die 265 and the DCIAC die 267 may be different from the standard commercial FPGAIC die 200 used for each and the DPIIC die 410 of each transistors or semiconductor components. For example, in the same logic driver 300, the transistors or semiconductor elements used for each of the dedicated I/O chips 265 and the DCIAC chips 267 may be conventional metal-oxide-semiconductor field effect transistors, while the transistors or semiconductor elements used for each of the dedicated I/O chips 265 and DCIAC chips 267 may be conventional metal oxide semiconductor field effect transistors. The transistors or semiconductor elements of a standard commercial FPGAIC die 200 and each of the DPIIC die 410 may be fin field effect transistors (FINFETs); or, in the same logic driver 300, for each dedicated I/O The transistors or semiconductor elements of chip 265 and DCIAC chip 267 may be fully depleted silicon-on-insulator metal-oxide-semiconductor field effect transistors (FDSOIMOSFETs), while standard commercial FPGAIC chips 200 and The transistors or semiconductor elements of each DPIIC chip 410 may be fin field effect transistors (FINFETs).

在本實施例中,由於DCIAC晶片267可以利用較舊或較成熟之半導體技術世代進行設計及製造,例如是舊於或大於或等於40nm、50nm、90nm、130nm、250nm、350nm或500nm之製程,因此其一次性工程費用(NRE)會少於傳統利用先進半導體技術世代(例如是先進於或是小於或等於30nm、20nm或10nm)所設計或製造的專用積體電路(ASIC)或客戶自有工具(COT)晶片。舉例而言,利用先進半導體技術世代(例如是先進於或是小於或等於30nm、20nm或10nm)設計或製造的專用積體電路(ASIC)或客戶自有工具(COT)晶片所需的一次性工程費用(NRE)可能會超過5百萬美金、一千萬美金、兩千萬美金或甚至超過5千萬美金或1億美金。在16nm技術世代時,用於專用積體電路(ASIC)或客戶自有工具(COT)晶片所需的光罩組之成本會超過2百萬美金、5百萬美金或1千萬美金,然而若是利用本實施例之第四型邏輯驅動器300,則可以配設有利用較舊半導體世代所製造的DCIAC晶片267,即可達成相同或類似的創新或應用,故其一次性工程費用(NRE)可以減少至少於一千萬美金、7百萬美金、5百萬美金、3百萬美金或1百萬美金。相較於現今或傳統的專用積體電路(ASIC)或客戶自有工具(COT)晶片之實現,在第四型邏輯驅動器300中達成相同或類似創新或應用所需的DCIAC晶片267之一次性工程費用(NRE)可以少超過2倍、5倍、10倍、20倍或30倍。In this embodiment, since the DCIAC chip 267 can be designed and manufactured by using an older or more mature semiconductor technology generation, such as a process older than or greater than or equal to 40 nm, 50 nm, 90 nm, 130 nm, 250 nm, 350 nm or 500 nm, Therefore, its one-time engineering cost (NRE) will be less than that of traditional application-specific integrated circuits (ASICs) or customer-owned integrated circuits (ASICs) designed or manufactured using advanced semiconductor technology generations (such as those that are advanced or less than or equal to 30nm, 20nm, or 10nm). Tool (COT) wafer. For example, a one-off required for application-specific integrated circuit (ASIC) or customer-owned-tool (COT) wafers designed or manufactured using advanced semiconductor technology generations (eg, those that are advanced or less than or equal to 30 nm, 20 nm, or 10 nm) The engineering cost (NRE) may exceed $5 million, $10 million, $20 million, or even $50 million or $100 million. In the 16nm technology generation, the cost of a mask set for an Application Specific Integrated Circuit (ASIC) or Customer Own Tool (COT) chip will exceed $2 million, $5 million or $10 million, however, If the fourth type logic driver 300 of the present embodiment is used, a DCIAC chip 267 manufactured by using an older semiconductor generation can be provided, and the same or similar innovations or applications can be achieved, so the one-time engineering expense (NRE) Can be reduced by at least $10 million, $7 million, $5 million, $3 million, or $1 million. A one-off of the DCIAC chip 267 required to achieve the same or similar innovations or applications in the fourth type logic driver 300 as compared to today's or traditional Application Specific Integrated Circuit (ASIC) or Customer Own Tool (COT) chip implementations The engineering expense (NRE) can be less than 2 times, 5 times, 10 times, 20 times or 30 times less.

針對線路的連接而言,請參見第11D圖,每一個的標準商業化FPGAIC晶片200可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至DCIAC晶片267,每一個的DPIIC晶片410可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至DCIAC晶片267,DCIAC晶片267可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的專用I/O晶片265,且DCIAC晶片267可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的NVMIC晶片250。For wiring connections, see FIG. 11D, each of the standard commercial FPGA IC chips 200 can be coupled to one or more inter-chip interconnect lines 371 via programmable interconnect lines 361 or fixed interconnect lines 364. The DCIAC chip 267, each DPIIC chip 410 can be coupled to the DCIAC chip 267 through one or more programmable interconnection lines 361 or fixed interconnection lines 364 of the inter-chip interconnection lines 371, and the DCIAC chip 267 can be coupled to the DCIAC chip 267 through one or more The programmable interconnection lines 361 or fixed interconnection lines 364 of the inter-die interconnection lines 371 are coupled to all the dedicated I/O chips 265, and the DCIAC chips 267 can pass through one or more of the inter-die interconnection lines 371. The programming interconnects 361 or the fixed interconnects 364 are coupled to all of the NVMIC chips 250 .

V.第五型之邏輯驅動器V. Type 5 Logical Driver

第11E圖係為根據本申請案之實施例所繪示之第五型標準商業化邏輯驅動器之上視示意圖。請參見第11E圖,如第11C圖所繪示之專用控制晶片260、專用I/O晶片265與IAC晶片402之功能可以結合至一單一晶片268中,亦即為專用控制、專用IO及IAC晶片(以下簡寫為DCDI/OIAC晶片),用以執行上述專用控制晶片260之功能、專用I/O晶片265之功能與IAC晶片402之功能。如第11E圖所繪示之結構係類似如第11A圖所繪示之結構,不同處係在於DCDI/OIAC晶片268還可以設在邏輯驅動器300中。如第11A圖所繪示的專用控制晶片260可以由DCDI/OIAC晶片268取代,設在專用控制晶片260所放置的位置,如第11E圖所示。針對繪示於第11A圖及第11E圖中的相同標號所指示的元件,繪示於第11E圖中的該元件可以參考該元件於第11A圖中的說明。DCDI/OIAC晶片268具有如第10圖所繪示的電路結構,且DCDI/OIAC晶片268可包括控制電路、智財(IP)電路、專用電路、邏輯電路、混合型訊號電路、射頻電路、傳送器電路、接收器電路及/或收發器電路等。FIG. 11E is a schematic top view of a fifth-type standard commercial logic driver according to an embodiment of the present application. Referring to FIG. 11E, the functions of the dedicated control chip 260, the dedicated I/O chip 265 and the IAC chip 402 as shown in FIG. 11C can be combined into a single chip 268, that is, dedicated control, dedicated IO and IAC The chip (hereinafter abbreviated as DCDI/OIAC chip) is used to perform the functions of the dedicated control chip 260 , the functions of the dedicated I/O chip 265 and the functions of the IAC chip 402 . The structure shown in FIG. 11E is similar to the structure shown in FIG. 11A , except that the DCDI/OIAC chip 268 can also be provided in the logical driver 300 . The dedicated control chip 260 as shown in FIG. 11A can be replaced by a DCDI/OIAC chip 268 at the location where the dedicated control chip 260 is placed, as shown in FIG. 11E. For the elements indicated by the same reference numerals shown in FIGS. 11A and 11E, the elements shown in FIG. 11E may refer to the description of the elements in FIG. 11A. The DCDI/OIAC chip 268 has a circuit structure as shown in FIG. 10, and the DCDI/OIAC chip 268 may include control circuits, intellectual property (IP) circuits, dedicated circuits, logic circuits, mixed-signal circuits, radio frequency circuits, transmission A receiver circuit, a receiver circuit, and/or a transceiver circuit, etc.

請參見第11E圖,每一個專用I/O晶片265及DCDI/OIAC晶片268可以利用較舊或較成熟之半導體技術世代進行設計及製造,例如是舊於或大於或等於40nm、50nm、90nm、130nm、250nm、350nm或500nm之製程。或者,先進的半導體技術世代亦可以用於製造DCDI/OIAC晶片268,例如是利用先進於或小於或等於40nm、20nm或10nm之半導體技術世代來製造DCDI/OIAC晶片268。在相同的邏輯驅動器300中,每一個專用I/O晶片265及DCDI/OIAC晶片268所採用的半導體技術世代可以是比每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410所採用的半導體技術世代晚於或舊於1個世代、2個世代、3個世代、4個世代、5個世代或超過5個世代。DCDI/OIAC晶片268所使用的電晶體或半導體元件可以是鰭式場效電晶體(FINFET)、絕緣層上長矽之鰭式場效電晶體(FINFETSOI)、全空乏型之絕緣層上長矽之金屬氧化物半導體之場效電晶體(FDSOIMOSFET)、半空乏型之絕緣層上長矽之金屬氧化物半導體之場效電晶體(PDSOIMOSFET)或傳統的金屬氧化物半導體之場效電晶體。在相同的邏輯驅動器300中,用於每一個專用I/O晶片265及DCDI/OIAC晶片268之電晶體或半導體元件可以是不同於用於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410之電晶體或半導體元件。舉例而言,在相同的邏輯驅動器300中,用於每一個專用I/O晶片265及DCDI/OIAC晶片268之電晶體或半導體元件可以是傳統的金屬氧化物半導體之場效電晶體,而用於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410之電晶體或半導體元件可以是鰭式場效電晶體(FINFET);或者,在相同的邏輯驅動器300中,用於每一個專用I/O晶片265及DCDI/OIAC晶片268之電晶體或半導體元件可以是全空乏型之絕緣層上長矽之金屬氧化物半導體之場效電晶體(FDSOIMOSFET),而用於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410之電晶體或半導體元件可以是鰭式場效電晶體(FINFET)。Referring to FIG. 11E, each dedicated I/O chip 265 and DCDI/OIAC chip 268 may be designed and fabricated using older or more mature semiconductor technology generations, such as older than or greater than or equal to 40nm, 50nm, 90nm, 130nm, 250nm, 350nm or 500nm process. Alternatively, advanced semiconductor technology generations can also be used to fabricate DCDI/OIAC wafers 268 , such as DCDI/OIAC wafers 268 using semiconductor technology generations that are advanced or less than or equal to 40 nm, 20 nm, or 10 nm. Within the same logic driver 300, each dedicated I/O die 265 and DCDI/OIAC die 268 may employ a generation of semiconductor technology that is greater than that employed by each of the standard commercial FPGAIC die 200 and each of the DPIIC die 410 Semiconductor technology generations later or older than 1, 2, 3, 4, 5, or more than 5 generations. The transistors or semiconductor elements used in the DCDI/OIAC chip 268 may be fin field effect transistors (FINFET), fin field effect transistors with silicon-on-insulator (FINFET SOI), and fully depletion-type metal with silicon-on-insulator. Oxide semiconductor field effect transistor (FDSOIMOSFET), semi-depletion type metal oxide semiconductor field effect transistor with long silicon on insulating layer (PDSOIMOSFET) or traditional metal oxide semiconductor field effect transistor. In the same logic driver 300, the transistors or semiconductor components used for each of the dedicated I/O die 265 and the DCDI/OIAC die 268 may be different from the standard commercial FPGAIC die 200 used for each and the DPIIC of each The transistor or semiconductor element of the chip 410 . For example, in the same logic driver 300, the transistors or semiconductor devices used for each of the dedicated I/O die 265 and the DCDI/OIAC die 268 may be conventional metal-oxide-semiconductor field effect transistors, while using The transistors or semiconductor elements in each standard commercial FPGAIC die 200 and each DPIIC die 410 may be fin field effect transistors (FINFETs); alternatively, in the same logic driver 300, for each dedicated I The transistors or semiconductor elements of the /O chip 265 and the DCDI/OIAC chip 268 may be fully depleted silicon-on-insulator metal-oxide-semiconductor field effect transistors (FDSOIMOSFETs) for standard commercialization of each The transistors or semiconductor elements of the FPGAIC chip 200 and each of the DPIIC chips 410 may be fin field effect transistors (FINFETs).

在本實施例中,由於DCDI/OIAC晶片268可以利用較舊或較成熟之半導體技術世代進行設計及製造,例如是舊於或大於或等於40nm、50nm、90nm、130nm、250nm、350nm或500nm之製程,因此其一次性工程費用(NRE)會少於傳統利用先進半導體技術世代(例如是先進於或是小於或等於30nm、20nm或10nm)所設計或製造的專用積體電路(ASIC)或客戶自有工具(COT)晶片。舉例而言,利用先進半導體技術世代(例如是先進於或是小於或等於30nm、20nm或10nm)設計或製造的專用積體電路(ASIC)或客戶自有工具(COT)晶片所需的一次性工程費用(NRE)可能會超過5百萬美金、一千萬美金、兩千萬美金或甚至超過5千萬美金或1億美金。在16nm技術世代時,用於專用積體電路(ASIC)或客戶自有工具(COT)晶片所需的光罩組之成本會超過2百萬美金、5百萬美金或1千萬美金,然而若是利用本實施例之第五型邏輯驅動器300,則可以配設有利用較舊半導體世代所製造的DCDI/OIAC晶片268,即可達成相同或類似的創新或應用,故其一次性工程費用(NRE)可以減少至少於一千萬美金、7百萬美金、5百萬美金、3百萬美金或1百萬美金。相較於現今或傳統的專用積體電路(ASIC)或客戶自有工具(COT)晶片之實現,在第五型邏輯驅動器300中達成相同或類似創新或應用所需的DCDI/OIAC晶片268之一次性工程費用(NRE)可以少超過2倍、5倍、10倍、20倍或30倍。In this embodiment, since the DCDI/OIAC chip 268 can be designed and manufactured using older or more mature semiconductor technology generations, for example, those older than or greater than or equal to 40 nm, 50 nm, 90 nm, 130 nm, 250 nm, 350 nm or 500 nm process, so its one-time engineering expense (NRE) will be less than that of application-specific integrated circuits (ASICs) or customer Own Tool (COT) wafers. For example, a one-off required for application-specific integrated circuit (ASIC) or customer-owned-tool (COT) wafers designed or manufactured using advanced semiconductor technology generations (such as those advanced or less than or equal to 30nm, 20nm, or 10nm) The engineering cost (NRE) may exceed $5 million, $10 million, $20 million, or even $50 million or $100 million. In the 16nm technology generation, the cost of a mask set for an Application Specific Integrated Circuit (ASIC) or Customer Own Tool (COT) chip can exceed $2 million, $5 million or $10 million, however, If the fifth type logic driver 300 of the present embodiment is used, a DCDI/OIAC chip 268 manufactured by using an older semiconductor generation can be provided, and the same or similar innovations or applications can be achieved, so the one-time engineering cost ( NRE) can be reduced by less than $10 million, $7 million, $5 million, $3 million, or $1 million. Compared to current or traditional application specific integrated circuit (ASIC) or customer owned tool (COT) chip implementations, the DCDI/OIAC chip 268 required to achieve the same or similar innovations or applications in the fifth logic driver 300 The one-time engineering expense (NRE) can be less than 2 times, 5 times, 10 times, 20 times or 30 times less.

針對線路的連接而言,請參見第11E圖,每一個的標準商業化FPGAIC晶片200可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至DCDI/OIAC晶片268,每一個的DPIIC晶片410可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至DCDI/OIAC晶片268,DCDI/OIAC晶片268可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的專用I/O晶片265,且DCDI/OIAC晶片268可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的NVMIC晶片250。For wiring connection, please refer to FIG. 11E, each of the standard commercial FPGA IC chips 200 can be coupled to one or more inter-chip interconnect lines 371 via programmable interconnect lines 361 or fixed interconnect lines 364. DCDI/OIAC chip 268, each DPIIC chip 410 can be coupled to DCDI/OIAC chip 268, DCDI/OIAC chip through one or more programmable interconnect lines 361 of inter-die interconnect lines 371 or fixed interconnect lines 364 268 can be coupled to all dedicated I/O chips 265 through one or more programmable interconnects 361 of inter-die interconnects 371 or fixed interconnects 364, and DCDI/OIAC chips 268 can be coupled to all dedicated I/O chips 265 through one or more Programmable interconnect lines 361 or fixed interconnect lines 364 of inter-die interconnect lines 371 are coupled to all NVMIC chips 250 .

VI.第六型之邏輯驅動器VI. The sixth type of logical drive

第11F圖及第11G圖係為根據本申請案之實施例所繪示之第六型標準商業化邏輯驅動器之上視示意圖。請參見第11F圖及第11G圖,如第11A圖至第11E圖所繪示之邏輯驅動器300還可以包括一處理及/或計算(PC)積體電路(IC)晶片269(後文中稱為PCIC晶片),例如是中央處理器(CPU)晶片、圖像處理器(GPU)晶片、數位訊號處理(DSP)晶片、張量處理器(TPU)晶片或應用處理器(APU)晶片。應用處理器(APU)晶片可以(1)結合中央處理器(CPU)及數位訊號處理(DSP)單元以進行相互運作;(2)結合中央處理器(CPU)及圖像處理器(GPU)以進行相互運作;(3)結合圖像處理器(GPU)及數位訊號處理(DSP)單元以進行相互運作;或是(4)結合中央處理器(CPU)、圖像處理器(GPU)及數位訊號處理(DSP)單元以進行相互運作。如第11F圖所繪示之結構係類似如第11A圖、第11B圖、第11D圖及第11E圖所繪示之結構,不同處係在於PCIC晶片269還可以設在邏輯驅動器300中,靠近如第11A圖所繪示之結構中的專用控制晶片260、靠近如第11B圖所繪示之結構中的控制及I/O晶片266、靠近如第11D圖所繪示之結構中的DCIAC晶片267或靠近如第11E圖所繪示之結構中的DCDI/OIAC晶片268。如第11G圖所繪示之結構係類似如第11C圖所繪示之結構,不同處係在於PCIC晶片269還可以設在邏輯驅動器300中,且設在靠近專用控制晶片260的位置。針對繪示於第11A圖、第11B圖、第11D圖、第11E圖及第11F圖中的相同標號所指示的元件,繪示於第11F圖中的該元件可以參考該元件於第11A圖、第11B圖、第11D圖及第11E圖中的說明。針對繪示於第11A圖、第11C圖及第11G圖中的相同標號所指示的元件,繪示於第11G圖中的該元件可以參考該元件於第11A圖及第11C圖中的說明。FIG. 11F and FIG. 11G are schematic top views of the sixth type standard commercial logic driver according to the embodiment of the present application. Referring to FIGS. 11F and 11G, the logic driver 300 shown in FIGS. 11A to 11E may further include a processing and/or computing (PC) integrated circuit (IC) chip 269 (hereinafter referred to as PCIC chip), such as a central processing unit (CPU) chip, a graphics processing unit (GPU) chip, a digital signal processing (DSP) chip, a tensor processor (TPU) chip or an application processor (APU) chip. An application processing unit (APU) chip can (1) be combined with a central processing unit (CPU) and a digital signal processing (DSP) unit for mutual operation; (2) combined with a central processing unit (CPU) and a graphics processing unit (GPU) to interoperate; (3) combine graphics processing unit (GPU) and digital signal processing (DSP) units for mutual operation; or (4) combine central processing unit (CPU), graphics processing unit (GPU) and digital Signal processing (DSP) units to operate with each other. The structure shown in FIG. 11F is similar to the structure shown in FIG. 11A, FIG. 11B, FIG. 11D and FIG. 11E, except that the PCIC chip 269 can also be provided in the logical driver 300, close to Dedicated control chip 260 in the structure shown in FIG. 11A, near the control and I/O chip 266 in the structure shown in FIG. 11B, close to the DCIAC chip in the structure shown in FIG. 11D 267 or near the DCDI/OIAC wafer 268 in the structure shown in Figure 11E. The structure shown in FIG. 11G is similar to the structure shown in FIG. 11C , the difference is that the PCIC chip 269 can also be disposed in the logical driver 300 and disposed close to the dedicated control chip 260 . 11A, 11B, 11D, 11E and 11F for the elements indicated by the same reference numerals, the elements shown in the 11F can refer to the elements in the 11A , Figures 11B, 11D, and 11E. For the elements shown in FIGS. 11A , 11C and 11G denoted by the same reference numerals, the elements shown in FIG. 11G may refer to the descriptions of the elements in FIGS. 11A and 11C .

請參見第11F圖及第11G圖,在垂直延伸的相鄰兩束之晶片間交互連接線371之間與在水平延伸的相鄰兩束之晶片間交互連接線371之間存在一中心區域,在該中心區域內設有PCIC晶片269及其中一個的專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268。針對線路的連接而言,請參見第11F圖及第11G圖,每一個的標準商業化FPGAIC晶片200可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至PCIC晶片269,每一個的DPIIC晶片410可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至PCIC晶片269,PCIC晶片269可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至專用I/O晶片265,PCIC晶片269可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268,且PCIC晶片269可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的NVMIC晶片250。此外,PCIC晶片269可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至如第11G圖所繪示之IAC晶片402。先進的半導體技術世代可以用於製造PCIC晶片269,例如是利用先進於或小於或等於40nm、20nm或10nm之半導體技術世代來製造PCIC晶片269。PCIC晶片269所採用的半導體技術世代可以是相同於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410所採用的半導體技術世代,或是比每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410所採用的半導體技術世代晚於或舊於1個世代。PCIC晶片269所使用的電晶體或半導體元件可以是鰭式場效電晶體(FINFET)、絕緣層上長矽之鰭式場效電晶體(FINFETSOI)、全空乏型之絕緣層上長矽之金屬氧化物半導體之場效電晶體(FDSOIMOSFET)、半空乏型之絕緣層上長矽之金屬氧化物半導體之場效電晶體(PDSOIMOSFET)或傳統的金屬氧化物半導體之場效電晶體。Referring to FIGS. 11F and 11G, there is a central area between the inter-wafer interconnecting lines 371 extending vertically between adjacent two bundles and between the inter-wafering interconnecting lines 371 extending horizontally between adjacent two bundles, A PCIC chip 269 and a dedicated control chip 260, a dedicated control and I/O chip 266, a DCIAC chip 267 or a DCDI/OIAC chip 268 are arranged in the central area. For wiring connection, please refer to FIG. 11F and FIG. 11G , each of the standard commercial FPGAIC chips 200 can pass through one or more programmable interconnection lines 361 of inter-chip interconnection lines 371 or fixed interconnection lines 364 is coupled to the PCIC chip 269, and each DPIIC chip 410 can be coupled to the PCIC chip 269 through one or more programmable interconnect lines 361 or fixed interconnect lines 364 of the inter-chip interconnect lines 371. The PCIC chip 269 can The PCIC chip 269 can be coupled to the dedicated I/O chip 265 through the programmable interconnection line 361 or the fixed interconnection line 364 of the one or more inter-chip interconnection lines 371 . The programmable interconnect line 361 or the fixed interconnect line 364 is coupled to the dedicated control chip 260, the dedicated control and I/O chip 266, the DCIAC chip 267 or the DCDI/OIAC chip 268, and the PCIC chip 269 can pass through one or more chips Programmable interconnect lines 361 or fixed interconnect lines 364 between interconnect lines 371 are coupled to all NVMIC chips 250 . In addition, the PCIC chip 269 may be coupled to the IAC chip 402 as depicted in FIG. 11G through programmable interconnect lines 361 or fixed interconnect lines 364 of one or more inter-die interconnect lines 371 . Advanced semiconductor technology generations may be used to manufacture PCIC wafers 269 , for example, PCIC wafers 269 are fabricated using semiconductor technology generations that are advanced at or below 40 nm, 20 nm, or 10 nm. The generation of semiconductor technology employed by the PCIC chip 269 may be the same as that employed by each of the standard commercial FPGAIC chips 200 and each of the DPIIC chips 410, or may be greater than that employed by each of the standard commercial FPGAIC chips 200 and each of the DPIIC chips 410. A DPIIC chip 410 employs a semiconductor technology generation later or older than 1 generation. The transistors or semiconductor elements used in the PCIC chip 269 can be fin field effect transistors (FINFET), fin field effect transistors with long silicon on insulating layers (FINFET SOI), and metal oxides with long silicon on insulating layers of fully depletion type. Semiconductor field effect transistor (FDSOIMOSFET), semi-depletion type metal oxide semiconductor field effect transistor with long silicon on insulating layer (PDSOIMOSFET) or traditional metal oxide semiconductor field effect transistor.

VII.第七型之邏輯驅動器VII. Type VII Logical Drive

第11H圖及第11I圖係為根據本申請案之實施例所繪示之第七型標準商業化邏輯驅動器之上視示意圖。請參見第11H圖及第11I圖,如第11A圖至第11E圖所繪示之邏輯驅動器300還可以包括兩個PCIC晶片269,例如是從中央處理器(CPU)晶片、圖像處理器(GPU)晶片、數位訊號處理(DSP)晶片及張量處理器(TPU)晶片之組合中選出其中兩個。舉例而言,(1)其中一個的PCIC晶片269可以是中央處理器(CPU)晶片,而另一個的PCIC晶片269可以是圖像處理器(GPU)晶片;(2)其中一個的PCIC晶片269可以是中央處理器(CPU)晶片,而另一個的PCIC晶片269可以是數位訊號處理(DSP)晶片;(3)其中一個的PCIC晶片269可以是中央處理器(CPU)晶片,而另一個的PCIC晶片269可以是張量處理器(TPU)晶片;(4)其中一個的PCIC晶片269可以是圖像處理器(GPU)晶片,而另一個的PCIC晶片269可以是數位訊號處理(DSP)晶片;(5)其中一個的PCIC晶片269可以是圖像處理器(GPU)晶片,而另一個的PCIC晶片269可以是張量處理器(TPU)晶片;(6)其中一個的PCIC晶片269可以是數位訊號處理(DSP)晶片,而另一個的PCIC晶片269可以是張量處理器(TPU)晶片。如第11H圖所繪示之結構係類似如第11A圖、第11B圖、第11D圖及第11E圖所繪示之結構,不同處係在於兩個PCIC晶片269還可以設在邏輯驅動器300中,靠近如第11A圖所繪示之結構中的專用控制晶片260、靠近如第11B圖所繪示之結構中的控制及I/O晶片266、靠近如第11D圖所繪示之結構中的DCIAC晶片267或靠近如第11E圖所繪示之結構中的DCDI/OIAC晶片268。如第11I圖所繪示之結構係類似如第11C圖所繪示之結構,不同處係在於兩個PCIC晶片269還可以設在邏輯驅動器300中,且設在靠近專用控制晶片260的位置。針對繪示於第11A圖、第11B圖、第11D圖、第11E圖及第11H圖中的相同標號所指示的元件,繪示於第11H圖中的該元件可以參考該元件於第11A圖、第11B圖、第11D圖及第11E圖中的說明。針對繪示於第11A圖、第11C圖及第11I圖中的相同標號所指示的元件,繪示於第11I圖中的該元件可以參考該元件於第11A圖及第11C圖中的說明。FIG. 11H and FIG. 11I are schematic top views of the seventh type standard commercial logic driver according to the embodiment of the present application. Referring to FIGS. 11H and 11I, the logical driver 300 shown in FIGS. 11A to 11E may further include two PCIC chips 269, for example, from a central processing unit (CPU) chip, an image processor ( Choose two from a combination of GPU) chips, digital signal processing (DSP) chips, and tensor processor (TPU) chips. For example, (1) one of the PCIC chips 269 may be a central processing unit (CPU) chip, and the other PCIC chip 269 may be a graphics processing unit (GPU) chip; (2) one of the PCIC chips 269 It can be a central processing unit (CPU) chip, and the other PCIC chip 269 can be a digital signal processing (DSP) chip; (3) one of the PCIC chips 269 can be a central processing unit (CPU) chip, and the other one The PCIC chip 269 may be a tensor processing unit (TPU) chip; (4) one of the PCIC chips 269 may be a graphics processing unit (GPU) chip, and the other PCIC chip 269 may be a digital signal processing (DSP) chip (5) one of the PCIC chips 269 may be a graphics processing unit (GPU) chip, and the other PCIC chip 269 may be a tensor processor (TPU) chip; (6) one of the PCIC chips 269 may be A digital signal processing (DSP) chip, and the other PCIC chip 269 may be a tensor processor (TPU) chip. The structure shown in FIG. 11H is similar to the structure shown in FIG. 11A , FIG. 11B , FIG. 11D , and FIG. 11E , except that the two PCIC chips 269 can also be provided in the logical driver 300 . , near the dedicated control chip 260 in the structure shown in FIG. 11A, near the control and I/O chip 266 in the structure shown in FIG. 11B, near the The DCIAC die 267 is at or near the DCDI/OIAC die 268 in the structure as shown in FIG. 11E. The structure shown in FIG. 11I is similar to the structure shown in FIG. 11C , the difference is that the two PCIC chips 269 can also be provided in the logical driver 300 and located close to the dedicated control chip 260 . 11A, 11B, 11D, 11E and 11H for the elements indicated by the same reference numerals, the elements shown in the 11H can refer to the elements in the 11A , Figures 11B, 11D, and 11E. For elements indicated by the same reference numerals shown in FIGS. 11A , 11C and 11I, the elements shown in FIG. 11I may refer to the descriptions of the elements in FIGS. 11A and 11C.

請參見第11H圖及第11I圖,在垂直延伸的相鄰兩束之晶片間交互連接線371之間與在水平延伸的相鄰兩束之晶片間交互連接線371之間存在一中心區域,在該中心區域內設有兩個PCIC晶片269及其中一個的專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268。針對線路的連接而言,請參見第11H及第11I,每一個的標準商業化FPGAIC晶片200可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的PCIC晶片269,每一個的DPIIC晶片410可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的PCIC晶片269,每一個的PCIC晶片269可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的專用I/O晶片265,每一個的PCIC晶片269可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268,且每一個的PCIC晶片269可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的NVMIC晶片250,每一個的PCIC晶片269可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至其他的PCIC晶片269。此外,每一個的PCIC晶片269可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至如第11G圖所繪示之IAC晶片402。先進的半導體技術世代可以用於製造PCIC晶片269,例如是利用先進於或小於或等於40nm、20nm或10nm之半導體技術世代來製造PCIC晶片269。PCIC晶片269所採用的半導體技術世代可以是相同於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410所採用的半導體技術世代,或是比每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410所採用的半導體技術世代晚於或舊於1個世代。PCIC晶片269所使用的電晶體或半導體元件可以是鰭式場效電晶體(FINFET)、絕緣層上長矽之鰭式場效電晶體(FINFETSOI)、全空乏型之絕緣層上長矽之金屬氧化物半導體之場效電晶體(FDSOIMOSFET)、半空乏型之絕緣層上長矽之金屬氧化物半導體之場效電晶體(PDSOIMOSFET)或傳統的金屬氧化物半導體之場效電晶體。Referring to FIG. 11H and FIG. 11I, there is a central area between the inter-wafer interconnecting lines 371 extending vertically between adjacent two bundles and between the inter-wafering interconnecting lines 371 extending horizontally between adjacent two bundles, Two PCIC chips 269 and one of the dedicated control chips 260 , the dedicated control and I/O chips 266 , the DCIAC chips 267 or the DCDI/OIAC chips 268 are located in the central area. For wiring connections, please refer to Sections 11H and 11I, each of the standard commercial FPGA IC chips 200 can be coupled through one or more programmable interconnect lines 361 or fixed interconnect lines 364 of one or more inter-chip interconnect lines 371 Connected to all PCIC chips 269, each DPIIC chip 410 can be coupled to all PCIC chips 269 through one or more programmable interconnects 361 or fixed interconnects 364 of one or more inter-chip interconnects 371, each The PCIC chips 269 can be coupled to all the dedicated I/O chips 265 through one or more programmable interconnect lines 361 or fixed interconnect lines 364 of one or more inter-chip interconnect lines 371. The programmable interconnect line 361 or the fixed interconnect line 364 of the or plurality of inter-die interconnect lines 371 is coupled to the dedicated control die 260, the dedicated control and I/O die 266, the DCIAC die 267 or the DCDI/OIAC die 268, and Each PCIC chip 269 can be coupled to all NVMIC chips 250 through one or more programmable interconnect lines 361 or fixed interconnect lines 364 of one or more inter-chip interconnect lines 371, and each PCIC chip 269 can be coupled to all NVMIC chips 250 through one or more The programmable interconnection lines 361 or the fixed interconnection lines 364 of the plurality of inter-chip interconnection lines 371 are coupled to other PCIC chips 269 . In addition, each PCIC chip 269 may be coupled to the IAC chip 402 as depicted in FIG. 11G through programmable interconnect lines 361 or fixed interconnect lines 364 of one or more inter-die interconnect lines 371 . Advanced semiconductor technology generations may be used to manufacture PCIC wafers 269 , for example, PCIC wafers 269 are fabricated using semiconductor technology generations that are advanced at or below 40 nm, 20 nm, or 10 nm. The generation of semiconductor technology employed by the PCIC chip 269 may be the same as that employed by each of the standard commercial FPGAIC chips 200 and each of the DPIIC chips 410, or may be greater than that employed by each of the standard commercial FPGAIC chips 200 and each of the DPIIC chips 410. A DPIIC chip 410 employs a semiconductor technology generation later or older than 1 generation. The transistors or semiconductor elements used in the PCIC chip 269 can be fin field effect transistors (FINFET), fin field effect transistors with long silicon on insulating layers (FINFET SOI), and metal oxides with long silicon on insulating layers of fully depletion type. Semiconductor field effect transistor (FDSOIMOSFET), semi-depletion type metal oxide semiconductor field effect transistor with long silicon on insulating layer (PDSOIMOSFET) or traditional metal oxide semiconductor field effect transistor.

VIII.第八型之邏輯驅動器VIII. Type VIII Logical Drive

第11J圖及第11K圖係為根據本申請案之實施例所繪示之第八型標準商業化邏輯驅動器之上視示意圖。請參見第11J圖及第11K圖,如第11A圖至第11E圖所繪示之邏輯驅動器300還可以包括三個PCIC晶片269,例如是從中央處理器(CPU)晶片、圖像處理器(GPU)晶片、數位訊號處理(DSP)晶片及張量處理器(TPU)晶片之組合中選出其中三個。舉例而言,(1)其中一個的PCIC晶片269可以是中央處理器(CPU)晶片,另一個的PCIC晶片269可以是圖像處理器(GPU)晶片,而最後一個的PCIC晶片269可以是數位訊號處理(DSP)晶片;(2)其中一個的PCIC晶片269可以是中央處理器(CPU)晶片,另一個的PCIC晶片269可以是圖像處理器(GPU)晶片,而最後一個的PCIC晶片269可以是張量處理器(TPU)晶片;(3)其中一個的PCIC晶片269可以是中央處理器(CPU)晶片,另一個的PCIC晶片269可以是數位訊號處理(DSP)晶片,而最後一個的PCIC晶片269可以是張量處理器(TPU)晶片;(4)其中一個的PCIC晶片269可以是圖像處理器(GPU)晶片,另一個的PCIC晶片269可以是數位訊號處理(DSP)晶片,而最後一個的PCIC晶片269可以是張量處理器(TPU)晶片。如第11J圖所繪示之結構係類似如第11A圖、第11B圖、第11D圖及第11E圖所繪示之結構,不同處係在於三個PCIC晶片269還可以設在邏輯驅動器300中,靠近如第11A圖所繪示之結構中的專用控制晶片260、靠近如第11B圖所繪示之結構中的控制及I/O晶片266、靠近如第11D圖所繪示之結構中的DCIAC晶片267或靠近如第11E圖所繪示之結構中的DCDI/OIAC晶片268。如第11K圖所繪示之結構係類似如第11C圖所繪示之結構,不同處係在於三個PCIC晶片269還可以設在邏輯驅動器300中,且設在靠近專用控制晶片260的位置。針對繪示於第11A圖、第11B圖、第11D圖、第11E圖及第11J圖中的相同標號所指示的元件,繪示於第11J圖中的該元件可以參考該元件於第11A圖、第11B圖、第11D圖及第11E圖中的說明。針對繪示於第11A圖、第11C圖及第11K圖中的相同標號所指示的元件,繪示於第11K圖中的該元件可以參考該元件於第11A圖及第11C圖中的說明。FIG. 11J and FIG. 11K are schematic top views of the eighth type standard commercial logic driver according to the embodiment of the present application. Referring to FIGS. 11J and 11K, the logical driver 300 shown in FIGS. 11A to 11E may further include three PCIC chips 269, for example, a central processing unit (CPU) chip, an image processor ( Select three from a combination of GPU) chips, digital signal processing (DSP) chips and tensor processor (TPU) chips. For example, (1) one of the PCIC chips 269 may be a central processing unit (CPU) chip, the other PCIC chip 269 may be a graphics processing unit (GPU) chip, and the last PCIC chip 269 may be a digital Signal processing (DSP) chips; (2) one of the PCIC chips 269 may be a central processing unit (CPU) chip, the other PCIC chip 269 may be a graphics processing unit (GPU) chip, and the last PCIC chip 269 It can be a tensor processing unit (TPU) chip; (3) one of the PCIC chips 269 can be a central processing unit (CPU) chip, the other PCIC chip 269 can be a digital signal processing (DSP) chip, and the last one The PCIC chip 269 may be a tensor processing unit (TPU) chip; (4) one of the PCIC chips 269 may be a graphics processing unit (GPU) chip, and the other PCIC chip 269 may be a digital signal processing (DSP) chip, And the last PCIC die 269 may be a tensor processor (TPU) die. The structure shown in FIG. 11J is similar to the structure shown in FIG. 11A , FIG. 11B , FIG. 11D , and FIG. 11E , except that the three PCIC chips 269 can also be provided in the logical driver 300 . , near the dedicated control chip 260 in the structure shown in FIG. 11A, near the control and I/O chip 266 in the structure shown in FIG. 11B, near the The DCIAC die 267 is at or near the DCDI/OIAC die 268 in the structure as shown in FIG. 11E. The structure shown in FIG. 11K is similar to the structure shown in FIG. 11C , except that the three PCIC chips 269 can also be provided in the logical driver 300 and located close to the dedicated control chip 260 . 11A, 11B, 11D, 11E, and 11J for the elements indicated by the same reference numerals, the elements shown in Fig. 11J may refer to the elements in Fig. 11A , Figures 11B, 11D, and 11E. For the elements shown in Figures 11A, 11C and 11K indicated by the same reference numerals, the elements shown in Figure 11K may refer to the descriptions of the elements in Figures 11A and 11C.

請參見第11H圖及第11I圖,在垂直延伸的相鄰兩束之晶片間交互連接線371之間與在水平延伸的相鄰兩束之晶片間交互連接線371之間存在一中心區域,在該中心區域內設有三個PCIC晶片269及其中一個的專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268。針對線路的連接而言,請參見第11J及第11K,每一個的標準商業化FPGAIC晶片200可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的PCIC晶片269,每一個的DPIIC晶片410可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的PCIC晶片269,每一個的PCIC晶片269可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的專用I/O晶片265,每一個的PCIC晶片269可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268,每一個的PCIC晶片269可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的NVMIC晶片250,每一個的PCIC晶片269可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至其他兩個的PCIC晶片269。此外,每一個的PCIC晶片269可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至如第11G圖所繪示之IAC晶片402。先進的半導體技術世代可以用於製造PCIC晶片269,例如是利用先進於或小於或等於40nm、20nm或10nm之半導體技術世代來製造PCIC晶片269。PCIC晶片269所採用的半導體技術世代可以是相同於每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410所採用的半導體技術世代,或是比每一個的標準商業化FPGAIC晶片200及每一個的DPIIC晶片410所採用的半導體技術世代晚於或舊於1個世代。PCIC晶片269所使用的電晶體或半導體元件可以是鰭式場效電晶體(FINFET)、絕緣層上長矽之鰭式場效電晶體(FINFETSOI)、全空乏型之絕緣層上長矽之金屬氧化物半導體之場效電晶體(FDSOIMOSFET)、半空乏型之絕緣層上長矽之金屬氧化物半導體之場效電晶體(PDSOIMOSFET)或傳統的金屬氧化物半導體之場效電晶體。Referring to FIG. 11H and FIG. 11I, there is a central area between the inter-wafer interconnecting lines 371 extending vertically between adjacent two bundles and between the inter-wafering interconnecting lines 371 extending horizontally between adjacent two bundles, There are three PCIC chips 269 and one of the dedicated control chips 260, dedicated control and I/O chips 266, DCIAC chips 267 or DCDI/OIAC chips 268 in the central area. For wiring connections, see Sections 11J and 11K, each of the standard commercial FPGA IC chips 200 can be coupled through one or more programmable interconnects 361 or fixed interconnects 364 of inter-chip interconnects 371 Connected to all PCIC chips 269, each DPIIC chip 410 can be coupled to all PCIC chips 269 through one or more programmable interconnects 361 or fixed interconnects 364 of one or more inter-chip interconnects 371, each The PCIC chips 269 can be coupled to all the dedicated I/O chips 265 through one or more programmable interconnect lines 361 or fixed interconnect lines 364 of one or more inter-chip interconnect lines 371. The programmable interconnection line 361 or the fixed interconnection line 364 of the plurality of inter-chip interconnection lines 371 is coupled to the dedicated control chip 260, the dedicated control and I/O chip 266, the DCIAC chip 267 or the DCDI/OIAC chip 268, each One PCIC chip 269 can be coupled to all NVMIC chips 250 through one or more programmable interconnect lines 361 or fixed interconnect lines 364 of one or more inter-chip interconnect lines 371, and each PCIC chip 269 can be coupled to all NVMIC chips 250 through one or more inter-chip interconnect lines 371. The programmable interconnection lines 361 or the fixed interconnection lines 364 of the inter-die interconnection lines 371 are coupled to the other two PCIC chips 269 . In addition, each PCIC chip 269 may be coupled to the IAC chip 402 as depicted in FIG. 11G through programmable interconnect lines 361 or fixed interconnect lines 364 of one or more inter-die interconnect lines 371 . Advanced semiconductor technology generations may be used to manufacture PCIC wafers 269 , for example, PCIC wafers 269 are fabricated using semiconductor technology generations that are advanced at or below 40 nm, 20 nm, or 10 nm. The generation of semiconductor technology employed by the PCIC chip 269 may be the same as that employed by each of the standard commercial FPGAIC chips 200 and each of the DPIIC chips 410, or may be greater than that employed by each of the standard commercial FPGAIC chips 200 and each of the DPIIC chips 410. A DPIIC chip 410 employs a semiconductor technology generation later or older than 1 generation. The transistors or semiconductor elements used in the PCIC chip 269 can be fin field effect transistors (FINFET), fin field effect transistors with long silicon on insulating layers (FINFET SOI), and metal oxides with long silicon on insulating layers of fully depletion type. Semiconductor field effect transistor (FDSOIMOSFET), semi-depletion type metal oxide semiconductor field effect transistor with long silicon on insulating layer (PDSOIMOSFET) or traditional metal oxide semiconductor field effect transistor.

IX.第九型之邏輯驅動器IX. Type IX Logical Drive

第11L圖係為根據本申請案之實施例所繪示之第九型標準商業化邏輯驅動器之上視示意圖。針對繪示於第11A圖至第11L圖中的相同標號所指示的元件,繪示於第11L圖中的該元件可以參考該元件於第11A圖至第11K圖中的說明。請參見第11L圖,第九型標準商業化邏輯驅動器300可以封裝有一或多個的PCIC晶片269、如第8A圖至第8J圖所描述的一或多個的標準商業化FPGAIC晶片200、一或多個的NVMIC晶片250、一或多個的揮發性(VM)積體電路(IC)晶片324、一或多個的高速高頻寬的記憶體(HBM)積體電路(IC)晶片251及專用控制晶片260,設置成陣列的形式,其中PCIC晶片269、標準商業化FPGAIC晶片200、NVMIC晶片250、VMIC晶片324及HBMIC晶片251可以圍繞著設在中間區域的專用控制晶片260設置。PCIC晶片269之組合可以包括(1)多個GPU晶片,例如是2個、3個、4個或超過4個的GPU晶片;(2)一或多個的CPU晶片及/或一或多個的GPU晶片;(3)一或多個的CPU晶片及/或一或多個的DSP晶片;(4)一或多個的CPU晶片、一或多個的GPU晶片及/或一或多個的DSP晶片;(5)一或多個的CPU晶片及/或一或多個的TPU晶片;或是(6)一或多個的CPU晶片、一或多個的DSP晶片及/或一或多個的TPU晶片。HBMIC晶片251可以是高速高頻寬的動態隨機存取記憶體(DRAM)晶片、高速高頻寬的靜態隨機存取記憶體(SRAM)晶片、磁阻式隨機存取記憶體(MRAM)晶片或電阻式隨機存取記憶體(RRAM)晶片。PCIC晶片269及標準商業化FPGAIC晶片200可以與HBMIC晶片251配合運作,進行高速、高頻寬的平行處理及/或平行運算。FIG. 11L is a schematic top view of the ninth type standard commercial logic driver according to the embodiment of the present application. For the elements indicated by the same reference numerals shown in FIGS. 11A to 11L, the elements shown in FIG. 11L may refer to the descriptions of the elements in FIGS. 11A to 11K. Referring to FIG. 11L, the ninth type standard commercial logic driver 300 may be packaged with one or more PCIC chips 269, one or more standard commercial FPGA IC chips 200 as described in FIGS. 8A to 8J, a One or more NVMIC chips 250, one or more volatile (VM) integrated circuit (IC) chips 324, one or more high speed high bandwidth memory (HBM) integrated circuit (IC) chips 251 and dedicated Control die 260, arranged in an array, wherein PCIC die 269, standard commercial FPGAIC die 200, NVMIC die 250, VMIC die 324 and HBMIC die 251 may be arranged around a dedicated control die 260 located in the middle area. The combination of PCIC chips 269 may include (1) multiple GPU chips, such as 2, 3, 4, or more than 4 GPU chips; (2) one or more CPU chips and/or one or more (3) one or more CPU chips and/or one or more DSP chips; (4) one or more CPU chips, one or more GPU chips and/or one or more (5) one or more CPU chips and/or one or more TPU chips; or (6) one or more CPU chips, one or more DSP chips and/or one or more Multiple TPU chips. The HBMIC chip 251 may be a high-speed and high-bandwidth dynamic random access memory (DRAM) chip, a high-speed and high-bandwidth static random access memory (SRAM) chip, a magnetoresistive random access memory (MRAM) chip, or a resistive random access memory (RRAM) chip. Take a memory (RRAM) chip. The PCIC chip 269 and the standard commercial FPGAIC chip 200 can cooperate with the HBMIC chip 251 to perform high-speed, high-bandwidth parallel processing and/or parallel computing.

請參見第11L圖,標準商業化邏輯驅動器300可以包括晶片間交互連接線371可以在標準商業化FPGAIC晶片200、NVMIC晶片250、VMIC晶片324、專用控制晶片260、PCIC晶片269及HBMIC晶片251其中相鄰的兩個之間。標準商業化邏輯驅動器300可以包括複數個DPIIC晶片410,對準於垂直延伸之一束晶片間交互連接線371及水平延伸之一束晶片間交互連接線371之交叉點處。每一DPIIC晶片410係設在標準商業化FPGAIC晶片200、NVMIC晶片250、VMIC晶片324、專用控制晶片260、PCIC晶片269及HBMIC晶片251其中四個的周圍及該其中四個的角落處。每一晶片間交互連接線371可以是如第7A圖至第7C圖及所描述之可編程交互連接線361或固定交互連接線364,並可參見前述之“可編程交互連接線之說明”及“固定交互連接線之說明”。訊號之傳輸可以(1)經由標準商業化FPGAIC晶片200之小型I/O電路203,在晶片間交互連接線371之可編程交互連接線361與標準商業化FPGAIC晶片200之晶片內交互連接線502之可編程交互連接線361之間進行;或者(2)經由DPIIC晶片410之小型I/O電路203,在晶片間交互連接線371之可編程交互連接線361與DPIIC晶片410之晶片內交互連接線之可編程交互連接線361之間進行。訊號之傳輸可以(1)經由標準商業化FPGAIC晶片200之小型I/O電路203,在晶片間交互連接線371之固定交互連接線364與標準商業化FPGAIC晶片200之晶片內交互連接線502之固定交互連接線364之間進行;或者(2)經由DPIIC晶片410之小型I/O電路203,在晶片間交互連接線371之固定交互連接線364與DPIIC晶片410之晶片內交互連接線之固定交互連接線364之間進行。Referring to FIG. 11L, a standard commercial logic driver 300 may include inter-die interconnects 371 that may be used on standard commercial FPGAIC die 200, NVMIC die 250, VMIC die 324, dedicated control die 260, PCIC die 269, and HBMIC die 251, among which between two adjacent ones. A standard commercial logic driver 300 may include a plurality of DPIIC dies 410 aligned at the intersection of a vertically extending bundle of inter-die interconnect lines 371 and a horizontally extending bundle of inter-die interconnect lines 371 . Each DPIIC die 410 is disposed around and at the corners of four of the standard commercial FPGAIC die 200, NVMIC die 250, VMIC die 324, dedicated control die 260, PCIC die 269, and HBMIC die 251. Each inter-chip interconnection line 371 may be a programmable interconnection line 361 or a fixed interconnection line 364 as described in FIGS. 7A to 7C , and see the aforementioned “Description of Programmable Interconnection Lines” and "Description of Fixed Interconnect Lines". Signals can be transmitted (1) via the small I/O circuits 203 of the standard commercial FPGAIC chip 200 , the programmable interconnect wires 361 of the inter-chip interconnect wires 371 and the intra-chip interconnect wires 502 of the standard commercial FPGA IC chip 200 or (2) through the small I/O circuit 203 of the DPIIC chip 410, the programmable interconnection line 361 of the inter-chip interconnection line 371 and the in-chip interconnection of the DPIIC chip 410 Programmable interconnection of lines is performed between lines 361 . The transmission of the signal can be (1) through the small I/O circuit 203 of the standard commercial FPGAIC chip 200, between the fixed inter-chip interconnect 364 of the inter-chip interconnect 371 and the intra-chip interconnect 502 of the standard commercial FPGA IC chip 200. Or (2) through the small I/O circuit 203 of the DPIIC chip 410, the fixed interconnection line 364 of the inter-chip interconnection line 371 and the intra-chip interconnection line of the DPIIC chip 410 are fixed Interconnection between lines 364 takes place.

請參見第11L圖,每一個的標準商業化FPGAIC晶片200可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的DPIIC晶片410,每一個的標準商業化FPGAIC晶片200可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至專用控制晶片260,每一個的標準商業化FPGAIC晶片200可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的NVMIC晶片250,每一個的標準商業化FPGAIC晶片200可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的PCIC晶片269,每一個的標準商業化FPGAIC晶片200可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的HBMIC晶片251,每一個的DPIIC晶片410可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至專用控制晶片260,每一個的DPIIC晶片410可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的NVMIC晶片250,每一個的DPIIC晶片410可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的PCIC晶片269,每一個的DPIIC晶片410可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的HBMIC晶片251,其中一個的PCIC晶片269可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至其中一個的HBMIC晶片251,而在該其中一個的PCIC晶片269與該其中一個的HBMIC晶片251之間所進行傳輸的資料位元寬度可以是大於或等於64、128、256、512、1024、2048、4096、8K或16K,每一個的NVMIC晶片250可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至專用控制晶片260,每一個的HBMIC晶片251可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至專用控制晶片260,每一個的PCIC晶片269可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至專用控制晶片260。每一個的PCIC晶片269可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至其他全部的PCIC晶片269。Referring to FIG. 11L, each standard commercial FPGAIC chip 200 can be coupled to all DPIIC chips 410 through one or more programmable interconnect lines 361 or fixed interconnect lines 364 of one or more inter-die interconnect lines 371. A standard commercial FPGA IC chip 200 may be coupled to a dedicated control chip 260 through one or more inter-chip interconnect lines 371, programmable interconnect lines 361 or fixed interconnect lines 364, each of the standard commercial FPGA IC chips 200 Can be coupled to all NVMIC chips 250 through one or more programmable interconnects 361 of inter-chip interconnects 371 or fixed interconnects 364, and each standard commercial FPGAIC chip 200 can be coupled to all NVMIC chips 200 through one or more chips The programmable interconnection lines 361 or fixed interconnection lines 364 of the inter-chip interconnection lines 371 are coupled to all PCIC chips 269, and each standard commercial FPGAIC chip 200 can be connected through one or more inter-chip interconnection lines 371. The programming interconnection lines 361 or the fixed interconnection lines 364 are coupled to all the HBMIC chips 251, and each DPIIC chip 410 can be connected to the programmable interconnection lines 361 or fixed interconnection lines of one or more inter-chip interconnection lines 371 through one or more inter-chip interconnection lines 371. 364 is coupled to the dedicated control chip 260, and each DPIIC chip 410 can be coupled to all the NVMIC chips 250 through one or more programmable interconnect lines 361 or fixed interconnect lines 364 of one or more inter-chip interconnect lines 371. One DPIIC chip 410 can be coupled to all PCIC chips 269 via one or more programmable interconnect lines 361 or fixed interconnect lines 364 of one or more inter-chip interconnect lines 371, and each DPIIC chip 410 can be coupled to all PCIC chips 269 through one or more inter-chip interconnect lines 371. The programmable interconnection lines 361 or fixed interconnection lines 364 of the inter-die interconnection lines 371 are coupled to all the HBMIC chips 251 , and one of the PCIC chips 269 can be programmed through one or more inter-die interconnection lines 371 . The interconnection line 361 or the fixed interconnection line 364 is coupled to one of the HBMIC chips 251, and the data bit width transmitted between the one of the PCIC chips 269 and the one of the HBMIC chips 251 may be greater than or equal to 64, 128, 256, 512, 1024, 2048, 4096, 8K or 16K, each NVMIC chip 250 can pass through one or more inter-chip interconnect lines 371 programmable interconnect lines 361 or fixed interconnect lines 364 is coupled to the dedicated control chip 260, and each HBMIC chip 251 can be coupled to the dedicated control chip 260 through one or more programmable interconnect lines 361 or fixed interconnect lines 364 of one or more inter-chip interconnect lines 371. Each PCIC The chip 269 may be coupled to the dedicated control chip 260 through programmable interconnect lines 361 or fixed interconnect lines 364 of one or more inter-die interconnect lines 371 . Each PCIC chip 269 may be coupled to all other PCIC chips 269 through one or more programmable interconnect lines 361 or fixed interconnect lines 364 of one or more inter-die interconnect lines 371 .

請參見第11L圖,邏輯驅動器300可以包括多個專用I/O晶片265,位在邏輯驅動器300之周圍區域,其係環繞邏輯驅動器300之中間區域,其中邏輯驅動器300之中間區域係容置有標準商業化FPGAIC晶片200、NVMIC晶片321、VMIC晶片324、專用控制晶片260、PCIC晶片269、HBMIC晶片251及DPIIC晶片410。每一個的標準商業化FPGAIC晶片200可以經由一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的專用I/O晶片265,每一個的DPIIC晶片410可以經由一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的專用I/O晶片265,每一個的NVMIC晶片321可以經由一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的專用I/O晶片265,專用控制晶片260可以經由一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的專用I/O晶片265,每一個的PCIC晶片269可以經由一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的專用I/O晶片265,每一個的HBMIC晶片251可以經由一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的專用I/O晶片265。Referring to FIG. 11L, the logical driver 300 may include a plurality of dedicated I/O chips 265 located in the surrounding area of the logical driver 300, which surrounds the middle area of the logical driver 300, wherein the middle area of the logical driver 300 accommodates the Standard commercial FPGAIC die 200 , NVMIC die 321 , VMIC die 324 , dedicated control die 260 , PCIC die 269 , HBMIC die 251 , and DPIIC die 410 . Each standard commercial FPGAIC die 200 may be coupled to all of the dedicated I/O die 265 via one or more inter-die interconnects 371, programmable interconnects 361 or fixed interconnects 364, each DPIIC Die 410 can be coupled to all of the dedicated I/O die 265 via one or more programmable interconnect lines 361 or fixed interconnect lines 364 of inter-die interconnect lines 371, and each NVMIC die 321 can be coupled to all of the dedicated I/O die 265 via one or more The programmable interconnect lines 361 or the fixed interconnect lines 364 of the inter-die interconnect lines 371 are coupled to all the dedicated I/O chips 265, and the dedicated control chips 260 can be connected via one or more inter-die interconnect lines 371. Programmable interconnect lines 361 or fixed interconnect lines 364 are coupled to all dedicated I/O chips 265, and each PCIC chip 269 can be connected via programmable interconnect lines 361 or fixed interconnect lines 371 via one or more inter-die interconnect lines 371. Interconnects 364 are coupled to all dedicated I/O chips 265, and each HBMIC die 251 may be coupled to the programmable interconnects 361 or fixed interconnects 364 of one or more inter-die interconnects 371 All dedicated I/O chips 265.

請參見第11L圖,每一個的標準商業化FPGAIC晶片200可以參考如第8A圖至第8J圖所揭露之內容,而每一個的DPIIC晶片410可以參考如第9圖所揭露之內容。此外,標準商業化FPGAIC晶片200、DPIIC晶片410、專用I/O晶片265、NVMIC晶片250、專用控制晶片260還可以參考如第11A圖所揭露之內容。Referring to FIG. 11L, each standard commercial FPGAIC chip 200 can refer to the content disclosed in FIGS. 8A to 8J, and each DPIIC chip 410 can refer to the content disclosed in FIG. 9. FIG. In addition, standard commercial FPGAIC chip 200, DPIIC chip 410, dedicated I/O chip 265, NVMIC chip 250, dedicated control chip 260 can also refer to the contents disclosed in FIG. 11A.

舉例而言,請參見第11L圖,在邏輯驅動器300中全部的PCIC晶片269可以是多個GPU晶片,例如是2個、3個、4個或超過4個的GPU晶片,而全部的HBMIC晶片251可以全部是高速高頻寬的動態隨機存取記憶體(DRAM)晶片、全部是高速高頻寬的靜態隨機存取記憶體(SRAM)晶片、全部是磁阻式隨機存取記憶體(MRAM)晶片或全部是電阻式隨機存取記憶體(RRAM)晶片,而在其中一個例如是GPU晶片的PCIC晶片269與其中一個的HBMIC晶片251之間所進行傳輸的資料位元寬度可以是大於或等於64、128、256、512、1024、2048、4096、8K或16K。For example, referring to FIG. 11L, all PCIC chips 269 in logical drive 300 may be multiple GPU chips, such as 2, 3, 4, or more than 4 GPU chips, and all HBMIC chips 251 can be all high-speed high-bandwidth dynamic random access memory (DRAM) chips, all high-speed high-bandwidth static random access memory (SRAM) chips, all magnetoresistive random access memory (MRAM) chips, or all is a resistive random access memory (RRAM) chip, and the width of data bits transferred between one of the PCIC chips 269 such as GPU chips and one of the HBMIC chips 251 can be greater than or equal to 64, 128 , 256, 512, 1024, 2048, 4096, 8K or 16K.

舉例而言,請參見第11L圖,在邏輯驅動器300中全部的PCIC晶片269可以是多個TPU晶片,例如是2個、3個、4個或超過4個的TPU晶片,而每一個的HBMIC晶片251可以是高速高頻寬的動態隨機存取記憶體(DRAM)晶片、高速高頻寬的靜態隨機存取記憶體(SRAM)晶片、磁阻式隨機存取記憶體(MRAM)晶片或電阻式隨機存取記憶體(RRAM)晶片,而在其中一個例如是TPU晶片的PCIC晶片269與其中一個的HBMIC晶片251之間所進行傳輸的資料位元寬度可以是大於或等於64、128、256、512、1024、2048、4096、8K或16K。For example, referring to FIG. 11L, all PCIC chips 269 in the logical drive 300 may be multiple TPU chips, such as 2, 3, 4 or more than 4 TPU chips, and each HBMIC The chip 251 may be a high-speed and high-bandwidth dynamic random access memory (DRAM) chip, a high-speed and high-bandwidth static random access memory (SRAM) chip, a magnetoresistive random access memory (MRAM) chip, or a resistive random access memory (RRAM) chip. Memory (RRAM) chips, and the data bit widths transferred between one of the PCIC chips 269 such as TPU chips and one of the HBMIC chips 251 may be greater than or equal to 64, 128, 256, 512, 1024 , 2048, 4096, 8K or 16K.

X.第十型之邏輯驅動器X. Type 10 Logical Drive

第11M圖係為根據本申請案之實施例所繪示之第十型標準商業化邏輯驅動器之上視示意圖。針對繪示於第11A圖至第11M圖中的相同標號所指示的元件,繪示於第11M圖中的該元件可以參考該元件於第11A圖至第11L圖中的說明。請參見第11M圖,第十型標準商業化邏輯驅動器300封裝有如上所述的PCIC晶片269,例如是多個的GPU晶片269a及一個的CPU晶片269b。再者,標準商業化邏輯驅動器300還封裝有多個的HBMIC晶片251,其每一個係相鄰於其中一個的GPU晶片269a,用於與該其中一個的GPU晶片269a進行高速與高頻寬的資料傳輸。在標準商業化邏輯驅動器300中,每一個的HBMIC晶片251可以是高速高頻寬的動態隨機存取記憶體(DRAM)晶片、高速高頻寬的靜態隨機存取記憶體(SRAM)晶片、磁阻式隨機存取記憶體(MRAM)晶片或電阻式隨機存取記憶體(RRAM)晶片。標準商業化邏輯驅動器300還封裝有複數個標準商業化FPGAIC晶片200及一或多個的NVMIC晶片250,NVMIC晶片250係以非揮發性的方式儲存用於編程FPGAIC晶片200之可編程邏輯區塊201及交叉點開關379之結果值或編程碼及儲存用於編程DPIIC晶片410之交叉點開關379之編程碼,如第6A圖至第9圖所揭露之內容。CPU晶片269b、專用控制晶片260、標準商業化FPGAIC晶片200、GPU晶片269a、NVMIC晶片250及HBMIC晶片251係在邏輯驅動器300中排列成矩陣的形式,其中CPU晶片269b及專用控制晶片260係設在其中間區域,被容置有標準商業化FPGAIC晶片200、GPU晶片269a、NVMIC晶片250及HBMIC晶片251之周邊區域環繞。FIG. 11M is a schematic top view of the tenth type standard commercial logic drive according to the embodiment of the present application. For the elements indicated by the same reference numerals shown in FIGS. 11A to 11M, the elements shown in FIG. 11M may refer to the descriptions of the elements in FIGS. 11A to 11L. Referring to FIG. 11M, the tenth type standard commercial logic driver 300 is packaged with the above-mentioned PCIC chips 269, such as a plurality of GPU chips 269a and one CPU chip 269b. Furthermore, the standard commercial logic driver 300 is also packaged with a plurality of HBMIC chips 251, each of which is adjacent to one of the GPU chips 269a for high-speed and high-bandwidth data transfer with the one of the GPU chips 269a. . In a standard commercial logic drive 300, each HBMIC chip 251 may be a high-speed high-bandwidth dynamic random access memory (DRAM) chip, a high-speed high-bandwidth static random access memory (SRAM) chip, a magnetoresistive random access memory Take a memory (MRAM) chip or a resistive random access memory (RRAM) chip. The standard commercial logic driver 300 also encapsulates a plurality of standard commercial FPGAIC chips 200 and one or more NVMIC chips 250. The NVMIC chips 250 store programmable logic blocks for programming the FPGAIC chips 200 in a non-volatile manner 201 and the result value or programming code of the crosspoint switch 379 and storing the programming code for programming the crosspoint switch 379 of the DPIIC chip 410, as disclosed in FIGS. 6A-9. The CPU chip 269b, the dedicated control chip 260, the standard commercial FPGAIC chip 200, the GPU chip 269a, the NVMIC chip 250 and the HBMIC chip 251 are arranged in the form of a matrix in the logic driver 300, wherein the CPU chip 269b and the dedicated control chip 260 are designed In its middle region, it is surrounded by a peripheral region housing the standard commercial FPGAIC die 200, GPU die 269a, NVMIC die 250, and HBMIC die 251.

請參見第11M圖,第十型標準商業化邏輯驅動器300包括晶片間交互連接線371,可以在標準商業化FPGAIC晶片200、NVMIC晶片250、專用控制晶片260、GPU晶片269a、CPU晶片269b及HBMIC晶片251其中相鄰的兩個之間。標準商業化邏輯驅動器300可以包括複數個DPIIC晶片410,對準於垂直延伸之一束晶片間交互連接線371及水平延伸之一束晶片間交互連接線371之交叉點處。每一DPIIC晶片410係設在標準商業化FPGAIC晶片200、NVMIC晶片250、專用控制晶片260、GPU晶片269a、CPU晶片269b及HBMIC晶片251其中四個的周圍及該其中四個的角落處。每一晶片間交互連接線371可以是如第7A圖至第7C圖及所描述之可編程交互連接線361或固定交互連接線364,並可參見前述之“可編程交互連接線之說明”及“固定交互連接線之說明”。訊號之傳輸可以(1)經由標準商業化FPGAIC晶片200之小型I/O電路203,在晶片間交互連接線371之可編程交互連接線361與標準商業化FPGAIC晶片200之晶片內交互連接線502之可編程交互連接線361之間進行;或者(2)經由DPIIC晶片410之小型I/O電路203,在晶片間交互連接線371之可編程交互連接線361與DPIIC晶片410之晶片內交互連接線之可編程交互連接線361之間進行。訊號之傳輸可以(1)經由標準商業化FPGAIC晶片200之小型I/O電路203,在晶片間交互連接線371之固定交互連接線364與標準商業化FPGAIC晶片200之晶片內交互連接線502之固定交互連接線364之間進行;或者(2)經由DPIIC晶片410之小型I/O電路203,在晶片間交互連接線371之固定交互連接線364與DPIIC晶片410之晶片內交互連接線之固定交互連接線364之間進行。Referring to FIG. 11M, the tenth type standard commercial logic driver 300 includes inter-die interconnection lines 371, which can be connected between standard commercial FPGAIC chips 200, NVMIC chips 250, dedicated control chips 260, GPU chips 269a, CPU chips 269b and HBMIC chips Between two adjacent wafers 251 . A standard commercial logic driver 300 may include a plurality of DPIIC dies 410 aligned at the intersection of a vertically extending bundle of inter-die interconnect lines 371 and a horizontally extending bundle of inter-die interconnect lines 371 . Each DPIIC die 410 is disposed around and at the corners of four of the standard commercial FPGAIC die 200, NVMIC die 250, dedicated control die 260, GPU die 269a, CPU die 269b, and HBMIC die 251. Each inter-chip interconnection line 371 may be a programmable interconnection line 361 or a fixed interconnection line 364 as described in FIGS. 7A to 7C , and see the aforementioned “Description of Programmable Interconnection Lines” and "Description of Fixed Interconnect Lines". Signals can be transmitted (1) via the small I/O circuits 203 of the standard commercial FPGAIC chip 200 , the programmable interconnect wires 361 of the inter-chip interconnect wires 371 and the intra-chip interconnect wires 502 of the standard commercial FPGA IC chip 200 or (2) through the small I/O circuit 203 of the DPIIC chip 410, the programmable interconnection line 361 of the inter-chip interconnection line 371 and the in-chip interconnection of the DPIIC chip 410 Programmable interconnection of lines is made between lines 361 . The transmission of the signal can be (1) through the small I/O circuit 203 of the standard commercial FPGAIC chip 200, between the fixed inter-chip interconnect 364 of the inter-chip interconnect 371 and the intra-chip interconnect 502 of the standard commercial FPGA IC chip 200. Or (2) through the small I/O circuit 203 of the DPIIC chip 410, the fixed interconnection line 364 of the inter-chip interconnection line 371 and the intra-chip interconnection line of the DPIIC chip 410 are fixed Interconnection between lines 364 takes place.

請參見第11M圖,每一個的標準商業化FPGAIC晶片200可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的DPIIC晶片410,每一個的標準商業化FPGAIC晶片200可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至專用控制晶片260,每一個的標準商業化FPGAIC晶片200可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的NVMIC晶片250,每一個的標準商業化FPGAIC晶片200可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的GPU晶片269a,每一個的標準商業化FPGAIC晶片200可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至CPU晶片269b,每一個的標準商業化FPGAIC晶片200可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的HBMIC晶片251,每一個的DPIIC晶片410可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至專用控制晶片260,每一個的DPIIC晶片410可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的NVMIC晶片250,每一個的DPIIC晶片410可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的GPU晶片269a,每一個的DPIIC晶片410可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至CPU晶片269b,每一個的DPIIC晶片410可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的HBMIC晶片251,CPU晶片269b可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的GPU晶片269a,CPU晶片269b可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的HBMIC晶片251,其中一個的GPU晶片269a可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至其中一個的HBMIC晶片251,且在該其中一個的GPU晶片269a與該其中一個的HBMIC晶片251之間所進行傳輸的資料位元寬度可以是大於或等於64、128、256、512、1024、2048、4096、8K或16K,每一個的NVMIC晶片250可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至專用控制晶片260,每一個的HBMIC晶片251可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至專用控制晶片260,每一個的GPU晶片269a可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至專用控制晶片260,CPU晶片269b可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至專用控制晶片260。Referring to FIG. 11M, each standard commercial FPGAIC chip 200 can be coupled to all DPIIC chips 410 through one or more programmable interconnect lines 361 or fixed interconnect lines 364 of one or more inter-die interconnect lines 371. A standard commercial FPGA IC chip 200 may be coupled to a dedicated control chip 260 through one or more inter-chip interconnect lines 371, programmable interconnect lines 361 or fixed interconnect lines 364, each of the standard commercial FPGA IC chips 200 Can be coupled to all NVMIC chips 250 through one or more programmable interconnects 361 of inter-chip interconnects 371 or fixed interconnects 364, and each standard commercial FPGAIC chip 200 can be coupled to all NVMIC chips 200 through one or more chips The programmable interconnect lines 361 or fixed interconnect lines 364 of the inter-chip interconnect lines 371 are coupled to all of the GPU chips 269a, and each of the standard commercial FPGA IC chips 200 can be connected via one or more inter-die interconnect lines 371. Programmable interconnection lines 361 or fixed interconnection lines 364 are coupled to CPU chip 269b, and each standard commercial FPGAIC chip 200 can be interconnected through programmable interconnection lines 361 or fixed interconnection lines 371 through one or more inter-chip interconnection lines 371 The line 364 is coupled to all the HBMIC chips 251, and each DPIIC chip 410 can be coupled to the dedicated control chip 260 through the programmable interconnect line 361 or the fixed interconnect line 364 of one or more inter-die interconnect lines 371, Each DPIIC chip 410 can be coupled to all NVMIC chips 250 through one or more programmable interconnect lines 361 or fixed interconnect lines 364 of one or more inter-die interconnect lines 371 , and each DPIIC chip 410 can be coupled to all NVMIC chips 250 through one or more inter-die interconnect lines 371 or fixed interconnect lines 364 The programmable interconnection lines 361 or the fixed interconnection lines 364 of the plurality of inter-die interconnection lines 371 are coupled to all the GPU chips 269 a , and each DPIIC chip 410 can be connected by one or more of the inter-die interconnection lines 371 . The programming interconnection line 361 or the fixed interconnection line 364 is coupled to the CPU chip 269b, and each DPIIC chip 410 can be coupled through the programmable interconnection line 361 or the fixed interconnection line 364 of one or more inter-chip interconnection lines 371 Connected to all the HBMIC chips 251, the CPU chip 269b can be coupled to all the GPU chips 269a through one or more programmable interconnect lines 361 or fixed interconnect lines 364 of one or more inter-chip interconnect lines 371, and the CPU chip 269b can be connected to all the GPU chips 269a through The programmable interconnection lines 361 or fixed interconnection lines 364 of the one or more inter-die interconnection lines 371 are coupled to all the HBMIC chips 251 , and one of the GPU chips 269 a can pass through the one or more inter-die interconnection lines 371 It can be The programming interconnect 361 or the fixed interconnect 364 is coupled to one of the HBMIC chips 251, and the data bit width transmitted between the one of the GPU chip 269a and the one of the HBMIC chips 251 may be Greater than or equal to 64, 128, 256, 512, 1024, 2048, 4096, 8K or 16K, each NVMIC chip 250 can be interconnected through one or more programmable interconnect lines 361 or fixed interconnect lines 371 The line 364 is coupled to the dedicated control chip 260, and each HBMIC chip 251 can be coupled to the dedicated control chip 260 through one or more programmable interconnect lines 361 or fixed interconnect lines 364 of one or more inter-chip interconnect lines 371. A GPU chip 269a can be coupled to the dedicated control chip 260 through one or more programmable interconnect lines 361 or fixed interconnect lines 364, and the CPU chip 269b can communicate with one or more chips The programmable interconnection lines 361 or the fixed interconnection lines 364 of the connection lines 371 are coupled to the dedicated control chip 260 .

請參見第11M圖,邏輯驅動器300可以包括多個專用I/O晶片265,位在邏輯驅動器300之周圍區域,其係環繞邏輯驅動器300之中間區域,其中邏輯驅動器300之中間區域係容置有標準商業化FPGAIC晶片200、NVMIC晶片321、專用控制晶片260、GPU晶片269a、CPU晶片269b、HBMIC晶片251及DPIIC晶片410。每一個的標準商業化FPGAIC晶片200可以經由一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的專用I/O晶片265,每一個的DPIIC晶片410可以經由一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的專用I/O晶片265,每一個的NVMIC晶片321可以經由一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的專用I/O晶片265,專用控制晶片260可以經由一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的專用I/O晶片265,每一個的GPU晶片269a可以經由一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的專用I/O晶片265,CPU晶片269b可以經由一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的專用I/O晶片265,每一個的HBMIC晶片251可以經由一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的專用I/O晶片265。Referring to FIG. 11M, the logical driver 300 may include a plurality of dedicated I/O chips 265 located in the surrounding area of the logical driver 300, which surrounds the middle area of the logical driver 300, wherein the middle area of the logical driver 300 accommodates the Standard commercial FPGAIC die 200, NVMIC die 321, dedicated control die 260, GPU die 269a, CPU die 269b, HBMIC die 251, and DPIIC die 410. Each standard commercial FPGAIC die 200 may be coupled to all of the dedicated I/O die 265 via one or more inter-die interconnects 371, programmable interconnects 361 or fixed interconnects 364, each DPIIC Die 410 can be coupled to all of the dedicated I/O die 265 via one or more programmable interconnect lines 361 or fixed interconnect lines 364 of inter-die interconnect lines 371, and each NVMIC die 321 can be coupled to all of the dedicated I/O die 265 via one or more The programmable interconnect lines 361 or the fixed interconnect lines 364 of the inter-die interconnect lines 371 are coupled to all the dedicated I/O chips 265, and the dedicated control chips 260 can be connected via one or more inter-die interconnect lines 371. Programmable interconnect lines 361 or fixed interconnect lines 364 are coupled to all dedicated I/O chips 265, and each GPU chip 269a can be connected via programmable interconnect lines 361 or fixed through one or more inter-die interconnect lines 371 Interconnect lines 364 are coupled to all dedicated I/O chips 265, and CPU chip 269b may be coupled to all dedicated I/O chips 269 via programmable interconnect lines 361 or fixed interconnect lines 364 of one or more inter-die interconnect lines 371 I/O chips 265, each HBMIC chip 251 can be coupled to all dedicated I/O chips 265 via programmable interconnects 361 or fixed interconnects 364 of one or more inter-die interconnects 371.

因此,在第十型邏輯驅動器300中,GPU晶片269a可以與HBMIC晶片251配合運作,進行高速、高頻寬的平行處理及/或平行運算。請參見第11M圖,每一個的標準商業化FPGAIC晶片200可以參考如第8A圖至第8J圖所揭露之內容,而每一個的DPIIC晶片410可以參考如第9圖所揭露之內容。此外,標準商業化FPGAIC晶片200、DPIIC晶片410、專用I/O晶片265、NVMIC晶片250、專用控制晶片260還可以參考如第11A圖所揭露之內容。Therefore, in the tenth type logical driver 300, the GPU chip 269a can cooperate with the HBMIC chip 251 to perform high-speed, high-bandwidth parallel processing and/or parallel computing. Referring to FIG. 11M , each of the standard commercial FPGAIC chips 200 can refer to the content disclosed in FIGS. 8A to 8J , and each of the DPIIC chips 410 can refer to the content disclosed in FIG. 9 . In addition, standard commercial FPGAIC chip 200, DPIIC chip 410, dedicated I/O chip 265, NVMIC chip 250, dedicated control chip 260 can also refer to the contents disclosed in FIG. 11A.

XI.第十一型之邏輯驅動器XI. Logic driver of the eleventh type

第11N圖係為根據本申請案之實施例所繪示之第十一型標準商業化邏輯驅動器之上視示意圖。針對繪示於第11A圖至第11N圖中的相同標號所指示的元件,繪示於第11N圖中的該元件可以參考該元件於第11A圖至第11M圖中的說明。請參見第11N圖,第十一型標準商業化邏輯驅動器300封裝有如上所述的PCIC晶片269,例如是多個的TPU晶片269c及一個的CPU晶片269b。再者,標準商業化邏輯驅動器300還封裝有多個的HBMIC晶片251,其每一個係相鄰於其中一個的TPU晶片269c,用於與該其中一個的TPU晶片269c進行高速與高頻寬的資料傳輸。在標準商業化邏輯驅動器300中,每一個的HBMIC晶片251可以是高速高頻寬的動態隨機存取記憶體(DRAM)晶片、高速高頻寬的靜態隨機存取記憶體(SRAM)晶片、磁阻式隨機存取記憶體(MRAM)晶片或電阻式隨機存取記憶體(RRAM)晶片。標準商業化邏輯驅動器300還封裝有複數個標準商業化FPGAIC晶片200及一或多個的NVMIC晶片250,NVMIC晶片250係以非揮發性的方式儲存用於編程FPGAIC晶片200之可編程邏輯區塊201及交叉點開關379之結果值或編程碼及儲存用於編程DPIIC晶片410之交叉點開關379之編程碼,如第6A圖至第9圖所揭露之內容。CPU晶片269b、專用控制晶片260、標準商業化FPGAIC晶片200、TPU晶片269c、NVMIC晶片250及HBMIC晶片251係在邏輯驅動器300中排列成矩陣的形式,其中CPU晶片269b及專用控制晶片260係設在其中間區域,被容置有標準商業化FPGAIC晶片200、TPU晶片269c、NVMIC晶片250及HBMIC晶片251之周邊區域環繞。FIG. 11N is a schematic top view of an eleventh type standard commercial logic driver according to an embodiment of the present application. For the elements indicated by the same reference numerals shown in FIGS. 11A to 11N, the elements shown in FIG. 11N may refer to the descriptions of the elements in FIGS. 11A to 11M. Referring to FIG. 11N, the eleventh type standard commercial logic driver 300 is packaged with the above-mentioned PCIC chips 269, such as a plurality of TPU chips 269c and one CPU chip 269b. Furthermore, the standard commercial logic driver 300 is also packaged with a plurality of HBMIC chips 251, each of which is adjacent to one of the TPU chips 269c for high-speed and high-bandwidth data transmission with the one of the TPU chips 269c. . In a standard commercial logic drive 300, each HBMIC chip 251 may be a high-speed high-bandwidth dynamic random access memory (DRAM) chip, a high-speed high-bandwidth static random access memory (SRAM) chip, a magnetoresistive random access memory Take a memory (MRAM) chip or a resistive random access memory (RRAM) chip. The standard commercial logic driver 300 also encapsulates a plurality of standard commercial FPGAIC chips 200 and one or more NVMIC chips 250. The NVMIC chips 250 store programmable logic blocks for programming the FPGAIC chips 200 in a non-volatile manner 201 and the result value or programming code of the crosspoint switch 379 and storing the programming code for programming the crosspoint switch 379 of the DPIIC chip 410, as disclosed in FIGS. 6A-9. The CPU chip 269b, the dedicated control chip 260, the standard commercial FPGAIC chip 200, the TPU chip 269c, the NVMIC chip 250 and the HBMIC chip 251 are arranged in a matrix in the logic driver 300, wherein the CPU chip 269b and the dedicated control chip 260 are arranged in a matrix. In its middle area, it is surrounded by a peripheral area housing the standard commercial FPGAIC die 200, TPU die 269c, NVMIC die 250 and HBMIC die 251.

請參見第11N圖,第十一型標準商業化邏輯驅動器300包括晶片間交互連接線371,可以在標準商業化FPGAIC晶片200、NVMIC晶片250、專用控制晶片260、TPU晶片269c、CPU晶片269b及HBMIC晶片251其中相鄰的兩個之間。標準商業化邏輯驅動器300可以包括複數個DPIIC晶片410,對準於垂直延伸之一束晶片間交互連接線371及水平延伸之一束晶片間交互連接線371之交叉點處。每一DPIIC晶片410係設在標準商業化FPGAIC晶片200、NVMIC晶片250、專用控制晶片260、TPU晶片269c、CPU晶片269b及HBMIC晶片251其中四個的周圍及該其中四個的角落處。每一晶片間交互連接線371可以是如第7A圖至第7C圖及所描述之可編程交互連接線361或固定交互連接線364,並可參見前述之“可編程交互連接線之說明”及“固定交互連接線之說明”。訊號之傳輸可以(1)經由標準商業化FPGAIC晶片200之小型I/O電路203,在晶片間交互連接線371之可編程交互連接線361與標準商業化FPGAIC晶片200之晶片內交互連接線502之可編程交互連接線361之間進行;或者(2)經由DPIIC晶片410之小型I/O電路203,在晶片間交互連接線371之可編程交互連接線361與DPIIC晶片410之晶片內交互連接線之可編程交互連接線361之間進行。訊號之傳輸可以(1)經由標準商業化FPGAIC晶片200之小型I/O電路203,在晶片間交互連接線371之固定交互連接線364與標準商業化FPGAIC晶片200之晶片內交互連接線502之固定交互連接線364之間進行;或者(2)經由DPIIC晶片410之小型I/O電路203,在晶片間交互連接線371之固定交互連接線364與DPIIC晶片410之晶片內交互連接線之固定交互連接線364之間進行。Referring to FIG. 11N, the eleventh type of standard commercialized logic driver 300 includes inter-chip interconnection lines 371, which can be connected between standard commercialized FPGAIC chip 200, NVMIC chip 250, dedicated control chip 260, TPU chip 269c, CPU chip 269b and Between two adjacent HBMIC wafers 251 . A standard commercial logic driver 300 may include a plurality of DPIIC dies 410 aligned at the intersection of a vertically extending bundle of inter-die interconnect lines 371 and a horizontally extending bundle of inter-die interconnect lines 371 . Each DPIIC die 410 is disposed around and at the corners of four of the standard commercial FPGAIC die 200, NVMIC die 250, dedicated control die 260, TPU die 269c, CPU die 269b, and HBMIC die 251. Each inter-chip interconnection line 371 may be a programmable interconnection line 361 or a fixed interconnection line 364 as described in FIGS. 7A to 7C , and see the aforementioned “Description of Programmable Interconnection Lines” and "Description of Fixed Interconnect Lines". Signals can be transmitted (1) via the small I/O circuits 203 of the standard commercial FPGAIC chip 200 , the programmable interconnect wires 361 of the inter-chip interconnect wires 371 and the intra-chip interconnect wires 502 of the standard commercial FPGA IC chip 200 or (2) through the small I/O circuit 203 of the DPIIC chip 410, the programmable interconnection line 361 of the inter-chip interconnection line 371 and the in-chip interconnection of the DPIIC chip 410 Programmable interconnection of lines is performed between lines 361 . The transmission of the signal can be (1) through the small I/O circuit 203 of the standard commercial FPGAIC chip 200, between the fixed inter-chip interconnect 364 of the inter-chip interconnect 371 and the intra-chip interconnect 502 of the standard commercial FPGA IC chip 200. Or (2) through the small I/O circuit 203 of the DPIIC chip 410, the fixed interconnection line 364 of the inter-chip interconnection line 371 and the intra-chip interconnection line of the DPIIC chip 410 are fixed Interconnection between lines 364 takes place.

請參見第11N圖,每一個的標準商業化FPGAIC晶片200可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的DPIIC晶片410,每一個的標準商業化FPGAIC晶片200可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至專用控制晶片260,每一個的標準商業化FPGAIC晶片200可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的NVMIC晶片250,每一個的標準商業化FPGAIC晶片200可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的TPU晶片269c,每一個的標準商業化FPGAIC晶片200可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至CPU晶片269b,每一個的標準商業化FPGAIC晶片200可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的HBMIC晶片251,每一個的DPIIC晶片410可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至專用控制晶片260,每一個的DPIIC晶片410可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的NVMIC晶片250,每一個的DPIIC晶片410可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的TPU晶片269c,每一個的DPIIC晶片410可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至CPU晶片269b,每一個的DPIIC晶片410可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的HBMIC晶片251,CPU晶片269b可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的TPU晶片269c,CPU晶片269b可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的HBMIC晶片251,其中一個的TPU晶片269c可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至其中一個的HBMIC晶片251,且在該其中一個的TPU晶片269c與該其中一個的HBMIC晶片251之間所進行傳輸的資料位元寬度可以是大於或等於64、128、256、512、1024、2048、4096、8K或16K,每一個的NVMIC晶片250可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至專用控制晶片260,每一個的HBMIC晶片251可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至專用控制晶片260,每一個的TPU晶片269c可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至專用控制晶片260,CPU晶片269b可以透過一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至專用控制晶片260。Referring to FIG. 11N, each standard commercial FPGAIC chip 200 can be coupled to all DPIIC chips 410 through one or more programmable interconnect lines 361 or fixed interconnect lines 364 of one or more inter-die interconnect lines 371. A standard commercial FPGA IC chip 200 may be coupled to a dedicated control chip 260 through one or more inter-chip interconnect lines 371, programmable interconnect lines 361 or fixed interconnect lines 364, each of the standard commercial FPGA IC chips 200 Can be coupled to all NVMIC chips 250 through one or more programmable interconnects 361 of inter-chip interconnects 371 or fixed interconnects 364, and each standard commercial FPGAIC chip 200 can be coupled to all NVMIC chips 200 through one or more chips The programmable interconnection lines 361 or the fixed interconnection lines 364 of the inter-chip interconnection lines 371 are coupled to all TPU chips 269c, and each standard commercial FPGAIC chip 200 can be connected by one or more inter-die interconnection lines 371. Programmable interconnection lines 361 or fixed interconnection lines 364 are coupled to CPU chip 269b, and each standard commercial FPGAIC chip 200 can be interconnected through programmable interconnection lines 361 or fixed interconnection lines 371 through one or more inter-chip interconnection lines 371 The line 364 is coupled to all the HBMIC chips 251, and each DPIIC chip 410 can be coupled to the dedicated control chip 260 through the programmable interconnect line 361 or the fixed interconnect line 364 of one or more inter-die interconnect lines 371, Each DPIIC chip 410 can be coupled to all NVMIC chips 250 through one or more programmable interconnect lines 361 or fixed interconnect lines 364 of one or more inter-die interconnect lines 371 , and each DPIIC chip 410 can be coupled to all NVMIC chips 250 through one or more inter-die interconnect lines 371 or fixed interconnect lines 364 The programmable interconnection lines 361 or the fixed interconnection lines 364 of the plurality of inter-die interconnection lines 371 are coupled to all the TPU chips 269c, and each DPIIC chip 410 can be connected through one or more of the inter-die interconnection lines 371. The programming interconnection line 361 or the fixed interconnection line 364 is coupled to the CPU chip 269b, and each DPIIC chip 410 can be coupled through the programmable interconnection line 361 or the fixed interconnection line 364 of one or more inter-chip interconnection lines 371 Connected to all the HBMIC chips 251, the CPU chip 269b can be coupled to all the TPU chips 269c through one or more programmable interconnection lines 361 or fixed interconnection lines 364 of one or more inter-chip interconnection lines 371, and the CPU chip 269b can be connected to all the TPU chips 269c through The programmable interconnection lines 361 or the fixed interconnection lines 364 of the one or more inter-die interconnection lines 371 are coupled to all the HBMIC chips 251 , and one of the TPU chips 269c can pass through the one or more inter-die interconnection lines 371 It can be The programming interconnect 361 or the fixed interconnect 364 is coupled to one of the HBMIC chips 251, and the data bit width transmitted between the one of the TPU chips 269c and the one of the HBMIC chips 251 may be Greater than or equal to 64, 128, 256, 512, 1024, 2048, 4096, 8K or 16K, each NVMIC chip 250 can be interconnected through one or more programmable interconnect lines 361 or fixed interconnect lines 371 The line 364 is coupled to the dedicated control chip 260, and each HBMIC chip 251 can be coupled to the dedicated control chip 260 through one or more programmable interconnect lines 361 or fixed interconnect lines 364 of one or more inter-chip interconnect lines 371. A TPU chip 269c can be coupled to the dedicated control chip 260 through one or more programmable interconnect lines 361 or fixed interconnect lines 364, and the CPU chip 269b can communicate with one or more chips. The programmable interconnection lines 361 or the fixed interconnection lines 364 of the connection lines 371 are coupled to the dedicated control chip 260 .

請參見第11N圖,邏輯驅動器300可以包括多個專用I/O晶片265,位在邏輯驅動器300之周圍區域,其係環繞邏輯驅動器300之中間區域,其中邏輯驅動器300之中間區域係容置有標準商業化FPGAIC晶片200、NVMIC晶片321、專用控制晶片260、TPU晶片269c、CPU晶片269b、HBMIC晶片251及DPIIC晶片410。每一個的標準商業化FPGAIC晶片200可以經由一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的專用I/O晶片265,每一個的DPIIC晶片410可以經由一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的專用I/O晶片265,每一個的NVMIC晶片321可以經由一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的專用I/O晶片265,專用控制晶片260可以經由一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的專用I/O晶片265,每一個的TPU晶片269c可以經由一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的專用I/O晶片265,CPU晶片269b可以經由一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的專用I/O晶片265,每一個的HBMIC晶片251可以經由一或多條晶片間交互連接線371之可編程交互連接線361或固定交互連接線364耦接至全部的專用I/O晶片265。Referring to FIG. 11N, the logical driver 300 may include a plurality of dedicated I/O chips 265 located in the surrounding area of the logical driver 300, which surrounds the middle area of the logical driver 300, wherein the middle area of the logical driver 300 accommodates the Standard commercial FPGAIC die 200, NVMIC die 321, dedicated control die 260, TPU die 269c, CPU die 269b, HBMIC die 251, and DPIIC die 410. Each standard commercial FPGAIC die 200 may be coupled to all of the dedicated I/O die 265 via one or more inter-die interconnects 371, programmable interconnects 361 or fixed interconnects 364, each DPIIC Die 410 can be coupled to all of the dedicated I/O die 265 via one or more programmable interconnect lines 361 or fixed interconnect lines 364 of inter-die interconnect lines 371, and each NVMIC die 321 can be coupled to all of the dedicated I/O die 265 via one or more The programmable interconnect lines 361 or the fixed interconnect lines 364 of the inter-die interconnect lines 371 are coupled to all the dedicated I/O chips 265, and the dedicated control chips 260 can be connected via one or more inter-die interconnect lines 371. Programmable interconnect lines 361 or fixed interconnect lines 364 are coupled to all dedicated I/O chips 265, and each TPU chip 269c can be connected via programmable interconnect lines 361 or fixed through one or more inter-die interconnect lines 371 Interconnect lines 364 are coupled to all dedicated I/O chips 265, and CPU chip 269b may be coupled to all dedicated I/O chips 269 via programmable interconnect lines 361 or fixed interconnect lines 364 of one or more inter-die interconnect lines 371 I/O chips 265, each HBMIC chip 251 can be coupled to all dedicated I/O chips 265 via programmable interconnects 361 or fixed interconnects 364 of one or more inter-die interconnects 371.

因此,在第十一型邏輯驅動器300中,TPU晶片269c可以與HBMIC晶片251配合運作,進行高速、高頻寬的平行處理及/或平行運算。請參見第11N圖,每一個的標準商業化FPGAIC晶片200可以參考如第8A圖至第8J圖所揭露之內容,而每一個的DPIIC晶片410可以參考如第9圖所揭露之內容。此外,標準商業化FPGAIC晶片200、DPIIC晶片410、專用I/O晶片265、NVMIC晶片250、專用控制晶片260還可以參考如第11A圖所揭露之內容。Therefore, in the eleventh type logic driver 300, the TPU chip 269c can cooperate with the HBMIC chip 251 to perform high-speed, high-bandwidth parallel processing and/or parallel computing. Referring to FIG. 11N, each standard commercial FPGAIC chip 200 can refer to the content disclosed in FIGS. 8A to 8J, and each DPIIC chip 410 can refer to the content disclosed in FIG. 9. FIG. In addition, standard commercial FPGAIC chip 200, DPIIC chip 410, dedicated I/O chip 265, NVMIC chip 250, dedicated control chip 260 can also refer to the contents disclosed in FIG. 11A.

綜上所述,請參見第11F圖至第11N圖,當標準商業化FPGAIC晶片200之可編程交互連接線361及DPIIC晶片410之可編程交互連接線361經編程之後,經編程後之可編程交互連接線361可同時配合標準商業化FPGAIC晶片200之固定交互連接線364及DPIIC晶片410之固定交互連接線364針對特定的應用提供特定的功能。在相同的邏輯驅動器300中,標準商業化FPGAIC晶片200可同時配合例如是GPU晶片、CPU晶片、TPU晶片或DSP晶片之PCIC晶片269之運作針對下列應用提供強大的功能及運算:人工智能(AI)、機器學習、深入學習、大數據、物聯網(IOT)、虛擬現實(VR)、增強現實(AR)、無人駕駛汽車電子、圖形處理(GP)、數字信號處理(DSP)、微控制(MC)及/或中央處理(CP)等。To sum up, please refer to FIGS. 11F to 11N , when the programmable interconnect lines 361 of the standard commercial FPGAIC chip 200 and the programmable interconnect lines 361 of the DPIIC chip 410 are programmed, the programmable interconnect lines after programming The interconnection lines 361 can cooperate with the fixed interconnection lines 364 of the standard commercial FPGAIC chip 200 and the fixed interconnection lines 364 of the DPIIC chip 410 to provide specific functions for specific applications. In the same logic driver 300, the standard commercial FPGAIC chip 200 can simultaneously cooperate with the operation of the PCIC chip 269 such as a GPU chip, a CPU chip, a TPU chip or a DSP chip to provide powerful functions and operations for the following applications: artificial intelligence (AI) ), Machine Learning, Deep Learning, Big Data, Internet of Things (IOT), Virtual Reality (VR), Augmented Reality (AR), Autonomous Vehicle Electronics, Graphics Processing (GP), Digital Signal Processing (DSP), Microcontroller ( MC) and/or central processing (CP), etc.

邏輯驅動器之交互連接Interconnection of logical drives

第12A圖至第12C圖係為根據本申請案之實施例所繪示之在邏輯驅動器中各種連接形式之示意圖。請參見第12A圖至第12C圖,方塊250係代表在如第11A圖至第11N圖所繪示之邏輯驅動器300中NVMIC晶片250之組合,二方塊200係代表在如第11A圖至第11N圖所繪示之邏輯驅動器300中二不同群組之標準商業化FPGAIC晶片200,方塊410係代表在如第11A圖至第11N圖所繪示之邏輯驅動器300中DPIIC晶片410之組合,方塊265係代表在如第11A圖至第11N圖所繪示之邏輯驅動器300中專用I/O晶片265之組合,方塊360係代表在如第11A圖至第11N圖所繪示之邏輯驅動器300中專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268。12A to 12C are schematic diagrams of various connection forms in a logical driver according to an embodiment of the present application. Please refer to FIGS. 12A to 12C, the block 250 represents the combination of the NVMIC chips 250 in the logic driver 300 as shown in FIGS. 11A to 11N, and the two blocks 200 represent the combination of the NVMIC chips 250 as shown in FIGS. 11A to 11N Two different groups of standard commercial FPGAIC chips 200 are shown in logic driver 300, block 410 represents the combination of DPIIC chips 410 in logic driver 300 as shown in FIGS. 11A-11N, block 265 represents the combination of dedicated I/O chips 265 in the logical driver 300 as shown in Figures 11A-11N, and the block 360 represents the combination of the dedicated I/O chips 265 in the logical driver 300 as shown in Figures 11A-11N Control die 260 , dedicated control and I/O die 266 , DCIAC die 267 or DCDI/OIAC die 268 .

請參見第11A圖至第11N圖及第12A圖至第12C圖,NVMIC晶片250可以從位在邏輯驅動器300之外的外部電路271載入結果值或第一編程碼,使得經由晶片間交互連接線371之固定交互連接線364及標準商業化FPGAIC晶片200之晶片內交互連接線502之固定交互連接線364可以將該結果值或第一編程碼由NVMIC晶片250傳送至標準商業化FPGAIC晶片200之記憶單元490,用以編程標準商業化FPGAIC晶片200之可編程邏輯區塊201,如第6A圖所揭露之內容。NVMIC晶片250可以從位在邏輯驅動器300之外的外部電路271載入第二編程碼,使得經由晶片間交互連接線371之固定交互連接線364及標準商業化FPGAIC晶片200之晶片內交互連接線502之固定交互連接線364可以將該第二編程碼由NVMIC晶片250傳送至標準商業化FPGAIC晶片200之記憶單元362,用以編程標準商業化FPGAIC晶片200之通過/不通開關258及/或交叉點開關379,如第2A圖至第2F圖、第3A圖至第3D圖及第7A圖至第7C圖所揭露之內容。NVMIC晶片250可以從位在邏輯驅動器300之外的外部電路271載入第三編程碼,使得經由晶片間交互連接線371之固定交互連接線364及DPIIC晶片410之晶片內交互連接線之固定交互連接線364可以將該第三編程碼由NVMIC晶片250傳送至DPIIC晶片410之記憶單元362,用以編程DPIIC晶片410之通過/不通開關258及/或交叉點開關379,如第2A圖至第2F圖、第3A圖至第3D圖及第7A圖至第7C圖所揭露之內容。在一實施例中,位在邏輯驅動器300之外的外部電路271並不允許由在邏輯驅動器300中任何的NVMIC晶片250載入上述的結果值、第一編程碼、第二編程碼及第三編程碼;或者在其他實施例中,則可允許位在邏輯驅動器300之外的外部電路271由在邏輯驅動器300中的NVMIC晶片250載入上述的結果值、第一編程碼、第二編程碼及第三編程碼。Referring to FIGS. 11A to 11N and FIGS. 12A to 12C, the NVMIC chip 250 can load the result value or the first programming code from the external circuit 271 located outside the logic driver 300, so that the inter-chip interconnection The fixed cross-connect line 364 of line 371 and the fixed cross-connect line 364 of the on-chip cross-connect line 502 of the standard commercial FPGAIC chip 200 can transmit the result value or the first programming code from the NVMIC chip 250 to the standard commercial FPGAIC chip 200 The memory cell 490 is used to program the programmable logic block 201 of the standard commercial FPGAIC chip 200, as disclosed in FIG. 6A. The NVMIC chip 250 can load the second programming code from the external circuit 271 located outside the logic driver 300 so that the fixed interconnect 364 of the inter-die interconnect 371 and the intra-chip interconnect of the standard commercial FPGAIC chip 200 The fixed interconnect line 364 of 502 can transmit the second programming code from the NVMIC chip 250 to the memory unit 362 of the standard commercial FPGAIC chip 200 for programming the pass/fail switches 258 and/or crossovers of the standard commercial FPGAIC chip 200 Click the switch 379, as disclosed in Figs. 2A to 2F, Figs. 3A to 3D, and Figs. 7A to 7C. The NVMIC chip 250 can load the third programming code from the external circuit 271 located outside the logic driver 300 , so that the fixed interconnection lines 364 of the inter-die interconnection lines 371 and the in-chip interconnection lines of the DPIIC chip 410 are interconnected The connection line 364 can transmit the third programming code from the NVMIC chip 250 to the memory unit 362 of the DPIIC chip 410 for programming the pass/no pass switch 258 and/or the cross point switch 379 of the DPIIC chip 410, as shown in Figs. The contents disclosed in Figure 2F, Figure 3A to Figure 3D, and Figure 7A to Figure 7C. In one embodiment, the external circuit 271 located outside the logic driver 300 does not allow the above-mentioned result value, the first programming code, the second programming code and the third programming code to be loaded by any NVMIC chip 250 in the logic driver 300. programming code; or in other embodiments, the external circuit 271 located outside the logic driver 300 may be allowed to load the above-mentioned result value, the first programming code, and the second programming code from the NVMIC chip 250 in the logic driver 300 and the third programming code.

I.邏輯驅動器之第一型交互連接架構I. Type 1 Interconnection Architecture of Logical Drives

請參見第11A圖至第11N圖及第12A圖,每一個的專用I/O晶片265之小型I/O電路203可以經由一或多條晶片間交互連接線371之可編程交互連接線361耦接至全部的標準商業化FPGAIC晶片200之小型I/O電路203,每一個的專用I/O晶片265之小型I/O電路203可以經由一或多條晶片間交互連接線371之可編程交互連接線361耦接至全部的DPIIC晶片410之小型I/O電路203,每一個的專用I/O晶片265之小型I/O電路203可以經由一或多條晶片間交互連接線371之可編程交互連接線361耦接至其他全部的專用I/O晶片265之小型I/O電路203,每一個的專用I/O晶片265之小型I/O電路203可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至全部的標準商業化FPGAIC晶片200之小型I/O電路203,每一個的專用I/O晶片265之小型I/O電路203可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至全部的DPIIC晶片410之小型I/O電路203,每一個的專用I/O晶片265之小型I/O電路203可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至其他全部的專用I/O晶片265之小型I/O電路203。Referring to FIGS. 11A to 11N and 12A, the small I/O circuits 203 of each dedicated I/O chip 265 may be coupled via the programmable interconnect lines 361 of one or more inter-die interconnect lines 371 Small I/O circuits 203 connected to all standard commercial FPGA IC chips 200, the small I/O circuits 203 of each dedicated I/O chip 265 can be programmed to interact via one or more inter-chip interconnect lines 371 The connection lines 361 are coupled to the small I/O circuits 203 of all DPIIC chips 410, and the small I/O circuits 203 of each dedicated I/O chip 265 can be programmed via one or more inter-chip interconnection lines 371. The interconnect line 361 is coupled to the small I/O circuits 203 of all other dedicated I/O chips 265, and the small I/O circuits 203 of each dedicated I/O chip 265 can be interconnected via one or more inter-chips The fixed interconnection lines 364 of line 371 are coupled to the small I/O circuits 203 of all standard commercial FPGAIC chips 200, the small I/O circuits 203 of each dedicated I/O chip 265 can be via one or more chips The fixed interconnection lines 364 of the interconnection lines 371 are coupled to the miniature I/O circuits 203 of all DPIIC chips 410, and the miniature I/O circuits 203 of each dedicated I/O chip 265 may pass through one or more chips The fixed interconnection lines 364 of the interconnection lines 371 are coupled to the small I/O circuits 203 of all other dedicated I/O chips 265 .

請參見第11A圖至第11N圖及第12A圖,每一個的DPIIC晶片410之小型I/O電路203可以經由一或多條晶片間交互連接線371之可編程交互連接線361耦接至全部的標準商業化FPGAIC晶片200之小型I/O電路203,每一個的DPIIC晶片410之小型I/O電路203可以經由一或多條晶片間交互連接線371之可編程交互連接線361耦接至其他全部的DPIIC晶片410之小型I/O電路203,每一個的DPIIC晶片410之小型I/O電路203可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至全部的標準商業化FPGAIC晶片200之小型I/O電路203,每一個的DPIIC晶片410之小型I/O電路203可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至其他全部的DPIIC晶片410之小型I/O電路203。Referring to FIGS. 11A to 11N and 12A, the small I/O circuits 203 of each DPIIC chip 410 can be coupled to all of them via the programmable interconnect lines 361 of one or more inter-die interconnect lines 371 The small I/O circuits 203 of the standard commercial FPGAIC chips 200, the small I/O circuits 203 of each DPIIC chip 410 can be coupled to the The small I/O circuits 203 of all other DPIIC chips 410 , the small I/O circuits 203 of each DPIIC chip 410 may be coupled to all the The small I/O circuits 203 of standard commercial FPGAIC chips 200, the small I/O circuits 203 of each DPIIC chip 410 can be coupled to all the others via the fixed interconnection lines 364 of one or more inter-die interconnection lines 371 The small I/O circuit 203 of the DPIIC chip 410.

請參見第11A圖至第11N圖及第12A圖,每一個的標準商業化FPGAIC晶片200之小型I/O電路203可以經由一或多條晶片間交互連接線371之可編程交互連接線361耦接至其他全部的標準商業化FPGAIC晶片200之小型I/O電路203,每一個的標準商業化FPGAIC晶片200之小型I/O電路203可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至其他全部的標準商業化FPGAIC晶片200之小型I/O電路203。Referring to FIGS. 11A-11N and 12A, the small I/O circuits 203 of each of the standard commercial FPGAIC chips 200 can be coupled via the programmable interconnect lines 361 of one or more inter-die interconnect lines 371 Small I/O circuits 203 connected to all other standard commercial FPGA IC chips 200, each of which can interact via fixed interaction of one or more inter-chip interconnect lines 371 Connection lines 364 are coupled to all other small I/O circuits 203 of standard commercial FPGA IC chips 200 .

請參見第11A圖至第11N圖及第12A圖,控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268之小型I/O電路203可以經由一或多條晶片間交互連接線371之可編程交互連接線361耦接至全部的標準商業化FPGAIC晶片200之小型I/O電路203,控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268之小型I/O電路203可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至全部的標準商業化FPGAIC晶片200之小型I/O電路203,控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268之小型I/O電路203可以經由一或多條晶片間交互連接線371之可編程交互連接線361耦接至全部的DPIIC晶片410之小型I/O電路203,控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268之小型I/O電路203可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至全部的DPIIC晶片410之小型I/O電路203,控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268之大型I/O電路341可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至全部的NVMIC晶片250之大型I/O電路341,控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268之大型I/O電路341可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至全部的專用I/O晶片265之大型I/O電路341,控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268之大型I/O電路341可以耦接至位在邏輯驅動器300之外的外部電路271。Please refer to FIG. 11A to FIG. 11N and FIG. 12A , the small I/O circuit 203 of the dedicated control chip 260 represented by the control block 360 , the dedicated control and I/O chip 266 , the DCIAC chip 267 or the DCDI/OIAC chip 268 The small I/O circuits 203 of all standard commercial FPGA IC chips 200 can be coupled to the small I/O circuits 203 of all standard commercial FPGA IC chips 200 via the programmable interconnect wires 361 of one or more inter-chip interconnect wires 371, the dedicated control chip 260 represented by the control block 360, the dedicated The small I/O circuits 203 of the control and I/O chip 266, DCIAC chip 267, or DCDI/OIAC chip 268 may be coupled to all standard commercial The small I/O circuit 203 of the FPGAIC chip 200, the dedicated control chip 260 represented by the control block 360, the dedicated control and I/O chip 266, the small I/O circuit 203 of the DCIAC chip 267, or the DCDI/OIAC chip 268 can pass through a The programmable interconnect lines 361 of the or multiple inter-chip interconnect lines 371 are coupled to the small I/O circuits 203 of all the DPIIC chips 410, the dedicated control chips 260, the dedicated control and I/O chips represented by the control block 360 266. The small I/O circuits 203 of the DCIAC chip 267 or the DCDI/OIAC chip 268 can be coupled to all the small I/O circuits of the DPIIC chip 410 via the fixed interconnection lines 364 of one or more inter-die interconnection lines 371 203. The large-scale I/O circuit 341 of the dedicated control chip 260, the dedicated control and I/O chip 266, the DCIAC chip 267 or the DCDI/OIAC chip 268 represented by the control block 360 can be connected via one or more inter-chip interconnect lines 371 The fixed interconnect line 364 is coupled to the large I/O circuits 341 of all the NVMIC chips 250, the dedicated control chip 260, the dedicated control and I/O chip 266, the DCIAC chip 267 or the DCDI/OIAC chip represented by the control block 360 The large I/O circuits 341 of 268 may be coupled to the large I/O circuits 341 of all dedicated I/O chips 265 via the fixed interconnect lines 364 of one or more inter-die interconnect lines 371 , as represented by the control block 360 The dedicated control chip 260 , dedicated control and I/O chip 266 , DCIAC chip 267 , or large I/O circuit 341 of the DCDI/OIAC chip 268 may be coupled to external circuits 271 located outside the logic driver 300 .

請參見第11A圖至第11N圖及第12A圖,每一個的專用I/O晶片265之大型I/O電路341可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至全部的NVMIC晶片250之大型I/O電路341,每一個的專用I/O晶片265之大型I/O電路341可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至其他全部的專用I/O晶片265之大型I/O電路341,每一個的專用I/O晶片265之大型I/O電路341可以耦接至位在邏輯驅動器300之外的外部電路271。Referring to FIGS. 11A to 11N and 12A, the large I/O circuits 341 of each dedicated I/O chip 265 may be coupled via fixed interconnection lines 364 of one or more inter-die interconnection lines 371 To the large I/O circuits 341 of all NVMIC chips 250 , the large I/O circuits 341 of each dedicated I/O chip 265 may be coupled via the fixed interconnects 364 of one or more inter-die interconnects 371 To the large I/O circuits 341 of all other special purpose I/O chips 265 , the large I/O circuits 341 of each special purpose I/O chip 265 may be coupled to external circuits 271 located outside the logic driver 300 .

請參見第11A圖至第11N圖及第12A圖,每一個的NVMIC晶片250之大型I/O電路341可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至其他全部的NVMIC晶片250之大型I/O電路341,每一個的NVMIC晶片250之大型I/O電路341可以耦接至位在邏輯驅動器300之外的外部電路271。在本實施例之邏輯驅動器300中,每一個的NVMIC晶片250並不具有輸入電容、輸出電容、驅動能力或驅動負荷小於2pF之I/O電路,而具有如第5A圖所描述之大型I/O電路341,進行上述的耦接。每一個的NVMIC晶片250可以經由一或多個的專用I/O晶片265傳送資料至全部的標準商業化FPGAIC晶片200,每一個的NVMIC晶片250可以經由一或多個的專用I/O晶片265傳送資料至全部的DPIIC晶片410,每一個的NVMIC晶片250並不可以在不經由專用I/O晶片265之情況下傳送資料至標準商業化FPGAIC晶片200,每一個的NVMIC晶片250並不可以在不經由專用I/O晶片265之情況下傳送資料至DPIIC晶片410。Referring to FIGS. 11A to 11N and 12A, the large I/O circuits 341 of each NVMIC chip 250 can be coupled to all the others via the fixed interconnection lines 364 of one or more inter-die interconnection lines 371 The large I/O circuits 341 of the NVMIC chips 250 , each of which may be coupled to an external circuit 271 located outside the logic driver 300 . In the logic driver 300 of the present embodiment, each NVMIC chip 250 does not have an I/O circuit with an input capacitance, an output capacitance, a driving capability or a driving load of less than 2 pF, but has a large I/O circuit as described in FIG. 5A . The O circuit 341 performs the above-mentioned coupling. Each NVMIC chip 250 can transmit data to all standard commercial FPGAIC chips 200 via one or more dedicated I/O chips 265 , and each NVMIC chip 250 can pass through one or more dedicated I/O chips 265 Transferring data to all DPIIC chips 410, each NVMIC chip 250 cannot transfer data to a standard commercial FPGAIC chip 200 without going through the dedicated I/O chip 265, and each NVMIC chip 250 cannot Data is transferred to DPIIC chip 410 without going through dedicated I/O chip 265 .

(1)用於編程記憶單元之交互連接線路(1) Interactive connection lines for programming memory cells

請參見第11A圖至第11N圖及第12A圖,在一實施例中,控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268可以產生一控制指令傳送至其大型I/O電路341,以驅動該控制指令經由一或多條晶片間交互連接線371之固定交互連接線364傳送至其中一個的NVMIC晶片250之第一個的大型I/O電路341。針對該其中一個的NVMIC晶片250,其第一個的大型I/O電路341可以驅動該控制指令至其內部電路,以命令其內部電路傳送編程碼至其第二個的大型I/O電路341,其第二個的大型I/O電路341可以驅動編程碼經由一或多條晶片間交互連接線371之固定交互連接線364傳送至其中一個的專用I/O晶片265之大型I/O電路341。針對該其中一個的專用I/O晶片265,其大型I/O電路341可以驅動編程碼至其小型I/O電路203,其小型I/O電路203可以驅動編程碼經由一或多條晶片間交互連接線371之固定交互連接線364傳送至其中一個的DPIIC晶片410之小型I/O電路203。針對該其中一個的DPIIC晶片410,其小型I/O電路203可以驅動編程碼經由一或多條其晶片內交互連接線之固定交互連接線364傳送至其記憶陣列區塊423中其中一個的其記憶單元362,如第9圖所描述之內容,使得編程碼可以儲存於該其中一個的其記憶單元362中,用以編程其通過/不通開關258及/或交叉點開關379,如第2A圖至第2F圖、第3A圖至第3D圖及第7A圖至第7C圖所描述之內容。Referring to FIGS. 11A to 11N and 12A, in one embodiment, the dedicated control chip 260, the dedicated control and I/O chip 266, the DCIAC chip 267, or the DCDI/OIAC chip 268 represented by the control block 360 can be Generates a control command and transmits it to its large I/O circuit 341 to drive the control command to be transmitted to the first large one of the NVMIC chips 250 via the fixed interconnection line 364 of one or more inter-die interconnection lines 371 I/O circuit 341 . For one of the NVMIC chips 250 , the first large I/O circuit 341 can drive the control command to its internal circuit to instruct its internal circuit to transmit programming codes to its second large I/O circuit 341 , the second large-scale I/O circuit 341 can drive the programming code to be transmitted to the large-scale I/O circuit of one of the dedicated I/O chips 265 via the fixed interconnection line 364 of one or more inter-chip interconnection lines 371 341. For one of the dedicated I/O chips 265, its large I/O circuit 341 can drive the programming code to its small I/O circuit 203, and its small I/O circuit 203 can drive the programming code through one or more inter-die The fixed interconnect 364 of the interconnect 371 is routed to the small I/O circuit 203 of one of the DPIIC chips 410 . For the one of the DPIIC chips 410, the small I/O circuit 203 thereof can drive the programming code to be transmitted to the other one of the memory array blocks 423 via one or more fixed interconnection lines 364 of its in-chip interconnection lines. Memory cell 362, as described in Figure 9, such that a programming code can be stored in one of its memory cells 362 for programming its pass/fail switch 258 and/or crosspoint switch 379, as shown in Figure 2A To the content described in Figure 2F, Figure 3A to Figure 3D, and Figure 7A to Figure 7C.

或者,請參見第11A圖至第11N圖及第12A圖,在另一實施例中,控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268可以產生一控制指令傳送至其大型I/O電路341,以驅動該控制指令經由一或多條晶片間交互連接線371之固定交互連接線364傳送至其中一個的NVMIC晶片250之第一個的大型I/O電路341。針對該其中一個的NVMIC晶片250,其第一個的大型I/O電路341可以驅動該控制指令至其內部電路,以命令其內部電路傳送編程碼至其第二個的大型I/O電路341,其第二個的大型I/O電路341可以驅動編程碼經由一或多條晶片間交互連接線371之固定交互連接線364傳送至其中一個的專用I/O晶片265之大型I/O電路341。針對該其中一個的專用I/O晶片265,其大型I/O電路341可以驅動編程碼至其小型I/O電路203,其小型I/O電路203可以驅動編程碼經由一或多條晶片間交互連接線371之固定交互連接線364傳送至其中一個的標準商業化FPGAIC晶片200之小型I/O電路203。針對該其中一個的標準商業化FPGAIC晶片200,其小型I/O電路203可以驅動編程碼經由一或多條其晶片內交互連接線502之固定交互連接線364傳送至其中一個的其記憶單元362,使得編程碼可以儲存於該其中一個的其記憶單元362中,用以編程其通過/不通開關258及/或交叉點開關379,如第2A圖至第2F圖、第3A圖至第3D圖及第7A圖至第7C圖所描述之內容。Alternatively, referring to FIGS. 11A to 11N and 12A, in another embodiment, the control block 360 represents the dedicated control chip 260, the dedicated control and I/O chip 266, the DCIAC chip 267 or the DCDI/OIAC The chip 268 can generate a control command and transmit it to its large I/O circuit 341 to drive the control command to be transmitted to the first one of one of the NVMIC chips 250 via the fixed interconnect 364 of the one or more inter-die interconnects 371 A large I/O circuit 341. For one of the NVMIC chips 250 , the first large I/O circuit 341 can drive the control command to its internal circuit to instruct its internal circuit to transmit programming codes to its second large I/O circuit 341 , the second large-scale I/O circuit 341 can drive the programming code to be transmitted to the large-scale I/O circuit of one of the dedicated I/O chips 265 via the fixed interconnection line 364 of one or more inter-chip interconnection lines 371 341. For one of the dedicated I/O chips 265, its large I/O circuit 341 can drive the programming code to its small I/O circuit 203, and its small I/O circuit 203 can drive the programming code through one or more inter-die The fixed interconnect 364 of the interconnect 371 is routed to the small I/O circuit 203 of one of the standard commercial FPGA IC chips 200 . For one of the standard commercial FPGA IC chips 200, its small I/O circuits 203 can drive programming codes to be transmitted to one of its memory cells 362 via one or more fixed interconnect lines 364 of its on-chip interconnect lines 502. , so that the programming code can be stored in one of its memory cells 362 for programming its pass/fail switch 258 and/or crosspoint switch 379, as shown in Figures 2A to 2F, and Figures 3A to 3D and what is described in Figures 7A to 7C.

或者,請參見第11A圖至第11N圖及第12A圖,在另一實施例中,控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268可以產生一控制指令傳送至其大型I/O電路341,以驅動該控制指令經由一或多條晶片間交互連接線371之固定交互連接線364傳送至其中一個的NVMIC晶片250之第一個的大型I/O電路341。針對該其中一個的NVMIC晶片250,其第一個的大型I/O電路341可以驅動該控制指令至其內部電路,以命令其內部電路傳送結果值或編程碼至其第二個的大型I/O電路341,其第二個的大型I/O電路341可以驅動結果值或編程碼經由一或多條晶片間交互連接線371之固定交互連接線364傳送至其中一個的專用I/O晶片265之大型I/O電路341。針對該其中一個的專用I/O晶片265,其大型I/O電路341可以驅動結果值或編程碼至其小型I/O電路203,其小型I/O電路203可以驅動結果值或編程碼經由一或多條晶片間交互連接線371之固定交互連接線364傳送至其中一個的標準商業化FPGAIC晶片200之小型I/O電路203。針對該其中一個的標準商業化FPGAIC晶片200,其小型I/O電路203可以驅動結果值或編程碼經由一或多條其晶片內交互連接線502之固定交互連接線364傳送至其中一個的其記憶單元490,使得結果值或編程碼可以儲存於該其中一個的其記憶單元490中,用以編程其可編程邏輯區塊201,如第6A圖所描述之內容。Alternatively, referring to FIGS. 11A to 11N and 12A, in another embodiment, the control block 360 represents the dedicated control chip 260, the dedicated control and I/O chip 266, the DCIAC chip 267 or the DCDI/OIAC The chip 268 can generate a control command and transmit it to its large I/O circuit 341 to drive the control command to be transmitted to the first one of one of the NVMIC chips 250 via the fixed interconnect 364 of the one or more inter-die interconnects 371 A large I/O circuit 341. For one of the NVMIC chips 250, the first large I/O circuit 341 can drive the control command to its internal circuit to command its internal circuit to transmit the result value or programming code to its second large I/O circuit 341. O circuit 341 , whose second large I/O circuit 341 can drive result values or programming codes to one of the dedicated I/O chips 265 via fixed interconnects 364 of one or more inter-die interconnects 371 The large I/O circuit 341. For one of the dedicated I/O chips 265, its large I/O circuit 341 can drive the result value or programming code to its small I/O circuit 203, and its small I/O circuit 203 can drive the result value or programming code via The fixed interconnects 364 of the one or more inter-die interconnects 371 are routed to the small I/O circuits 203 of one of the standard commercial FPGA IC chips 200 . For the one of the standard commercial FPGA IC chips 200, its small I/O circuits 203 can drive the result value or programming code to transmit the result value or programming code via one or more of its on-chip interconnect lines 502 fixed interconnect lines 364 to one of the other Memory cell 490 so that the result value or programming code can be stored in one of its memory cells 490 for programming its programmable logic block 201, as described in FIG. 6A.

(2)用於運作之交互連接線路(2) Interactive connection lines for operation

請參見第11A圖至第11N圖及第12A圖,在一實施例中,其中一個的專用I/O晶片265之大型I/O電路341可以驅動來自邏輯驅動器300之外的外部電路271之訊號至其小型I/O電路203,該其中一個的專用I/O晶片265之小型I/O電路203可以驅動該訊號經由一或多條晶片間交互連接線371之可編程交互連接線361傳送至其中一個的DPIIC晶片410之第一個的小型I/O電路203。針對該其中一個的DPIIC晶片410,其第一個的小型I/O電路203可以驅動該訊號經由其晶片內交互連接線之第一個的可編程交互連接線361傳送至其交叉點開關379,其交叉點開關379可以將該訊號由其晶片內交互連接線之第一個的可編程交互連接線361切換至其晶片內交互連接線之第二個的可編程交互連接線361進行傳送,以傳送至其第二個的小型I/O電路203,其第二個的小型I/O電路203可以驅動該訊號經由一或多條晶片間交互連接線371之可編程交互連接線361傳送至其中一個的標準商業化FPGAIC晶片200之小型I/O電路203。針對該其中一個的標準商業化FPGAIC晶片200,其小型I/O電路203可以驅動該訊號經由如第8G圖所繪示之其晶片內交互連接線502之第一組之可編程交互連接線361及繞道交互連接線279傳送至其交叉點開關379,其交叉點開關379可以將該訊號由其晶片內交互連接線502之第一組之可編程交互連接線361及繞道交互連接線279切換至其晶片內交互連接線502之第二組之可編程交互連接線361及繞道交互連接線279進行傳送,以傳送至其可編程邏輯區塊(LB)201之輸入A0-A3的其中一個,如第6A圖所描述之內容。Referring to FIGS. 11A to 11N and 12A, in one embodiment, the large I/O circuit 341 of one of the dedicated I/O chips 265 can drive signals from external circuits 271 other than the logic driver 300 To its small I/O circuit 203, the small I/O circuit 203 of the one of the dedicated I/O chips 265 can drive the signal to be sent to the The first small I/O circuit 203 of one of the DPIIC chips 410. For one of the DPIIC chips 410, the first small I/O circuit 203 can drive the signal to its cross-point switch 379 via the first programmable interconnect line 361 of its on-chip interconnect lines, Its cross-point switch 379 can switch the signal from the programmable interconnect line 361 of the first one of its on-chip interconnect lines to the programmable interconnect line 361 of the second one of its on-chip interconnect lines to transmit the signal to to its second mini-I/O circuit 203, which can drive the signal to it via programmable interconnect lines 361 of one or more inter-die interconnect lines 371 A small I/O circuit 203 of a standard commercial FPGA IC chip 200. For the one of the standard commercial FPGA IC chips 200, its small I/O circuits 203 can drive the signal through the programmable interconnect lines 361 of the first set of its on-chip interconnect lines 502 as shown in Figure 8G and the bypass interconnect 279 to its crosspoint switch 379, which can switch the signal from the programmable interconnect 361 and the bypass interconnect 279 of the first set of its on-chip interconnect 502 to the The programmable interconnect lines 361 and the bypass interconnect lines 279 of the second group of in-chip interconnect lines 502 are transmitted to one of the inputs A0-A3 of its programmable logic block (LB) 201, such as The content described in Figure 6A.

請參見第11A圖至第11N圖及第12A圖,在另一實施例中,第一個的標準商業化FPGAIC晶片200之可編程邏輯區塊(LB)201可以產生輸出Dout,如第6A圖所描述之內容,經由其晶片內交互連接線502之第一組之可編程交互連接線361及繞道交互連接線279可以傳送至其交叉點開關379,其交叉點開關379可以將該輸出Dout經由其晶片內交互連接線502之第一組之可編程交互連接線361及繞道交互連接線279切換至其晶片內交互連接線502之第二組之可編程交互連接線361及繞道交互連接線279進行傳送,以傳送至其小型I/O電路203,其小型I/O電路203可以驅動該輸出Dout經由一或多條之晶片間交互連接線371之可編程交互連接線361傳送至其中一個的DPIIC晶片410之第一個的小型I/O電路203。針對該其中一個的DPIIC晶片410,其第一個的小型I/O電路203可以驅動該輸出Dout經由其晶片內交互連接線之第一組之可編程交互連接線361傳送至其交叉點開關379,其交叉點開關379可以將該輸出Dout由其晶片內交互連接線之第一組之可編程交互連接線361切換至其晶片內交互連接線之第二組之可編程交互連接線361進行傳送,以傳送至其第二個的小型I/O電路203,其第二個的小型I/O電路203可以驅動該輸出Dout經由一或多條之晶片間交互連接線371之可編程交互連接線361傳送至第二個的標準商業化FPGAIC晶片200之小型I/O電路203。針對第二個的標準商業化FPGAIC晶片200,其小型I/O電路203可以驅動該輸出Dout經由如第8G圖所繪示之其晶片內交互連接線502之第一組之可編程交互連接線361及繞道交互連接線279傳送至其交叉點開關379,其交叉點開關379可以將該輸出Dout由其晶片內交互連接線502之第一組之可編程交互連接線361及繞道交互連接線279切換至其晶片內交互連接線502之第二組之可編程交互連接線361及繞道交互連接線279進行傳送,以傳送至其可編程邏輯區塊(LB)201之輸入A0-A3的其中一個,如第6A圖所描述之內容。Please refer to FIGS. 11A to 11N and 12A. In another embodiment, the programmable logic block (LB) 201 of the first standard commercialized FPGAIC chip 200 can generate the output Dout, as shown in FIG. 6A As described, programmable interconnect lines 361 and bypass interconnect lines 279 of the first set of interconnect lines 502 via its on-chip can be communicated to its cross-point switch 379, which can transmit the output Dout via The programmable interconnection lines 361 and the bypass interconnection lines 279 of the first group of its in-chip interconnection lines 502 are switched to the programmable interconnection lines 361 and the bypass interconnection lines 279 of the second group of its in-chip interconnection lines 502 to transmit to its small I/O circuit 203, which can drive the output Dout to transmit to one of the programmable interconnect lines 361 of one or more inter-die interconnect lines The small I/O circuit 203 of the first of the DPIIC chips 410 . For the one of the DPIIC chips 410, its first small I/O circuit 203 can drive the output Dout to its cross-point switch 379 via the first set of programmable interconnect lines 361 of its on-chip interconnect lines , its cross-point switch 379 can switch the output Dout from the programmable interconnect lines 361 of its first group of intra-chip interconnect lines to the programmable interconnect lines 361 of its second group of intra-chip interconnect lines for transmission , to be transmitted to its second mini-I/O circuit 203, which can drive the output Dout via one or more programmable interconnect lines 371 between wafers 361 to the small I/O circuit 203 of the second standard commercial FPGA IC chip 200. For the second standard commercial FPGAIC chip 200, its small I/O circuit 203 can drive the output Dout through the programmable interconnect lines of the first set of its on-chip interconnect lines 502 as shown in Figure 8G 361 and the bypass interconnect 279 are sent to its crosspoint switch 379, which can send the output Dout from the programmable interconnect 361 and bypass interconnect 279 of the first set of its on-chip interconnect 502 Switch to the second set of programmable interconnect lines 361 and bypass interconnect lines 279 of its on-chip interconnect lines 502 for transmission to one of the inputs A0-A3 of its programmable logic block (LB) 201 , as described in Figure 6A.

請參見第11A圖至第11N圖及第12A圖,在另一實施例中,標準商業化FPGAIC晶片200之可編程邏輯區塊(LB)201可以產生輸出Dout,如第6A圖所描述之內容,經由其晶片內交互連接線502之第一組之可編程交互連接線361及繞道交互連接線279可以傳送至其交叉點開關379,其交叉點開關379可以將該輸出Dout經由其晶片內交互連接線502之第一組之可編程交互連接線361及繞道交互連接線279切換至其晶片內交互連接線502之第二組之可編程交互連接線361及繞道交互連接線279進行傳送,以傳送至其小型I/O電路203,其小型I/O電路203可以驅動該輸出Dout經由一或多條之晶片間交互連接線371之可編程交互連接線361傳送至其中一個的DPIIC晶片410之第一個的小型I/O電路203。針對該其中一個的DPIIC晶片410,其第一個的小型I/O電路203可以驅動該輸出Dout經由其晶片內交互連接線之第一組之可編程交互連接線361傳送至其交叉點開關379,其交叉點開關379可以將該輸出Dout由其晶片內交互連接線之第一組之可編程交互連接線361切換至其晶片內交互連接線之第二組之可編程交互連接線361進行傳送,以傳送至其第二個的小型I/O電路203,其第二個的小型I/O電路203可以驅動該輸出Dout經由一或多條之晶片間交互連接線371之可編程交互連接線361傳送至其中一個的專用I/O晶片265之小型I/O電路203。針對該其中一個的專用I/O晶片265,其小型I/O電路203可以驅動該輸出Dout傳送至其大型I/O電路341,以傳送至位在邏輯驅動器300之外的外部電路271。Please refer to FIGS. 11A to 11N and 12A. In another embodiment, the programmable logic block (LB) 201 of the standard commercial FPGAIC chip 200 can generate the output Dout, as described in FIG. 6A , the programmable interconnect lines 361 and the detour interconnect lines 279 of the first set of interconnect lines 502 via its on-chip can be communicated to its cross-point switch 379, which can communicate the output Dout via its on-chip interconnect The programmable interconnect lines 361 and the bypass interconnect lines 279 of the first group of interconnect lines 502 are switched to the programmable interconnect lines 361 and the bypass interconnect lines 279 of the second group of the interconnect lines 502 within its chip for transmission to It is transmitted to its small I/O circuit 203, which can drive the output Dout to be transmitted to one of the DPIIC chips 410 via the programmable interconnect line 361 of one or more inter-die interconnect lines 371. The first small I/O circuit 203. For the one of the DPIIC chips 410, its first small I/O circuit 203 can drive the output Dout to its cross-point switch 379 via the first set of programmable interconnect lines 361 of its on-chip interconnect lines , its cross-point switch 379 can switch the output Dout from the programmable interconnect lines 361 of its first group of intra-chip interconnect lines to the programmable interconnect lines 361 of its second group of intra-chip interconnect lines for transmission , to be transmitted to its second mini-I/O circuit 203, which can drive the output Dout via one or more programmable interconnect lines 371 between wafers 361 to the small I/O circuit 203 of one of the dedicated I/O chips 265. For one of the dedicated I/O chips 265 , its small I/O circuit 203 can drive the output Dout to its large I/O circuit 341 for transmission to an external circuit 271 located outside the logic driver 300 .

(3)用於控制之交互連接線路(3) Interactive connection lines for control

請參見第11A圖至第11N圖及第12A圖,在一實施例中,針對控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268,其大型I/O電路341可以由位在邏輯驅動器300之外的外部電路271接收控制指令,或是可以傳送控制指令至位在邏輯驅動器300之外的外部電路271。Referring to FIGS. 11A to 11N and 12A, in one embodiment, for the dedicated control chip 260, the dedicated control and I/O chip 266, the DCIAC chip 267 or the DCDI/OIAC chip 268 represented by the control block 360 , the large I/O circuit 341 can receive control commands from the external circuit 271 located outside the logic driver 300 , or can transmit control commands to the external circuit 271 located outside the logic driver 300 .

請參見第11A圖至第11N圖及第12A圖,在另一實施例中,其中一個的專用I/O晶片265之第一個的大型I/O電路341可以驅動來自位在邏輯驅動器300之外的外部電路271之控制指令傳送至其第二個的大型I/O電路341,其第二個的大型I/O電路341可以驅動控制指令經由一或多條之晶片間交互連接線371之固定交互連接線364傳送至控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268之大型I/O電路341。Referring to FIGS. 11A to 11N and 12A, in another embodiment, the large I/O circuit 341 of the first one of the dedicated I/O chips 265 of one of the dedicated I/O chips 265 can drive a circuit from one of the logic drivers 300 The control command of the external external circuit 271 is transmitted to its second large I/O circuit 341, and the second large I/O circuit 341 can drive the control command through one or more inter-chip interconnection lines 371. The fixed interconnect 364 is routed to the large I/O circuit 341 of the dedicated control chip 260, the dedicated control and I/O chip 266, the DCIAC chip 267 or the DCDI/OIAC chip 268 represented by the control block 360.

請參見第11A圖至第11N圖及第12A圖,在另一實施例中,控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268之大型I/O電路341可以驅動控制指令經由一或多條之晶片間交互連接線371之固定交互連接線364傳送至其中一個的專用I/O晶片265之第一個的大型I/O電路341,該其中一個的專用I/O晶片265之第一個的大型I/O電路341可以驅動控制指令傳送至其第二個的大型I/O電路341,以傳送至位在邏輯驅動器300之外的外部電路271。Referring to FIGS. 11A to 11N and 12A, in another embodiment, the control block 360 represents the dedicated control chip 260 , the dedicated control and I/O chip 266 , the DCIAC chip 267 or the DCDI/OIAC chip 268 The large-scale I/O circuit 341 can drive control commands to be transmitted to the first large-scale I/O circuit of one of the dedicated I/O chips 265 via the fixed interconnection line 364 of one or more inter-chip interconnection lines 371 341, the first large I/O circuit 341 of the one of the dedicated I/O chips 265 can drive control commands to its second large I/O circuit 341 for transmission to the logic driver 300 external external circuit 271.

因此,請參見第11A圖至第11N圖及第12A圖,控制指令可以由位在邏輯驅動器300之外的外部電路271傳送至控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268,或是由控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268傳送至位在邏輯驅動器300之外的外部電路271。Therefore, please refer to FIG. 11A to FIG. 11N and FIG. 12A, the control command can be transmitted from the external circuit 271 located outside the logic driver 300 to the dedicated control chip 260, dedicated control and I/O represented by the control block 360 Chip 266, DCIAC chip 267, or DCDI/OIAC chip 268, or dedicated control chip 260, dedicated control and I/O chip 266, DCIAC chip 267, or DCDI/OIAC chip 268 represented by control block 360 is sent to the bit-in logic External circuit 271 other than driver 300 .

II.邏輯驅動器之第二型交互連接架構II. The second type of interconnection architecture of logical drives

請參見第11A圖至第11N圖及第12B圖,每一個的專用I/O晶片265之小型I/O電路203可以經由一或多條晶片間交互連接線371之可編程交互連接線361耦接至全部的標準商業化FPGAIC晶片200之小型I/O電路203,每一個的專用I/O晶片265之小型I/O電路203可以經由一或多條晶片間交互連接線371之可編程交互連接線361耦接至全部的DPIIC晶片410之小型I/O電路203,每一個的專用I/O晶片265之小型I/O電路203可以經由一或多條晶片間交互連接線371之可編程交互連接線361耦接至其他全部的專用I/O晶片265之小型I/O電路203,每一個的專用I/O晶片265之小型I/O電路203可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至全部的標準商業化FPGAIC晶片200之小型I/O電路203,每一個的專用I/O晶片265之小型I/O電路203可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至全部的DPIIC晶片410之小型I/O電路203,每一個的專用I/O晶片265之小型I/O電路203可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至其他全部的專用I/O晶片265之小型I/O電路203。Referring to FIGS. 11A to 11N and 12B, the small I/O circuits 203 of each dedicated I/O chip 265 may be coupled via the programmable interconnect lines 361 of one or more inter-die interconnect lines 371 Small I/O circuits 203 connected to all standard commercial FPGA IC chips 200, the small I/O circuits 203 of each dedicated I/O chip 265 can be programmed to interact via one or more inter-chip interconnect lines 371 The connection lines 361 are coupled to the small I/O circuits 203 of all DPIIC chips 410, and the small I/O circuits 203 of each dedicated I/O chip 265 can be programmed via one or more inter-chip interconnection lines 371. The interconnect line 361 is coupled to the small I/O circuits 203 of all other dedicated I/O chips 265, and the small I/O circuits 203 of each dedicated I/O chip 265 can be interconnected via one or more inter-chips The fixed interconnection line 364 of line 371 is coupled to the mini-I/O circuits 203 of all standard commercial FPGAIC chips 200, the mini-I/O circuits 203 of each dedicated I/O chip 265 can be via one or more chips The fixed interconnection lines 364 of the interconnection lines 371 are coupled to the small I/O circuits 203 of all the DPIIC chips 410, and the small I/O circuits 203 of each dedicated I/O chip 265 may pass through one or more chips The fixed interconnection lines 364 of the interconnection lines 371 are coupled to the small I/O circuits 203 of all other dedicated I/O chips 265 .

請參見第11A圖至第11N圖及第12B圖,每一個的DPIIC晶片410之小型I/O電路203可以經由一或多條晶片間交互連接線371之可編程交互連接線361耦接至全部的標準商業化FPGAIC晶片200之小型I/O電路203,每一個的DPIIC晶片410之小型I/O電路203可以經由一或多條晶片間交互連接線371之可編程交互連接線361耦接至其他全部的DPIIC晶片410之小型I/O電路203,每一個的DPIIC晶片410之小型I/O電路203可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至全部的標準商業化FPGAIC晶片200之小型I/O電路203,每一個的DPIIC晶片410之小型I/O電路203可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至其他全部的DPIIC晶片410之小型I/O電路203。Referring to FIGS. 11A to 11N and 12B, the small I/O circuits 203 of each DPIIC chip 410 can be coupled to all of them via the programmable interconnect lines 361 of one or more inter-die interconnect lines 371 The small I/O circuits 203 of the standard commercial FPGAIC chips 200, the small I/O circuits 203 of each DPIIC chip 410 can be coupled to the The small I/O circuits 203 of all other DPIIC chips 410 , the small I/O circuits 203 of each DPIIC chip 410 may be coupled to all the The small I/O circuits 203 of standard commercial FPGAIC chips 200, the small I/O circuits 203 of each DPIIC chip 410 can be coupled to all other via the fixed interconnection lines 364 of one or more inter-die interconnection lines 371 The small I/O circuit 203 of the DPIIC chip 410.

請參見第11A圖至第11N圖及第12B圖,每一個的標準商業化FPGAIC晶片200之小型I/O電路203可以經由一或多條晶片間交互連接線371之可編程交互連接線361耦接至其他全部的標準商業化FPGAIC晶片200之小型I/O電路203,每一個的標準商業化FPGAIC晶片200之小型I/O電路203可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至其他全部的標準商業化FPGAIC晶片200之小型I/O電路203。Referring to FIGS. 11A to 11N and 12B, the small I/O circuits 203 of each of the standard commercial FPGAIC chips 200 can be coupled via the programmable interconnect lines 361 of one or more inter-die interconnect lines 371 Small I/O circuits 203 connected to all other standard commercial FPGA IC chips 200, each of which can interact via fixed interaction of one or more inter-chip interconnect lines 371 Connection lines 364 are coupled to all other small I/O circuits 203 of standard commercial FPGA IC chips 200 .

請參見第11A圖至第11N圖及第12B圖,控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268之大型I/O電路341可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至全部的專用I/O晶片265之大型I/O電路341,控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268之大型I/O電路341可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至全部的NVMIC晶片250之大型I/O電路341,控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268之大型I/O電路341可以耦接至位在邏輯驅動器300之外的外部電路271。11A to 11N and 12B, the control block 360 represents the dedicated control chip 260, the dedicated control and I/O chip 266, the DCIAC chip 267, or the large I/O circuit 341 of the DCDI/OIAC chip 268 The large-scale I/O circuits 341 of all the dedicated I/O chips 265 can be coupled to the large-scale I/O circuits 341 of all the dedicated I/O chips 265 through the fixed interconnecting wires 364 of one or more inter-chip interconnecting wires 371 , the dedicated control chips 260 represented by the control block 360 , the dedicated control And the large I/O circuits 341 of the I/O die 266, DCIAC die 267 or DCDI/OIAC die 268 can be coupled to all of the NVMIC die 250 via the fixed interconnection lines 364 of one or more inter-die interconnection lines 371. The large I/O circuit 341, the dedicated control chip 260 represented by the control block 360, the dedicated control and I/O chip 266, the large I/O circuit 341 of the DCIAC chip 267 or the DCDI/OIAC chip 268 can be coupled to the bit-in logic External circuit 271 other than driver 300 .

請參見第11A圖至第11N圖及第12B圖,每一個的NVMIC晶片250之大型I/O電路341可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至全部的專用I/O晶片265之大型I/O電路341,每一個的NVMIC晶片250之大型I/O電路341可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至其他全部的NVMIC晶片250之大型I/O電路341,每一個的專用I/O晶片265之大型I/O電路341可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至其他全部的專用I/O晶片265之大型I/O電路341,每一個的NVMIC晶片250之大型I/O電路341可以耦接至位在邏輯驅動器300之外的外部電路271,每一個的專用I/O晶片265之大型I/O電路341可以耦接至位在邏輯驅動器300之外的外部電路271。Referring to FIGS. 11A to 11N and 12B, the large I/O circuits 341 of each NVMIC chip 250 can be coupled to all the The large I/O circuit 341 of the dedicated I/O chip 265, the large I/O circuit 341 of each NVMIC chip 250 can be coupled to all the others via the fixed interconnection lines 364 of one or more inter-die interconnection lines 371 The large I/O circuits 341 of the NVMIC chip 250, the large I/O circuits 341 of each dedicated I/O chip 265 can be coupled to other The large I/O circuits 341 of all of the dedicated I/O chips 265, the large I/O circuits 341 of each of the NVMIC chips 250 may be coupled to external circuits 271 located outside the logic driver 300, the dedicated I/O circuits of each The large I/O circuits 341 of the /O die 265 may be coupled to external circuits 271 located outside the logic driver 300 .

請參見第11A圖至第11N圖及第12B圖,在本實施例之邏輯驅動器300中,每一個的NVMIC晶片250並不具有輸入電容、輸出電容、驅動能力或驅動負荷小於2pF之I/O電路,而具有如第5A圖所描述之大型I/O電路341,進行上述的耦接。每一個的NVMIC晶片250可以經由一或多個的專用I/O晶片265傳送資料至全部的標準商業化FPGAIC晶片200,每一個的NVMIC晶片250可以經由一或多個的專用I/O晶片265傳送資料至全部的DPIIC晶片410,每一個的NVMIC晶片250並不可以在不經由專用I/O晶片265之情況下傳送資料至標準商業化FPGAIC晶片200,每一個的NVMIC晶片250並不可以在不經由專用I/O晶片265之情況下傳送資料至DPIIC晶片410。在本實施例之邏輯驅動器300中,晶片控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268並不具有輸入電容、輸出電容、驅動能力或驅動負荷小於2pF之I/O電路,而具有如第5A圖所描述之大型I/O電路341,進行上述的耦接。控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268可以經由一或多個的專用I/O晶片265傳送控制指令或其他訊號至全部的標準商業化FPGAIC晶片200,控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268可以經由一或多個的專用I/O晶片265傳送控制指令或其他訊號至全部的DPIIC晶片410,控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268並不可以在不經由專用I/O晶片265之情況下傳送控制指令或其他訊號至標準商業化FPGAIC晶片200,控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268並不可以在不經由專用I/O晶片265之情況下傳送控制指令或其他訊號至DPIIC晶片410。Referring to FIGS. 11A to 11N and 12B, in the logic driver 300 of the present embodiment, each NVMIC chip 250 does not have an input capacitance, an output capacitance, a driving capability or an I/O whose driving load is less than 2pF The circuit has a large I/O circuit 341 as described in FIG. 5A for the above-mentioned coupling. Each NVMIC chip 250 can transmit data to all standard commercial FPGAIC chips 200 via one or more dedicated I/O chips 265 , and each NVMIC chip 250 can pass through one or more dedicated I/O chips 265 To transfer data to all DPIIC chips 410, each NVMIC chip 250 cannot transfer data to a standard commercial FPGAIC chip 200 without going through the dedicated I/O chip 265, and each NVMIC chip 250 cannot Data is transferred to DPIIC chip 410 without going through dedicated I/O chip 265 . In the logic driver 300 of this embodiment, the dedicated control chip 260, the dedicated control and I/O chip 266, the DCIAC chip 267 or the DCDI/OIAC chip 268 represented by the chip control block 360 do not have input capacitors, output capacitors, drive An I/O circuit with a capacity or drive load of less than 2pF, but with a large I/O circuit 341 as depicted in FIG. 5A, performs the above-mentioned coupling. The dedicated control chip 260, the dedicated control and I/O chip 266, the DCIAC chip 267, or the DCDI/OIAC chip 268 represented by the control block 360 can transmit control commands or other signals to all of the The standard commercial FPGAIC chip 200, the dedicated control chip 260, the dedicated control and I/O chip 266, the DCIAC chip 267, or the DCDI/OIAC chip 268 represented by the control block 360 can pass through one or more of the dedicated I/O chips 265 To transmit control commands or other signals to all DPIIC chips 410, the dedicated control chip 260, the dedicated control and I/O chip 266, the DCIAC chip 267 or the DCDI/OIAC chip 268 represented by the control block 360 cannot be used without the dedicated I/O chip 268. In the case of the /O chip 265, it transmits control commands or other signals to the standard commercial FPGAIC chip 200, the dedicated control chip 260, the dedicated control and I/O chip 266, the DCIAC chip 267 or the DCDI/OIAC chip 268 represented by the control block 360. It is not possible to transmit control commands or other signals to the DPIIC chip 410 without going through the dedicated I/O chip 265 .

(1)用於編程記憶單元之交互連接線路(1) Interactive connection lines for programming memory cells

請參見第11A圖至第11N圖及第12B圖,在一實施例中,控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268可以產生一控制指令傳送至其大型I/O電路341,以驅動該控制指令經由一或多條晶片間交互連接線371之固定交互連接線364傳送至其中一個的NVMIC晶片250之第一個的大型I/O電路341。針對該其中一個的NVMIC晶片250,其第一個的大型I/O電路341可以驅動該控制指令至其內部電路,以命令其內部電路傳送編程碼至其第二個的大型I/O電路341,其第二個的大型I/O電路341可以驅動編程碼經由一或多條晶片間交互連接線371之固定交互連接線364傳送至其中一個的專用I/O晶片265之大型I/O電路341。針對該其中一個的專用I/O晶片265,其大型I/O電路341可以驅動編程碼至其小型I/O電路203,其小型I/O電路203可以驅動編程碼經由一或多條晶片間交互連接線371之固定交互連接線364傳送至其中一個的DPIIC晶片410之小型I/O電路203。針對該其中一個的DPIIC晶片410,其小型I/O電路203可以驅動編程碼經由一或多條其晶片內交互連接線之固定交互連接線364傳送至其記憶陣列區塊423中其中一個的其記憶單元362,如第9圖所描述之內容,使得編程碼可以儲存於該其中一個的其記憶單元362中,用以編程其通過/不通開關258及/或交叉點開關379,如第2A圖至第2F圖、第3A圖至第3D圖及第7A圖至第7C圖所描述之內容。Referring to FIGS. 11A to 11N and 12B, in one embodiment, the dedicated control chip 260, the dedicated control and I/O chip 266, the DCIAC chip 267 or the DCDI/OIAC chip 268 represented by the control block 360 can be Generates a control command and transmits it to its large I/O circuit 341 to drive the control command to be transmitted to the first large one of the NVMIC chips 250 via the fixed interconnection line 364 of one or more inter-die interconnection lines 371 I/O circuit 341 . For one of the NVMIC chips 250 , the first large I/O circuit 341 can drive the control command to its internal circuit to instruct its internal circuit to transmit programming codes to its second large I/O circuit 341 , the second large-scale I/O circuit 341 can drive the programming code to be transmitted to the large-scale I/O circuit of one of the dedicated I/O chips 265 via the fixed interconnection line 364 of one or more inter-chip interconnection lines 371 341. For one of the dedicated I/O chips 265, its large I/O circuit 341 can drive the programming code to its small I/O circuit 203, and its small I/O circuit 203 can drive the programming code through one or more inter-die The fixed interconnect 364 of the interconnect 371 is routed to the small I/O circuit 203 of one of the DPIIC chips 410 . For the one of the DPIIC chips 410, the small I/O circuit 203 thereof can drive the programming code to be transmitted to the other one of the memory array blocks 423 via one or more fixed interconnection lines 364 of its in-chip interconnection lines. Memory cell 362, as described in Figure 9, such that a programming code can be stored in one of its memory cells 362 for programming its pass/fail switch 258 and/or crosspoint switch 379, as shown in Figure 2A To the content described in Figure 2F, Figure 3A to Figure 3D, and Figure 7A to Figure 7C.

或者,請參見第11A圖至第11N圖及第12B圖,在一實施例中,控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268可以產生一控制指令傳送至其大型I/O電路341,以驅動該控制指令經由一或多條晶片間交互連接線371之固定交互連接線364傳送至其中一個的NVMIC晶片250之第一個的大型I/O電路341。針對該其中一個的NVMIC晶片250,其第一個的大型I/O電路341可以驅動該控制指令至其內部電路,以命令其內部電路傳送編程碼至其第二個的大型I/O電路341,其第二個的大型I/O電路341可以驅動編程碼經由一或多條晶片間交互連接線371之固定交互連接線364傳送至其中一個的專用I/O晶片265之大型I/O電路341。針對該其中一個的專用I/O晶片265,其大型I/O電路341可以驅動編程碼至其小型I/O電路203,其小型I/O電路203可以驅動編程碼經由一或多條晶片間交互連接線371之固定交互連接線364傳送至其中一個的標準商業化FPGAIC晶片200之小型I/O電路203。針對該其中一個的標準商業化FPGAIC晶片200,其小型I/O電路203可以驅動編程碼經由一或多條其晶片內交互連接線502之固定交互連接線364傳送至其中一個的其記憶單元362,使得編程碼可以儲存於該其中一個的其記憶單元362中,用以編程其通過/不通開關258及/或交叉點開關379,如第2A圖至第2F圖、第3A圖至第3D圖及第7A圖至第7C圖所描述之內容。Alternatively, referring to FIGS. 11A to 11N and 12B, in one embodiment, the control block 360 represents the dedicated control chip 260, the dedicated control and I/O chip 266, the DCIAC chip 267 or the DCDI/OIAC chip 268 can generate a control command to transmit to its large I/O circuit 341 to drive the control command to be transmitted to the first of one of the NVMIC chips 250 via the fixed interconnect 364 of one or more inter-die interconnects 371 The large I/O circuit 341. For one of the NVMIC chips 250 , the first large I/O circuit 341 can drive the control command to its internal circuit to instruct its internal circuit to transmit programming codes to its second large I/O circuit 341 , the second large-scale I/O circuit 341 can drive the programming code to be transmitted to the large-scale I/O circuit of one of the dedicated I/O chips 265 via the fixed interconnection line 364 of one or more inter-chip interconnection lines 371 341. For one of the dedicated I/O chips 265, its large I/O circuit 341 can drive the programming code to its small I/O circuit 203, and its small I/O circuit 203 can drive the programming code through one or more inter-die The fixed interconnect 364 of the interconnect 371 is routed to the small I/O circuit 203 of one of the standard commercial FPGA IC chips 200 . For one of the standard commercial FPGA IC chips 200, its small I/O circuits 203 can drive programming codes to be transmitted to one of its memory cells 362 via one or more fixed interconnect lines 364 of its on-chip interconnect lines 502. , so that the programming code can be stored in one of its memory cells 362 for programming its pass/fail switch 258 and/or crosspoint switch 379, as shown in Figures 2A to 2F, and Figures 3A to 3D and what is described in Figures 7A to 7C.

或者,請參見第11A圖至第11N圖及第12B圖,在一實施例中,控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268可以產生一控制指令傳送至其大型I/O電路341,以驅動該控制指令經由一或多條晶片間交互連接線371之固定交互連接線364傳送至其中一個的NVMIC晶片250之第一個的大型I/O電路341。針對該其中一個的NVMIC晶片250,其第一個的大型I/O電路341可以驅動該控制指令至其內部電路,以命令其內部電路傳送結果值或編程碼至其第二個的大型I/O電路341,其第二個的大型I/O電路341可以驅動結果值或編程碼經由一或多條晶片間交互連接線371之固定交互連接線364傳送至其中一個的專用I/O晶片265之大型I/O電路341。針對該其中一個的專用I/O晶片265,其大型I/O電路341可以驅動結果值或編程碼至其小型I/O電路203,其小型I/O電路203可以驅動結果值或編程碼經由一或多條晶片間交互連接線371之固定交互連接線364傳送至其中一個的標準商業化FPGAIC晶片200之小型I/O電路203。針對該其中一個的標準商業化FPGAIC晶片200,其小型I/O電路203可以驅動結果值或編程碼經由一或多條其晶片內交互連接線502之固定交互連接線364傳送至其中一個的其記憶單元490,使得結果值或編程碼可以儲存於該其中一個的其記憶單元490中,用以編程其可編程邏輯區塊201,如第6A圖所描述之內容。Alternatively, referring to FIGS. 11A to 11N and 12B, in one embodiment, the control block 360 represents the dedicated control chip 260, the dedicated control and I/O chip 266, the DCIAC chip 267 or the DCDI/OIAC chip 268 can generate a control command to transmit to its large I/O circuit 341 to drive the control command to be transmitted to the first of one of the NVMIC chips 250 via the fixed interconnect 364 of one or more inter-die interconnects 371 The large I/O circuit 341. For one of the NVMIC chips 250, the first large I/O circuit 341 can drive the control command to its internal circuit to command its internal circuit to transmit the result value or programming code to its second large I/O circuit 341. O circuit 341 , whose second large I/O circuit 341 can drive result values or programming codes to one of the dedicated I/O chips 265 via fixed interconnects 364 of one or more inter-die interconnects 371 The large I/O circuit 341. For one of the dedicated I/O chips 265, its large I/O circuit 341 can drive the result value or programming code to its small I/O circuit 203, and its small I/O circuit 203 can drive the result value or programming code via The fixed interconnects 364 of the one or more inter-die interconnects 371 are routed to the small I/O circuits 203 of one of the standard commercial FPGA IC chips 200 . For the one of the standard commercial FPGA IC chips 200, its small I/O circuits 203 can drive the result value or programming code to transmit the result value or programming code via one or more of its on-chip interconnect lines 502 fixed interconnect lines 364 to one of the other Memory cell 490 so that the result value or programming code can be stored in one of its memory cells 490 for programming its programmable logic block 201, as described in FIG. 6A.

(2)用於運作之交互連接線路(2) Interactive connection lines for operation

請參見第11A圖至第11N圖及第12B圖,在一實施例中,其中一個的專用I/O晶片265之大型I/O電路341可以驅動來自邏輯驅動器300之外的外部電路271之訊號至其小型I/O電路203,該其中一個的專用I/O晶片265之小型I/O電路203可以驅動該訊號經由一或多條晶片間交互連接線371之可編程交互連接線361傳送至其中一個的DPIIC晶片410之第一個的小型I/O電路203。針對該其中一個的DPIIC晶片410,其第一個的小型I/O電路203可以驅動該訊號經由其晶片內交互連接線之第一個的可編程交互連接線361傳送至其交叉點開關379,其交叉點開關379可以將該訊號由其晶片內交互連接線之第一個的可編程交互連接線361切換至其晶片內交互連接線之第二個的可編程交互連接線361進行傳送,以傳送至其第二個的小型I/O電路203,其第二個的小型I/O電路203可以驅動該訊號經由一或多條晶片間交互連接線371之可編程交互連接線361傳送至其中一個的標準商業化FPGAIC晶片200之小型I/O電路203。針對該其中一個的標準商業化FPGAIC晶片200,其小型I/O電路203可以驅動該訊號經由如第8G圖所繪示之其晶片內交互連接線502之第一組之可編程交互連接線361及繞道交互連接線279傳送至其交叉點開關379,其交叉點開關379可以將該訊號由其晶片內交互連接線502之第一組之可編程交互連接線361及繞道交互連接線279切換至其晶片內交互連接線502之第二組之可編程交互連接線361及繞道交互連接線279進行傳送,以傳送至其可編程邏輯區塊(LB)201之輸入A0-A3的其中一個,如第6A圖所描述之內容。Referring to FIGS. 11A to 11N and 12B, in one embodiment, the large I/O circuit 341 of one of the dedicated I/O chips 265 can drive signals from external circuits 271 other than the logic driver 300 To its small I/O circuit 203, the small I/O circuit 203 of the one of the dedicated I/O chips 265 can drive the signal to be sent to the The first small I/O circuit 203 of one of the DPIIC chips 410. For one of the DPIIC chips 410, the first small I/O circuit 203 can drive the signal to its cross-point switch 379 via the first programmable interconnect line 361 of its on-chip interconnect lines, Its cross-point switch 379 can switch the signal from the programmable interconnect line 361 of the first one of its on-chip interconnect lines to the programmable interconnect line 361 of the second one of its on-chip interconnect lines to transmit the signal to to its second mini-I/O circuit 203, which can drive the signal to it via programmable interconnect lines 361 of one or more inter-die interconnect lines 371 A small I/O circuit 203 of a standard commercial FPGA IC chip 200. For the one of the standard commercial FPGA IC chips 200, its small I/O circuits 203 can drive the signal through the programmable interconnect lines 361 of the first set of its on-chip interconnect lines 502 as shown in Figure 8G and the bypass interconnect 279 to its crosspoint switch 379, which can switch the signal from the programmable interconnect 361 and the bypass interconnect 279 of the first set of its on-chip interconnect 502 to the The programmable interconnect lines 361 and the bypass interconnect lines 279 of the second group of in-chip interconnect lines 502 are transmitted to one of the inputs A0-A3 of its programmable logic block (LB) 201, such as The content described in Figure 6A.

請參見第11A圖至第11N圖及第12B圖,在另一實施例中,第一個的標準商業化FPGAIC晶片200之可編程邏輯區塊(LB)201可以產生輸出Dout,如第6A圖所描述之內容,經由其晶片內交互連接線502之第一組之可編程交互連接線361及繞道交互連接線279可以傳送至其交叉點開關379,其交叉點開關379可以將該輸出Dout經由其晶片內交互連接線502之第一組之可編程交互連接線361及繞道交互連接線279切換至其晶片內交互連接線502之第二組之可編程交互連接線361及繞道交互連接線279進行傳送,以傳送至其小型I/O電路203,其小型I/O電路203可以驅動該輸出Dout經由一或多條之晶片間交互連接線371之可編程交互連接線361傳送至其中一個的DPIIC晶片410之第一個的小型I/O電路203。針對該其中一個的DPIIC晶片410,其第一個的小型I/O電路203可以驅動該輸出Dout經由其晶片內交互連接線之第一組之可編程交互連接線361傳送至其交叉點開關379,其交叉點開關379可以將該輸出Dout由其晶片內交互連接線之第一組之可編程交互連接線361切換至其晶片內交互連接線之第二組之可編程交互連接線361進行傳送,以傳送至其第二個的小型I/O電路203,其第二個的小型I/O電路203可以驅動該輸出Dout經由一或多條之晶片間交互連接線371之可編程交互連接線361傳送至第二個的標準商業化FPGAIC晶片200之小型I/O電路203。針對第二個的標準商業化FPGAIC晶片200,其小型I/O電路203可以驅動該輸出Dout經由如第8G圖所繪示之其晶片內交互連接線502之第一組之可編程交互連接線361及繞道交互連接線279傳送至其交叉點開關379,其交叉點開關379可以將該輸出Dout由其晶片內交互連接線502之第一組之可編程交互連接線361及繞道交互連接線279切換至其晶片內交互連接線502之第二組之可編程交互連接線361及繞道交互連接線279進行傳送,以傳送至其可編程邏輯區塊(LB)201之輸入A0-A3的其中一個,如第6A圖所描述之內容。Please refer to FIGS. 11A to 11N and 12B. In another embodiment, the programmable logic block (LB) 201 of the first standard commercial FPGAIC chip 200 can generate the output Dout, as shown in FIG. 6A As described, programmable interconnect lines 361 and bypass interconnect lines 279 of the first set of interconnect lines 502 via its on-chip can be communicated to its cross-point switch 379, which can transmit the output Dout via The programmable interconnection lines 361 and the bypass interconnection lines 279 of the first group of its in-chip interconnection lines 502 are switched to the programmable interconnection lines 361 and the bypass interconnection lines 279 of the second group of its in-chip interconnection lines 502 to transmit to its small I/O circuit 203, which can drive the output Dout to transmit to one of the programmable interconnect lines 361 of one or more inter-die interconnect lines The small I/O circuit 203 of the first of the DPIIC chips 410 . For the one of the DPIIC chips 410, its first small I/O circuit 203 can drive the output Dout to its cross-point switch 379 via the first set of programmable interconnect lines 361 of its on-chip interconnect lines , its cross-point switch 379 can switch the output Dout from the programmable interconnect lines 361 of its first group of intra-chip interconnect lines to the programmable interconnect lines 361 of its second group of intra-chip interconnect lines for transmission , to be transmitted to its second mini-I/O circuit 203, which can drive the output Dout via one or more programmable interconnect lines 371 between wafers 361 to the small I/O circuit 203 of the second standard commercial FPGA IC chip 200. For the second standard commercial FPGAIC chip 200, its small I/O circuit 203 can drive the output Dout through the programmable interconnect lines of the first set of its on-chip interconnect lines 502 as shown in Figure 8G 361 and the bypass interconnect 279 are sent to its crosspoint switch 379, which can send the output Dout from the programmable interconnect 361 and bypass interconnect 279 of the first set of its on-chip interconnect 502 Switch to the second set of programmable interconnect lines 361 and bypass interconnect lines 279 of its on-chip interconnect lines 502 for transmission to one of the inputs A0-A3 of its programmable logic block (LB) 201 , as described in Figure 6A.

請參見第11A圖至第11N圖及第12B圖,在另一實施例中,標準商業化FPGAIC晶片200之可編程邏輯區塊(LB)201可以產生輸出Dout,如第6A圖所描述之內容,經由其晶片內交互連接線502之第一組之可編程交互連接線361及繞道交互連接線279可以傳送至其交叉點開關379,其交叉點開關379可以將該輸出Dout經由其晶片內交互連接線502之第一組之可編程交互連接線361及繞道交互連接線279切換至其晶片內交互連接線502之第二組之可編程交互連接線361及繞道交互連接線279進行傳送,以傳送至其小型I/O電路203,其小型I/O電路203可以驅動該輸出Dout經由一或多條之晶片間交互連接線371之可編程交互連接線361傳送至其中一個的DPIIC晶片410之第一個的小型I/O電路203。針對該其中一個的DPIIC晶片410,其第一個的小型I/O電路203可以驅動該輸出Dout經由其晶片內交互連接線之第一組之可編程交互連接線361傳送至其交叉點開關379,其交叉點開關379可以將該輸出Dout由其晶片內交互連接線之第一組之可編程交互連接線361切換至其晶片內交互連接線之第二組之可編程交互連接線361進行傳送,以傳送至其第二個的小型I/O電路203,其第二個的小型I/O電路203可以驅動該輸出Dout經由一或多條之晶片間交互連接線371之可編程交互連接線361傳送至其中一個的專用I/O晶片265之小型I/O電路203。針對該其中一個的專用I/O晶片265,其小型I/O電路203可以驅動該輸出Dout傳送至其大型I/O電路341,以傳送至位在邏輯驅動器300之外的外部電路271。Referring to FIGS. 11A to 11N and 12B, in another embodiment, the programmable logic block (LB) 201 of the standard commercial FPGAIC chip 200 can generate the output Dout, as described in FIG. 6A , the programmable interconnect lines 361 and the detour interconnect lines 279 of the first set of interconnect lines 502 via its on-chip can be communicated to its cross-point switch 379, which can communicate the output Dout via its on-chip interconnect The programmable interconnect lines 361 and the bypass interconnect lines 279 of the first group of interconnect lines 502 are switched to the programmable interconnect lines 361 and the bypass interconnect lines 279 of the second group of the interconnect lines 502 within its chip for transmission to It is transmitted to its small I/O circuit 203, which can drive the output Dout to be transmitted to one of the DPIIC chips 410 via the programmable interconnect line 361 of one or more inter-die interconnect lines 371. The first small I/O circuit 203. For the one of the DPIIC chips 410, its first small I/O circuit 203 can drive the output Dout to its cross-point switch 379 via the first set of programmable interconnect lines 361 of its on-chip interconnect lines , its cross-point switch 379 can switch the output Dout from the programmable interconnect lines 361 of its first group of intra-chip interconnect lines to the programmable interconnect lines 361 of its second group of intra-chip interconnect lines for transmission , to be transmitted to its second mini-I/O circuit 203, which can drive the output Dout via one or more programmable interconnect lines 371 between wafers 361 to the small I/O circuit 203 of one of the dedicated I/O chips 265. For one of the dedicated I/O chips 265 , its small I/O circuit 203 can drive the output Dout to its large I/O circuit 341 for transmission to an external circuit 271 located outside the logic driver 300 .

(3)用於控制之交互連接線路(3) Interactive connection lines for control

請參見第11A圖至第11N圖及第12B圖,在一實施例中,針對控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268,其大型I/O電路341可以由位在邏輯驅動器300之外的外部電路271接收控制指令,或是可以傳送控制指令至位在邏輯驅動器300之外的外部電路271。Referring to FIGS. 11A to 11N and 12B, in one embodiment, for the dedicated control chip 260, the dedicated control and I/O chip 266, the DCIAC chip 267 or the DCDI/OIAC chip 268 represented by the control block 360 , the large I/O circuit 341 can receive control commands from the external circuit 271 located outside the logic driver 300 , or can transmit control commands to the external circuit 271 located outside the logic driver 300 .

請參見第11A圖至第11N圖及第12B圖,在另一實施例中,其中一個的專用I/O晶片265之第一個的大型I/O電路341可以驅動來自位在邏輯驅動器300之外的外部電路271之控制指令傳送至其第二個的大型I/O電路341,其第二個的大型I/O電路341可以驅動控制指令經由一或多條之晶片間交互連接線371之固定交互連接線364傳送至控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268之大型I/O電路341。Referring to FIGS. 11A to 11N and 12B, in another embodiment, the large I/O circuit 341 of the first one of the dedicated I/O chips 265 of one of the dedicated I/O chips 265 can drive the circuit from the logic driver 300 The control command of the external external circuit 271 is transmitted to its second large I/O circuit 341, and the second large I/O circuit 341 can drive the control command through one or more inter-chip interconnection lines 371. The fixed interconnect 364 is routed to the large I/O circuit 341 of the dedicated control chip 260, the dedicated control and I/O chip 266, the DCIAC chip 267 or the DCDI/OIAC chip 268 represented by the control block 360.

請參見第11A圖至第11N圖及第12B圖,在另一實施例中,控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268之大型I/O電路341可以驅動控制指令經由一或多條之晶片間交互連接線371之固定交互連接線364傳送至其中一個的專用I/O晶片265之第一個的大型I/O電路341,該其中一個的專用I/O晶片265之第一個的大型I/O電路341可以驅動控制指令傳送至其第二個的大型I/O電路341,以傳送至位在邏輯驅動器300之外的外部電路271。Please refer to FIGS. 11A to 11N and 12B. In another embodiment, the control block 360 represents the dedicated control chip 260 , the dedicated control and I/O chip 266 , the DCIAC chip 267 or the DCDI/OIAC chip 268 The large-scale I/O circuit 341 can drive control commands to be transmitted to the first large-scale I/O circuit of one of the dedicated I/O chips 265 via the fixed interconnection line 364 of one or more inter-chip interconnection lines 371 341, the first large I/O circuit 341 of the one of the dedicated I/O chips 265 can drive control commands to its second large I/O circuit 341 for transmission to the logic driver 300 external external circuit 271.

因此,請參見第11A圖至第11N圖及第12B圖,控制指令可以由位在邏輯驅動器300之外的外部電路271傳送至控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268,或是由控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268傳送至位在邏輯驅動器300之外的外部電路271。Therefore, referring to FIGS. 11A to 11N and 12B, control commands can be transmitted from the external circuit 271 outside the logic driver 300 to the dedicated control chip 260, dedicated control and I/O represented by the control block 360 Chip 266, DCIAC chip 267, or DCDI/OIAC chip 268, or dedicated control chip 260, dedicated control and I/O chip 266, DCIAC chip 267, or DCDI/OIAC chip 268 represented by control block 360 is sent to the bit-in logic External circuit 271 other than driver 300 .

III.邏輯驅動器之第三型交互連接架構III. Type 3 Interconnection Architecture of Logical Drives

請參見第11A圖至第11N圖及第12C圖,每一個的專用I/O晶片265之小型I/O電路203可以經由一或多條晶片間交互連接線371之可編程交互連接線361耦接至全部的標準商業化FPGAIC晶片200之小型I/O電路203,每一個的專用I/O晶片265之小型I/O電路203可以經由一或多條晶片間交互連接線371之可編程交互連接線361耦接至全部的DPIIC晶片410之小型I/O電路203,每一個的專用I/O晶片265之小型I/O電路203可以經由一或多條晶片間交互連接線371之可編程交互連接線361耦接至其他全部的專用I/O晶片265之小型I/O電路203,每一個的專用I/O晶片265之小型I/O電路203可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至全部的標準商業化FPGAIC晶片200之小型I/O電路203,每一個的專用I/O晶片265之小型I/O電路203可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至全部的DPIIC晶片410之小型I/O電路203,每一個的專用I/O晶片265之小型I/O電路203可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至其他全部的專用I/O晶片265之小型I/O電路203。Referring to FIGS. 11A to 11N and 12C, the small I/O circuits 203 of each dedicated I/O chip 265 may be coupled via the programmable interconnect lines 361 of one or more inter-die interconnect lines 371 Small I/O circuits 203 connected to all standard commercial FPGA IC chips 200, the small I/O circuits 203 of each dedicated I/O chip 265 can be programmed to interact via one or more inter-chip interconnect lines 371 The connection lines 361 are coupled to the small I/O circuits 203 of all DPIIC chips 410, and the small I/O circuits 203 of each dedicated I/O chip 265 can be programmed via one or more inter-chip interconnection lines 371. The interconnect line 361 is coupled to the small I/O circuits 203 of all other dedicated I/O chips 265, and the small I/O circuits 203 of each dedicated I/O chip 265 can be interconnected via one or more inter-chips The fixed interconnection line 364 of line 371 is coupled to the mini-I/O circuits 203 of all standard commercial FPGAIC chips 200, the mini-I/O circuits 203 of each dedicated I/O chip 265 can be via one or more chips The fixed interconnection lines 364 of the interconnection lines 371 are coupled to the small I/O circuits 203 of all the DPIIC chips 410, and the small I/O circuits 203 of each dedicated I/O chip 265 may pass through one or more chips The fixed interconnection lines 364 of the interconnection lines 371 are coupled to the small I/O circuits 203 of all other dedicated I/O chips 265 .

請參見第11A圖至第11N圖及第12C圖,每一個的DPIIC晶片410之小型I/O電路203可以經由一或多條晶片間交互連接線371之可編程交互連接線361耦接至全部的標準商業化FPGAIC晶片200之小型I/O電路203,每一個的DPIIC晶片410之小型I/O電路203可以經由一或多條晶片間交互連接線371之可編程交互連接線361耦接至其他全部的DPIIC晶片410之小型I/O電路203,每一個的DPIIC晶片410之小型I/O電路203可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至全部的標準商業化FPGAIC晶片200之小型I/O電路203,每一個的DPIIC晶片410之小型I/O電路203可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至其他全部的DPIIC晶片410之小型I/O電路203。Referring to FIGS. 11A to 11N and 12C, the small I/O circuits 203 of each DPIIC chip 410 can be coupled to all of them via the programmable interconnect lines 361 of one or more inter-die interconnect lines 371 The small I/O circuits 203 of the standard commercial FPGAIC chips 200, the small I/O circuits 203 of each DPIIC chip 410 can be coupled to the The small I/O circuits 203 of all other DPIIC chips 410 , the small I/O circuits 203 of each DPIIC chip 410 may be coupled to all the The small I/O circuits 203 of standard commercial FPGAIC chips 200, the small I/O circuits 203 of each DPIIC chip 410 can be coupled to all other via the fixed interconnection lines 364 of one or more inter-die interconnection lines 371 The small I/O circuit 203 of the DPIIC chip 410.

請參見第11A圖至第11N圖及第12C圖,每一個的標準商業化FPGAIC晶片200之小型I/O電路203可以經由一或多條晶片間交互連接線371之可編程交互連接線361耦接至其他全部的標準商業化FPGAIC晶片200之小型I/O電路203,每一個的標準商業化FPGAIC晶片200之小型I/O電路203可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至其他全部的標準商業化FPGAIC晶片200之小型I/O電路203。Referring to FIGS. 11A to 11N and 12C, the small I/O circuits 203 of each of the standard commercial FPGAIC chips 200 can be coupled via the programmable interconnect lines 361 of one or more inter-die interconnect lines 371 Small I/O circuits 203 connected to all other standard commercial FPGA IC chips 200, each of which can interact via fixed interaction of one or more inter-chip interconnect lines 371 Connection lines 364 are coupled to all other small I/O circuits 203 of standard commercial FPGA IC chips 200 .

請參見第11A圖至第11N圖及第12C圖,控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268之小型I/O電路203可以經由一或多條晶片間交互連接線371之可編程交互連接線361耦接至全部的標準商業化FPGAIC晶片200之小型I/O電路203,控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268之小型I/O電路203可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至全部的標準商業化FPGAIC晶片200之小型I/O電路203,控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268之小型I/O電路203可以經由一或多條晶片間交互連接線371之可編程交互連接線361耦接至全部的DPIIC晶片410之小型I/O電路203,控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268之小型I/O電路203可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至全部的DPIIC晶片410之小型I/O電路203,控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268之小型I/O電路203可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至全部的NVMIC晶片250之小型I/O電路203,控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268之小型I/O電路203可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至全部的專用I/O晶片265之小型I/O電路203,控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268之大型I/O電路341可以耦接至位在邏輯驅動器300之外的外部電路271。11A to 11N and 12C, the control block 360 represents the dedicated control chip 260, the dedicated control and I/O chip 266, the DCIAC chip 267 or the small I/O circuit 203 of the DCDI/OIAC chip 268 The small I/O circuits 203 of all standard commercial FPGA IC chips 200 can be coupled to the small I/O circuits 203 of all standard commercial FPGA IC chips 200 via the programmable interconnect wires 361 of one or more inter-chip interconnect wires 371, the dedicated control chip 260 represented by the control block 360, the dedicated The small I/O circuits 203 of the control and I/O chip 266, DCIAC chip 267, or DCDI/OIAC chip 268 may be coupled to all standard commercial The small I/O circuit 203 of the FPGAIC chip 200, the dedicated control chip 260 represented by the control block 360, the dedicated control and I/O chip 266, the small I/O circuit 203 of the DCIAC chip 267, or the DCDI/OIAC chip 268 can pass through a The programmable interconnect lines 361 of the or multiple inter-chip interconnect lines 371 are coupled to the small I/O circuits 203 of all the DPIIC chips 410, the dedicated control chips 260, the dedicated control and I/O chips represented by the control block 360 266. The small I/O circuits 203 of the DCIAC chip 267 or the DCDI/OIAC chip 268 can be coupled to all the small I/O circuits of the DPIIC chip 410 via the fixed interconnection lines 364 of one or more inter-die interconnection lines 371 203. The small I/O circuits 203 of the dedicated control chip 260, the dedicated control and I/O chip 266, the DCIAC chip 267 or the DCDI/OIAC chip 268 represented by the control block 360 can be connected via one or more inter-chip interconnect lines 371 The fixed interconnect line 364 is coupled to the small I/O circuits 203 of all the NVMIC chips 250, the dedicated control chip 260, the dedicated control and I/O chip 266, the DCIAC chip 267 or the DCDI/OIAC chip represented by the control block 360 The mini-I/O circuits 203 of 268 can be coupled to the mini-I/O circuits 203 of all dedicated I/O chips 265 via fixed interconnect lines 364 of one or more inter-die interconnect lines 371, as represented by control block 360 The dedicated control chip 260 , dedicated control and I/O chip 266 , DCIAC chip 267 , or large I/O circuit 341 of the DCDI/OIAC chip 268 may be coupled to external circuits 271 located outside the logic driver 300 .

請參見第11A圖至第11N圖及第12C圖,每一個的專用I/O晶片265之小型I/O電路203可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至全部的NVMIC晶片250之小型I/O電路203,每一個的專用I/O晶片265之小型I/O電路203可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至其他全部的專用I/O晶片265之小型I/O電路203,每一個的專用I/O晶片265之大型I/O電路341可以耦接至位在邏輯驅動器300之外的外部電路271。Referring to FIGS. 11A to 11N and 12C, the small I/O circuits 203 of each dedicated I/O chip 265 may be coupled via the fixed interconnection lines 364 of one or more inter-chip interconnection lines 371 To the miniature I/O circuits 203 of all NVMIC chips 250 , the miniature I/O circuits 203 of each dedicated I/O chip 265 may be coupled via fixed interconnections 364 of one or more inter-die interconnections 371 To the small I/O circuits 203 of all other dedicated I/O chips 265 , the large I/O circuits 341 of each dedicated I/O chip 265 may be coupled to external circuits 271 located outside the logic driver 300 .

請參見第11A圖至第11N圖及第12C圖,每一個的NVMIC晶片250之小型I/O電路203可以經由一或多條晶片間交互連接線371之可編程交互連接線361耦接至全部的標準商業化FPGAIC晶片200之小型I/O電路203,每一個的NVMIC晶片250之小型I/O電路203可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至全部的標準商業化FPGAIC晶片200之小型I/O電路203,每一個的NVMIC晶片250之小型I/O電路203可以經由一或多條晶片間交互連接線371之可編程交互連接線361耦接至全部的DPIIC晶片410之小型I/O電路203,每一個的NVMIC晶片250之小型I/O電路203可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至全部的DPIIC晶片410之小型I/O電路203,每一個的NVMIC晶片250之小型I/O電路203可以經由一或多條晶片間交互連接線371之固定交互連接線364耦接至其他全部的NVMIC晶片250之小型I/O電路203,每一個的NVMIC晶片250之大型I/O電路341可以耦接至位在邏輯驅動器300之外的外部電路271。Referring to FIGS. 11A to 11N and 12C, the small I/O circuits 203 of each NVMIC chip 250 can be coupled to all of them via the programmable interconnect lines 361 of one or more inter-die interconnect lines 371 The small I/O circuits 203 of the standard commercial FPGAIC chips 200, the small I/O circuits 203 of each NVMIC chip 250 can be coupled to all the The small I/O circuits 203 of the standard commercial FPGAIC chips 200, the small I/O circuits 203 of each NVMIC chip 250 can be coupled to the The small I/O circuits 203 of all DPIIC chips 410, and the small I/O circuits 203 of each NVMIC chip 250 can be coupled to all of the DPIICs via the fixed interconnection lines 364 of one or more inter-die interconnection lines 371 The small I/O circuits 203 of the chip 410, the small I/O circuits 203 of each NVMIC chip 250 can be coupled to all other NVMIC chips 250 via the fixed interconnection lines 364 of the one or more inter-die interconnection lines 371 The small I/O circuits 203 , the large I/O circuits 341 of each NVMIC die 250 may be coupled to external circuits 271 located outside the logic driver 300 .

(1)用於編程記憶單元之交互連接線路(1) Interactive connection lines for programming memory cells

請參見第11A圖至第11N圖及第12C圖,在一實施例中,控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268可以產生一控制指令傳送至其小型I/O電路203,以驅動該控制指令經由一或多條晶片間交互連接線371之固定交互連接線364傳送至其中一個的NVMIC晶片250之第一個的小型I/O電路203。針對該其中一個的NVMIC晶片250,其第一個的小型I/O電路203可以驅動該控制指令至其內部電路,以命令其內部電路傳送編程碼至其第二個的小型I/O電路203,其第二個的小型I/O電路203可以驅動編程碼經由一或多條晶片間交互連接線371之固定交互連接線364傳送至其中一個的DPIIC晶片410之小型I/O電路203。針對該其中一個的DPIIC晶片410,其小型I/O電路203可以驅動編程碼經由一或多條其晶片內交互連接線之固定交互連接線364傳送至其記憶陣列區塊423中其中一個的其記憶單元362,如第9圖所描述之內容,使得編程碼可以儲存於該其中一個的其記憶單元362中,用以編程其通過/不通開關258及/或交叉點開關379,如第2A圖至第2F圖、第3A圖至第3D圖及第7A圖至第7C圖所描述之內容。Referring to FIGS. 11A to 11N and 12C, in one embodiment, the dedicated control chip 260, the dedicated control and I/O chip 266, the DCIAC chip 267, or the DCDI/OIAC chip 268 represented by the control block 360 can be Generates a control command and transmits it to its small I/O circuit 203 to drive the control command to be transmitted to the first one of the NVMIC chips 250 via the fixed interconnection line 364 of one or more inter-die interconnection lines 371 I/O circuit 203 . For one of the NVMIC chips 250, the first small I/O circuit 203 can drive the control command to its internal circuit to instruct its internal circuit to transmit the programming code to its second small I/O circuit 203 , the second small I/O circuit 203 can drive the programming code to be transmitted to the small I/O circuit 203 of one of the DPIIC chips 410 via the fixed interconnection line 364 of one or more inter-chip interconnection lines 371 . For the one of the DPIIC chips 410, the small I/O circuit 203 thereof can drive the programming code to be transmitted to the other one of the memory array blocks 423 via one or more fixed interconnection lines 364 of its in-chip interconnection lines. Memory cell 362, as described in Figure 9, such that a programming code can be stored in one of its memory cells 362 for programming its pass/fail switch 258 and/or crosspoint switch 379, as shown in Figure 2A To the content described in Figure 2F, Figure 3A to Figure 3D, and Figure 7A to Figure 7C.

或者,請參見第11A圖至第11N圖及第12C圖,在另一實施例中,控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268可以產生一控制指令傳送至其小型I/O電路203,以驅動該控制指令經由一或多條晶片間交互連接線371之固定交互連接線364傳送至其中一個的NVMIC晶片250之第一個的小型I/O電路203。針對該其中一個的NVMIC晶片250,其第一個的小型I/O電路203可以驅動該控制指令至其內部電路,以命令其內部電路傳送編程碼至其第二個的小型I/O電路203,其第二個的小型I/O電路203可以驅動編程碼經由一或多條晶片間交互連接線371之固定交互連接線364傳送至其中一個的標準商業化FPGAIC晶片200之小型I/O電路203。針對該其中一個的標準商業化FPGAIC晶片200,其小型I/O電路203可以驅動編程碼經由一或多條其晶片內交互連接線502之固定交互連接線364傳送至其中一個的其記憶單元362,使得編程碼可以儲存於該其中一個的其記憶單元362中,用以編程其通過/不通開關258及/或交叉點開關379,如第2A圖至第2F圖、第3A圖至第3D圖及第7A圖至第7C圖所描述之內容。Alternatively, referring to FIGS. 11A to 11N and 12C, in another embodiment, the control block 360 represents the dedicated control chip 260, the dedicated control and I/O chip 266, the DCIAC chip 267 or the DCDI/OIAC The chip 268 can generate a control command and transmit it to its small I/O circuit 203 to drive the control command to be transmitted to the first one of one of the NVMIC chips 250 via the fixed interconnect line 364 of the one or more inter-die interconnect lines 371 A small I/O circuit 203 is provided. For one of the NVMIC chips 250, the first small I/O circuit 203 can drive the control command to its internal circuit to instruct its internal circuit to transmit the programming code to its second small I/O circuit 203 , the second small I/O circuit 203 can drive the programming code to be transmitted to the small I/O circuit of one of the standard commercial FPGAIC chips 200 via the fixed interconnection line 364 of one or more inter-chip interconnection lines 371 203. For one of the standard commercial FPGA IC chips 200, its small I/O circuits 203 can drive programming codes to be transmitted to one of its memory cells 362 via one or more fixed interconnect lines 364 of its on-chip interconnect lines 502. , so that the programming code can be stored in one of its memory cells 362 for programming its pass/fail switch 258 and/or crosspoint switch 379, as shown in Figures 2A to 2F, and Figures 3A to 3D and what is described in Figures 7A to 7C.

或者,請參見第11A圖至第11N圖及第12C圖,在另一實施例中,控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268可以產生一控制指令傳送至其小型I/O電路203,以驅動該控制指令經由一或多條晶片間交互連接線371之固定交互連接線364傳送至其中一個的NVMIC晶片250之第一個的小型I/O電路203。針對該其中一個的NVMIC晶片250,其第一個的小型I/O電路203可以驅動該控制指令至其內部電路,以命令其內部電路傳送結果值或編程碼至其第二個的小型I/O電路203,其第二個的小型I/O電路203可以驅動結果值或編程碼經由一或多條晶片間交互連接線371之固定交互連接線364傳送至其中一個的標準商業化FPGAIC晶片200之小型I/O電路203。針對該其中一個的標準商業化FPGAIC晶片200,其小型I/O電路203可以驅動結果值或編程碼經由一或多條其晶片內交互連接線502之固定交互連接線364傳送至其中一個的其記憶單元490,使得結果值或編程碼可以儲存於該其中一個的其記憶單元490中,用以編程其可編程邏輯區塊201,如第6A圖所描述之內容。Alternatively, referring to FIGS. 11A to 11N and 12C, in another embodiment, the control block 360 represents the dedicated control chip 260, the dedicated control and I/O chip 266, the DCIAC chip 267 or the DCDI/OIAC The chip 268 can generate a control command and transmit it to its small I/O circuit 203 to drive the control command to be transmitted to the first one of one of the NVMIC chips 250 via the fixed interconnect line 364 of the one or more inter-die interconnect lines 371 A small I/O circuit 203 is provided. For one of the NVMIC chips 250, the first small I/O circuit 203 can drive the control command to its internal circuit to command its internal circuit to transmit the result value or programming code to its second small I/O circuit 203. O circuit 203 , whose second small I/O circuit 203 can drive result values or programming codes to one of the standard commercial FPGA IC chips 200 via fixed interconnect lines 364 of one or more inter-die interconnect lines 371 The small I/O circuit 203. For the one of the standard commercial FPGA IC chips 200, its small I/O circuits 203 can drive the result value or programming code to transmit the result value or programming code via one or more of its on-chip interconnect lines 502 fixed interconnect lines 364 to one of the other Memory cell 490 so that the result value or programming code can be stored in one of its memory cells 490 for programming its programmable logic block 201, as described in FIG. 6A.

(2)用於運作之交互連接線路(2) Interactive connection lines for operation

請參見第11A圖至第11N圖及第12C圖,在一實施例中,其中一個的專用I/O晶片265之大型I/O電路341可以驅動來自邏輯驅動器300之外的外部電路271之訊號至其小型I/O電路203,該其中一個的專用I/O晶片265之小型I/O電路203可以驅動該訊號經由一或多條晶片間交互連接線371之可編程交互連接線361傳送至其中一個的DPIIC晶片410之第一個的小型I/O電路203。針對該其中一個的DPIIC晶片410,其第一個的小型I/O電路203可以驅動該訊號經由其晶片內交互連接線之第一個的可編程交互連接線361傳送至其交叉點開關379,其交叉點開關379可以將該訊號由其晶片內交互連接線之第一個的可編程交互連接線361切換至其晶片內交互連接線之第二個的可編程交互連接線361進行傳送,以傳送至其第二個的小型I/O電路203,其第二個的小型I/O電路203可以驅動該訊號經由一或多條晶片間交互連接線371之可編程交互連接線361傳送至其中一個的標準商業化FPGAIC晶片200之小型I/O電路203。針對該其中一個的標準商業化FPGAIC晶片200,其小型I/O電路203可以驅動該訊號經由如第8G圖所繪示之其晶片內交互連接線502之第一組之可編程交互連接線361及繞道交互連接線279傳送至其交叉點開關379,其交叉點開關379可以將該訊號由其晶片內交互連接線502之第一組之可編程交互連接線361及繞道交互連接線279切換至其晶片內交互連接線502之第二組之可編程交互連接線361及繞道交互連接線279進行傳送,以傳送至其可編程邏輯區塊(LB)201之輸入A0-A3的其中一個,如第6A圖所描述之內容。Referring to FIGS. 11A to 11N and 12C, in one embodiment, the large I/O circuit 341 of one of the dedicated I/O chips 265 can drive signals from external circuits 271 other than the logic driver 300 To its small I/O circuit 203, the small I/O circuit 203 of the one of the dedicated I/O chips 265 can drive the signal to be sent to the The first small I/O circuit 203 of one of the DPIIC chips 410. For one of the DPIIC chips 410, the first small I/O circuit 203 can drive the signal to its cross-point switch 379 via the first programmable interconnect line 361 of its on-chip interconnect lines, Its cross-point switch 379 can switch the signal from the programmable interconnect line 361 of the first one of its on-chip interconnect lines to the programmable interconnect line 361 of the second one of its on-chip interconnect lines to transmit the signal to to its second mini-I/O circuit 203, which can drive the signal to it via programmable interconnect lines 361 of one or more inter-die interconnect lines 371 A small I/O circuit 203 of a standard commercial FPGA IC chip 200. For the one of the standard commercial FPGA IC chips 200, its small I/O circuits 203 can drive the signal through the programmable interconnect lines 361 of the first set of its on-chip interconnect lines 502 as shown in Figure 8G and the bypass interconnect 279 to its crosspoint switch 379, which can switch the signal from the programmable interconnect 361 and the bypass interconnect 279 of the first set of its on-chip interconnect 502 to the The programmable interconnect lines 361 and the bypass interconnect lines 279 of the second group of in-chip interconnect lines 502 are transmitted to one of the inputs A0-A3 of its programmable logic block (LB) 201, such as The content described in Figure 6A.

請參見第11A圖至第11N圖及第12C圖,在另一實施例中,第一個的標準商業化FPGAIC晶片200之可編程邏輯區塊(LB)201可以產生輸出Dout,如第6A圖所描述之內容,經由其晶片內交互連接線502之第一組之可編程交互連接線361及繞道交互連接線279可以傳送至其交叉點開關379,其交叉點開關379可以將該輸出Dout經由其晶片內交互連接線502之第一組之可編程交互連接線361及繞道交互連接線279切換至其晶片內交互連接線502之第二組之可編程交互連接線361及繞道交互連接線279進行傳送,以傳送至其小型I/O電路203,其小型I/O電路203可以驅動該輸出Dout經由一或多條之晶片間交互連接線371之可編程交互連接線361傳送至其中一個的DPIIC晶片410之第一個的小型I/O電路203。針對該其中一個的DPIIC晶片410,其第一個的小型I/O電路203可以驅動該輸出Dout經由其晶片內交互連接線之第一組之可編程交互連接線361傳送至其交叉點開關379,其交叉點開關379可以將該輸出Dout由其晶片內交互連接線之第一組之可編程交互連接線361切換至其晶片內交互連接線之第二組之可編程交互連接線361進行傳送,以傳送至其第二個的小型I/O電路203,其第二個的小型I/O電路203可以驅動該輸出Dout經由一或多條之晶片間交互連接線371之可編程交互連接線361傳送至第二個的標準商業化FPGAIC晶片200之小型I/O電路203。針對第二個的標準商業化FPGAIC晶片200,其小型I/O電路203可以驅動該輸出Dout經由如第8G圖所繪示之其晶片內交互連接線502之第一組之可編程交互連接線361及繞道交互連接線279傳送至其交叉點開關379,其交叉點開關379可以將該輸出Dout由其晶片內交互連接線502之第一組之可編程交互連接線361及繞道交互連接線279切換至其晶片內交互連接線502之第二組之可編程交互連接線361及繞道交互連接線279進行傳送,以傳送至其可編程邏輯區塊(LB)201之輸入A0-A3的其中一個,如第6A圖所描述之內容。Referring to FIGS. 11A to 11N and 12C, in another embodiment, the programmable logic block (LB) 201 of the first standard commercial FPGAIC chip 200 can generate the output Dout, as shown in FIG. 6A As described, programmable interconnect lines 361 and bypass interconnect lines 279 of the first set of interconnect lines 502 via its on-chip can be communicated to its cross-point switch 379, which can transmit the output Dout via The programmable interconnection lines 361 and the bypass interconnection lines 279 of the first group of its in-chip interconnection lines 502 are switched to the programmable interconnection lines 361 and the bypass interconnection lines 279 of the second group of its in-chip interconnection lines 502 to transmit to its small I/O circuit 203, which can drive the output Dout to transmit to one of the programmable interconnect lines 361 of one or more inter-die interconnect lines The small I/O circuit 203 of the first of the DPIIC chips 410 . For the one of the DPIIC chips 410, its first small I/O circuit 203 can drive the output Dout to its cross-point switch 379 via the first set of programmable interconnect lines 361 of its on-chip interconnect lines , its cross-point switch 379 can switch the output Dout from the programmable interconnect lines 361 of its first group of intra-chip interconnect lines to the programmable interconnect lines 361 of its second group of intra-chip interconnect lines for transmission , to be transmitted to its second mini-I/O circuit 203, which can drive the output Dout via one or more programmable interconnect lines 371 between wafers 361 to the small I/O circuit 203 of the second standard commercial FPGA IC chip 200. For the second standard commercial FPGAIC chip 200, its small I/O circuit 203 can drive the output Dout through the programmable interconnect lines of the first set of its on-chip interconnect lines 502 as shown in Figure 8G 361 and the bypass interconnect 279 are sent to its crosspoint switch 379, which can send the output Dout from the programmable interconnect 361 and bypass interconnect 279 of the first set of its on-chip interconnect 502 Switch to the second set of programmable interconnect lines 361 and bypass interconnect lines 279 of its on-chip interconnect lines 502 for transmission to one of the inputs A0-A3 of its programmable logic block (LB) 201 , as described in Figure 6A.

請參見第11A圖至第11N圖及第12C圖,在另一實施例中,標準商業化FPGAIC晶片200之可編程邏輯區塊(LB)201可以產生輸出Dout,如第6A圖所描述之內容,經由其晶片內交互連接線502之第一組之可編程交互連接線361及繞道交互連接線279可以傳送至其交叉點開關379,其交叉點開關379可以將該輸出Dout經由其晶片內交互連接線502之第一組之可編程交互連接線361及繞道交互連接線279切換至其晶片內交互連接線502之第二組之可編程交互連接線361及繞道交互連接線279進行傳送,以傳送至其小型I/O電路203,其小型I/O電路203可以驅動該輸出Dout經由一或多條之晶片間交互連接線371之可編程交互連接線361傳送至其中一個的DPIIC晶片410之第一個的小型I/O電路203。針對該其中一個的DPIIC晶片410,其第一個的小型I/O電路203可以驅動該輸出Dout經由其晶片內交互連接線之第一組之可編程交互連接線361傳送至其交叉點開關379,其交叉點開關379可以將該輸出Dout由其晶片內交互連接線之第一組之可編程交互連接線361切換至其晶片內交互連接線之第二組之可編程交互連接線361進行傳送,以傳送至其第二個的小型I/O電路203,其第二個的小型I/O電路203可以驅動該輸出Dout經由一或多條之晶片間交互連接線371之可編程交互連接線361傳送至其中一個的專用I/O晶片265之小型I/O電路203。針對該其中一個的專用I/O晶片265,其小型I/O電路203可以驅動該輸出Dout傳送至其大型I/O電路341,以傳送至位在邏輯驅動器300之外的外部電路271。Please refer to FIGS. 11A to 11N and 12C. In another embodiment, the programmable logic block (LB) 201 of the standard commercial FPGAIC chip 200 can generate the output Dout, as described in FIG. 6A , the programmable interconnect lines 361 and the detour interconnect lines 279 of the first set of interconnect lines 502 via its on-chip can be communicated to its cross-point switch 379, which can communicate the output Dout via its on-chip interconnect The programmable interconnect lines 361 and the bypass interconnect lines 279 of the first group of interconnect lines 502 are switched to the programmable interconnect lines 361 and the bypass interconnect lines 279 of the second group of the interconnect lines 502 within its chip for transmission to It is transmitted to its small I/O circuit 203, which can drive the output Dout to be transmitted to one of the DPIIC chips 410 via the programmable interconnect line 361 of one or more inter-die interconnect lines 371. The first small I/O circuit 203. For the one of the DPIIC chips 410, its first small I/O circuit 203 can drive the output Dout to its cross-point switch 379 via the first set of programmable interconnect lines 361 of its on-chip interconnect lines , its cross-point switch 379 can switch the output Dout from the programmable interconnect lines 361 of its first group of intra-chip interconnect lines to the programmable interconnect lines 361 of its second group of intra-chip interconnect lines for transmission , to be transmitted to its second mini-I/O circuit 203, which can drive the output Dout via one or more programmable interconnect lines 371 between wafers 361 to the small I/O circuit 203 of one of the dedicated I/O chips 265. For one of the dedicated I/O chips 265 , its small I/O circuit 203 can drive the output Dout to its large I/O circuit 341 for transmission to an external circuit 271 located outside the logic driver 300 .

(3)用於控制之交互連接線路(3) Interactive connection lines for control

請參見第11A圖至第11N圖及第12C圖,在一實施例中,針對控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268,其大型I/O電路341可以由位在邏輯驅動器300之外的外部電路271接收控制指令,或是可以傳送控制指令至位在邏輯驅動器300之外的外部電路271。Referring to FIGS. 11A to 11N and 12C, in one embodiment, for the dedicated control chip 260, the dedicated control and I/O chip 266, the DCIAC chip 267 or the DCDI/OIAC chip 268 represented by the control block 360 , the large I/O circuit 341 can receive control commands from the external circuit 271 located outside the logic driver 300 , or can transmit control commands to the external circuit 271 located outside the logic driver 300 .

請參見第11A圖至第11N圖及第12C圖,在另一實施例中,其中一個的專用I/O晶片265之大型I/O電路341可以驅動來自位在邏輯驅動器300之外的外部電路271之控制指令傳送至其小型I/O電路203,其小型I/O電路341可以驅動控制指令經由一或多條之晶片間交互連接線371之固定交互連接線364傳送至控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268之小型I/O電路203。Referring to FIGS. 11A to 11N and 12C, in another embodiment, the large I/O circuits 341 of one of the dedicated I/O chips 265 can drive external circuits from outside the logic driver 300 The control command of 271 is sent to its small I/O circuit 203, and its small I/O circuit 341 can drive the control command to be sent to the control block 360 through one or more fixed interconnecting lines 364 of the inter-chip interconnecting lines 371. The dedicated control chip 260, the dedicated control and I/O chip 266, the DCIAC chip 267 or the DCDI/OIAC chip 268 are the small I/O circuits 203.

請參見第11A圖至第11N圖及第12C圖,在另一實施例中,控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268之小型I/O電路203可以驅動控制指令經由一或多條之晶片間交互連接線371之固定交互連接線364傳送至其中一個的專用I/O晶片265之小型I/O電路203,該其中一個的專用I/O晶片265之小型I/O電路203可以驅動控制指令傳送至其大型I/O電路341,以傳送至位在邏輯驅動器300之外的外部電路271。Referring to FIGS. 11A to 11N and 12C, in another embodiment, the control block 360 represents the dedicated control chip 260 , the dedicated control and I/O chip 266 , the DCIAC chip 267 or the DCDI/OIAC chip 268 The small I/O circuit 203 can drive control commands to be transmitted to the small I/O circuit 203 of one of the dedicated I/O chips 265 through the fixed interconnection line 364 of one or more inter-chip interconnection lines 371, wherein the A small I/O circuit 203 of a dedicated I/O chip 265 can drive control commands to its large I/O circuit 341 for transmission to an external circuit 271 located outside the logic driver 300.

因此,請參見第11A圖至第11N圖及第12C圖,控制指令可以由位在邏輯驅動器300之外的外部電路271傳送至控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268,或是由控制方塊360所代表之專用控制晶片260、專用控制及I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268傳送至位在邏輯驅動器300之外的外部電路271。Therefore, referring to FIGS. 11A to 11N and 12C, control commands can be transmitted from the external circuit 271 outside the logic driver 300 to the dedicated control chip 260, dedicated control and I/O represented by the control block 360 Chip 266, DCIAC chip 267, or DCDI/OIAC chip 268, or dedicated control chip 260, dedicated control and I/O chip 266, DCIAC chip 267, or DCDI/OIAC chip 268 represented by control block 360 is sent to the bit-in logic External circuit 271 other than driver 300 .

資料下載至記憶體單元的算法Algorithm for downloading data to memory cells

第13A圖為本發明實施例中用於資料下載至記憶體單元的算法方塊圖,如第13A圖所示,用於下載資料至如第8A圖至第8J圖中的商業化標準FPGAIC晶片200的複數記憶體單元490及記憶體單元362及下載至如第9圖的DPIIC晶片410中的記憶體矩陣區塊423之複數記憶體單元362內,一緩衝/驅動單元或緩衝器340可提供用於驅動資料,例如產生值(resultingvalues)或編程碼,串聯輸出至緩衝/驅動單元或緩衝器340,並且並聯放大資料至商業化標準FPGAIC晶片200的複數記憶體單元490及記憶體單元362及(或)至DPIIC晶片410的複數記憶體單元362上,此外,控制單元337可用來控制緩衝/驅動單元340的複數記憶體單元446(也就是如第1A圖中第一型態的一複數SRAM單元)串聯耦接至緩衝/驅動單元340的一輸入及控制記憶體單元446並聯耦接至複數相對應的輸出,緩衝/驅動單元340可分別耦接至如第8A圖至第8J圖中的商業化標準FPGAIC晶片200的複數記憶體單元490及記憶體單元362及(或)分別耦接至如第9圖中的DPIIC晶片410中記憶體矩陣區塊423的複數記憶體單元362。FIG. 13A is a block diagram of an algorithm for downloading data to a memory unit according to an embodiment of the present invention. As shown in FIG. 13A, it is used for downloading data to a commercial standard FPGAIC chip 200 as shown in FIGS. 8A to 8J. The plurality of memory cells 490 and 362 of the memory cells and the plurality of memory cells 362 downloaded to the memory matrix block 423 in the DPIIC chip 410 as shown in FIG. 9, a buffer/drive unit or buffer 340 may provide For driving data, such as resulting values or programming codes, output in series to the buffer/driver unit or buffer 340, and amplify the data in parallel to the plurality of memory cells 490 and memory cells 362 and ( or) to the plurality of memory cells 362 of the DPIIC chip 410, in addition, the control unit 337 can be used to control the plurality of memory cells 446 of the buffer/drive unit 340 (ie, a plurality of SRAM cells as in the first type of FIG. 1A ) is coupled in series to an input of the buffer/drive unit 340 and the control memory unit 446 is coupled in parallel to a plurality of corresponding outputs, the buffer/drive unit 340 can be respectively coupled to the commercial The plurality of memory cells 490 and 362 of the standard FPGAIC chip 200 and/or are respectively coupled to the plurality of memory cells 362 of the memory matrix block 423 in the DPIIC chip 410 in FIG. 9 .

第13B圖為本發明實施例用於資料下載的結構示意圖,如第13B圖,在SATA的標準中,接合連接點586包含:(1)記憶體單元446(也就是如第1A圖中第一型態的一複數SRAM單元);(2)複數開關449(也也就是如第1A圖中第一型態的一複數SRAM單元)中的每一開關449之通道之一端並聯耦接至其它的或另一個開關449的每一個,及開關449之通道的其它端串聯耦接至一記憶體單元446,及(3)複數開關336中的每一個的通道之一端串聯耦接一記憶體單元446及其它端串聯耦接至如第8A圖至第8J圖中的商業化標準FPGAIC晶片200的一複數記憶體單元490及記憶體單元362或如第9圖中DPIIC晶片410中記憶體矩陣區塊423的一複數記憶體單元362。FIG. 13B is a schematic diagram of a structure for data downloading according to an embodiment of the present invention. As shown in FIG. 13B, in the SATA standard, the joint connection point 586 includes: (1) the memory unit 446 (that is, as shown in FIG. 1A , the first (2) One end of the channel of each switch 449 in the plurality of switches 449 (that is, a complex SRAM cell of the first type as shown in FIG. 1A ) is coupled in parallel to the other or each of the other switches 449, and the other end of the channel of the switch 449 is coupled in series to a memory cell 446, and (3) one end of the channel of each of the plurality of switches 336 is coupled in series to a memory cell 446 and other terminals are coupled in series to a plurality of memory cells 490 and 362 of a commercial standard FPGAIC chip 200 as in FIGS. 8A-8J or a memory matrix block as in a DPIIC chip 410 in FIG. 9 423 of a plurality of memory cells 362.

如第13B圖所示,控制單元337通過複數字元線451(也就是如第1A圖中第一型態的一複數SRAM單元)耦接至開關449的複數閘極端,由此,控制單元337用於打開在每一時脈週期(clockcycles)的每一第一時脈期間(clockperiods)第一開關449及關閉其它的開關449,控制單元337用於打開在每一時脈週期內的一第二時脈期間中所有的開關336及關閉在每一時脈週期內的每一第一時脈期間內的所有開關336,控制單元337用於關閉在每一時脈週期內第二時脈期間的所有開關449。As shown in FIG. 13B, the control unit 337 is coupled to the complex gate terminals of the switch 449 through the complex digital element line 451 (ie, a complex SRAM cell of the first type as shown in FIG. 1A ), whereby the control unit 337 For turning on the first switch 449 and turning off other switches 449 in each first clock period of each clock cycle, the control unit 337 is used for turning on a second clock in each clock period All switches 336 in the pulse period and all switches 336 in each first clock period in each clock period are closed, and the control unit 337 is used to close all switches 449 in the second clock period in each clock period .

例如,如第13B圖所示,在一第一個時脈週期內的一第一個第一時脈期間、控制單元337可打開最底端的一個開關449及關閉其它的開關449,由此從緩衝/驅動單元340輸入之第一資料(例如是一第一個第一產生值或編程碼)通過最底端一個開關449之通道而鎖存或儲存在最底端的一個記憶體單元446,接著,在第一個時脈週期內的第二個第一時脈期間可打開第二底端一開關449及關閉其它的開關449,由此從緩衝/驅動單元340輸入的第二資料(例如是第二個產生值或編程碼)通過第二底部的一個開關449的通道,而鎖存或儲存在第二底部的一個記憶體單元446,在第一個時脈週期中,控制單元337可依序打開開關449,並且在第一個時脈期間中依次打開開關449的其他部分,從而從第一個產生值或編程碼中取出第一組數據緩衝/驅動單元340的輸入可以依次逐一通過開關449的通道被鎖存或存儲在記憶體單元446中。在第一個時脈週期中,從緩衝/驅動單元340的輸入的資料依序且逐一鎖存或儲存在所有的記憶體單元446之後,控制單元337可打開在第二時脈期間內的全部的開關336及關閉全部的開關449,從而鎖存或儲存在記憶體單元446內的資料可分別通過開關336的通道並連通過至如第8A圖至第8J圖之商業化標準FPGAIC晶片200的複數記憶體單元490及(或)記憶體單元362,及(或)至如第9圖中的DPIIC晶片410的記憶體矩陣區塊423之複數記憶體單元362。For example, as shown in FIG. 13B, during a first first clock period within a first clock period, the control unit 337 may open the bottommost one switch 449 and close the other switches 449, thereby from The first data (eg, a first first generated value or programming code) input from the buffer/drive unit 340 is latched or stored in the bottommost memory unit 446 through the channel of the bottommost switch 449, and then , during the second first clock period in the first clock period, a switch 449 at the second bottom end can be turned on and other switches 449 can be turned off, so that the second data input from the buffer/drive unit 340 (for example, The second generation value or programming code) passes through a channel of a switch 449 on the second bottom, and is latched or stored in a memory cell 446 on the second bottom. During the first clock cycle, the control unit 337 can Turn on switch 449 sequentially, and turn on other parts of switch 449 in turn during the first clock period, so that the first set of data buffer/drive unit 340 inputs can be passed through the switches sequentially one by one from the first generated value or programming code The channels of 449 are latched or stored in memory unit 446. In the first clock period, after the input data from the buffer/driving unit 340 is sequentially and one by one latched or stored in all the memory cells 446, the control unit 337 can turn on all the memory cells 446 in the second clock period switch 336 and close all switches 449, so that the data latched or stored in the memory cell 446 can pass through the channel of the switch 336 and connect to the commercial standard FPGAIC chip 200 as shown in FIGS. 8A to 8J, respectively. The plurality of memory cells 490 and/or the memory cells 362, and/or the plurality of memory cells 362 to the memory matrix block 423 of the DPIIC chip 410 in FIG.

接著,如第13B圖所示,在一第二個時脈週期,控制單元337及緩衝/驅動單元340可進行與上面第一個時脈週期中所示的相同步驟。在第二個時脈週期中,控制單元337可依序且逐一打開開關449及關閉在第一時脈期間內的其它的開關449,由此來自從緩衝/驅動單元340輸入的資料(例如是一第二組產生值或編程碼)可分別依序且逐一經由開關449通過鎖存或儲存在記憶體單元446,在第二個時脈週期中,從緩衝/驅動單元340輸入的資料依序且逐一鎖存或儲存在所有的記憶體單元446中後,控制單元337可打開所有的開關336及關閉在第二時脈期間中所有的開關449,由此鎖存或儲存在記憶體單元446的資料可並聯的經由349的複數通道分別地通過至如第8A圖至第8J圖中的商業化標準FPGAIC晶片200的複數記憶體單元490及(或)記憶體單元362及(或)如第9圖中DPIIC晶片410的記憶體矩陣區塊423之複數記憶體單元362。Next, as shown in FIG. 13B, in a second clock cycle, the control unit 337 and the buffer/drive unit 340 may perform the same steps as shown in the first clock cycle above. In the second clock period, the control unit 337 can sequentially and one by one turn on the switches 449 and close the other switches 449 in the first clock period, thereby the data from the buffer/driving unit 340 (eg, A second set of generated values or programming codes) can be latched or stored in the memory unit 446 through the switches 449 in sequence and one by one. In the second clock cycle, the data input from the buffer/drive unit 340 are sequentially After being latched or stored in all the memory cells 446 one by one, the control unit 337 can open all the switches 336 and close all the switches 449 during the second clock period, thereby latching or storing in the memory cells 446 The data can be passed in parallel through the plurality of channels of 349 to the plurality of memory cells 490 and/or memory cells 362 and/or the commercial standard FPGAIC chip 200 as shown in Figs. The plural memory cells 362 of the memory matrix block 423 of the DPIIC chip 410 in FIG. 9 are shown.

如第13B圖所示,上述步驟可以重複多次以使得從緩衝/驅動單元340輸入的資料(例如是產生值或編程碼)下載至如第8A圖至第8J圖中的商業化標準FPGAIC晶片200的複數記憶體單元490及(或)記憶體單元362及或如第9圖中DPIIC晶片410的記憶體矩陣區塊423之複數記憶體單元362,緩衝/驅動單元340可將來自其單個輸入的資料鎖存,並增加(放大)資料位宽(bit-width)至如第8A圖至第8J圖中的商業化標準FPGAIC晶片200的複數記憶體單元490及(或)記憶體單元362及(或)如第9圖中DPIIC晶片410的記憶體矩陣區塊423之複數記憶體單元362。As shown in FIG. 13B, the above steps can be repeated multiple times so that the data (eg, generated values or programming codes) input from the buffer/drive unit 340 is downloaded to a commercial standard FPGAIC chip as shown in FIGS. 8A to 8J. 200 of memory cell 490 and/or memory cell 362 and or memory cell 362 of memory matrix block 423 of DPIIC chip 410 in FIG. , and increase (enlarge) the data bit-width to the plurality of memory cells 490 and/or memory cells 362 and 200 of the commercial standard FPGAIC chip 200 as shown in FIGS. (or) the plural memory cells 362 of the memory matrix block 423 of the DPIIC chip 410 in FIG. 9 .

或者,在一外部連結(peripheral-component-interconnect(PCI))標準下,如第13A圖及第13B圖,一複數緩衝/驅動單元340可並聯提供至緩衝器資料(例如是產生值或編程碼),並且並聯地將來自其本身輸入及驅動或放大的資料(傳輸)至如第8A圖至第8J圖中的商業化標準FPGAIC晶片200的複數記憶體單元490及(或)記憶體單元362及或如第9圖中DPIIC晶片410的記憶體矩陣區塊423之複數記憶體單元362,每一緩衝/驅動單元340可執行與上述說明相同的功能。Alternatively, under a peripheral-component-interconnect (PCI) standard, as shown in Figures 13A and 13B, a plurality of buffer/driver units 340 may be provided in parallel to buffer data (eg, generated values or programming codes) ), and transmits (transmits) data from its own input and drive or amplification in parallel to the plurality of memory cells 490 and/or memory cells 362 of a commercial standard FPGAIC chip 200 as shown in Figures 8A-8J And or as in the plurality of memory cells 362 of the memory matrix block 423 of the DPIIC chip 410 in FIG. 9, each buffer/drive unit 340 can perform the same function as described above.

I.用於控制單元、緩衝/驅動單元及複數記憶體單元的第一種排列(佈局)方式I. The first arrangement (layout) for the control unit, buffer/drive unit and multiple memory units

如第13A圖至第13B圖所示,如第8A圖至第8J圖中商業化標準FPGAIC晶片200與其外部電路之間的位寬為32位元的情況下,緩衝/驅動單元340的數量為32個可並聯設在來自其32個相對應輸入的商業化標準FPGAIC晶片200至緩衝器資料(例如是產生值或編程碼)中,並耦接至外部電路(即具有並聯32位元的位寬(bitwidth))及驅動或放大資料至如如第8A圖至第8J圖中的商業化標準FPGAIC晶片200的複數記憶體單元490及(或)記憶體單元362,在每一時脈週期中,設置在商業化標準FPGAIC晶片200中的控制單元337可依序且逐一打開每一32個緩衝/驅動單元340之開關449及關閉在第一個時脈期間中每一32個緩衝/驅動單元340之其它的開關449,因此來自每一32個緩衝/驅動單元340的資料(例如是產生值或編程碼)可依序且逐一經由每一32個緩衝/驅動單元340之開關449的通道通過鎖存或儲存在每一32個緩衝/驅動單元340之記憶體單元446內,在每一個時脈週期中,來自其32個相對應並聯輸入之資料依序且逐一鎖存或儲存在全部32個緩衝/驅動單元340的記憶體單元446之後,控制單元337可打開全部32個緩衝/驅動單元340的開關336及關閉在第二時脈期間內全部32個緩衝/驅動單元340的開關449,因此鎖存或儲存在全部32個緩衝/驅動單元340的記憶體單元446的資料,可並聯且個別地經由32個緩衝/驅動單元340之開關336的通道通過至第8A圖至第8J圖中的商業化標準FPGAIC晶片200的複數記憶體單元490及(或)記憶體單元362。As shown in FIGS. 13A to 13B , in the case where the bit width between the commercial standard FPGAIC chip 200 and its external circuits is 32 bits as shown in FIGS. 8A to 8J , the number of buffer/driver units 340 is 32 can be paralleled in a commercial standard FPGAIC chip 200 from its 32 corresponding inputs into buffer data (such as generated values or programming codes) and coupled to external circuits (ie, with parallel 32 bits of width (bitwidth) and drive or zoom data to a plurality of memory cells 490 and/or memory cells 362 of a commercial standard FPGAIC chip 200 as shown in Figures 8A-8J, in each clock cycle, The control unit 337 provided in the commercial standard FPGAIC chip 200 can turn on the switch 449 of each 32 buffer/driver units 340 and turn off each 32 buffer/driver units 340 in the first clock period in sequence and one by one other switches 449, so data from each of the 32 buffer/drive units 340 (eg, generated values or programming codes) can pass through the lock sequentially and one by one through the channels of the switches 449 of each of the 32 buffer/drive units 340 Stored or stored in the memory unit 446 of each of the 32 buffer/drive units 340, in each clock cycle, the data from its 32 corresponding parallel inputs are sequentially and one by one latched or stored in all 32 After the memory unit 446 of the buffer/driver unit 340, the control unit 337 can turn on the switches 336 of all 32 buffer/driver units 340 and turn off the switches 449 of all 32 buffer/driver units 340 during the second clock period, thus Data latched or stored in the memory cells 446 of all 32 buffer/drive units 340 can be passed in parallel and individually through the channels of the switches 336 of the 32 buffer/drive units 340 to Figures 8A to 8J A plurality of memory cells 490 and/or memory cells 362 of a commercial standard FPGA IC chip 200 .

對於第一種型式的商業化標準FPGAIC晶片200,每一複數記憶體單元490用於查找表(look-uptables(LUTs))210可以是晶片上揮發性記憶體單元,如第1A圖或第1B圖中記憶單元398,或是晶片上非揮發性記憶體單元,例如是浮動閘極非揮發性記憶體單元、MRAMIC晶片、RRAMIC晶片、相變化隨機存取記憶體IC晶片或FRAMIC晶片,及用於複數交叉點開關379之複數記憶體單元362可以是晶片上揮發性記憶體單元,如第1A圖或第1B圖中記憶單元398,或是晶片上非揮發性記憶體單元,例如是浮動閘極非揮發性記憶體單元、MRAMIC晶片、相變化隨機存取記憶體IC晶片、RRAMIC晶片或FRAMIC晶片。For the first type of commercial standard FPGAIC chip 200, each of the plurality of memory cells 490 for look-up tables (LUTs) 210 may be on-chip volatile memory cells, as shown in FIG. 1A or 1B The memory cell 398 in the figure is an on-chip non-volatile memory cell, such as a floating gate non-volatile memory cell, MRMIC chip, RRMIC chip, phase change random access memory IC chip, or FRMIC chip, and uses The plurality of memory cells 362 in the plurality of crosspoint switches 379 may be on-chip volatile memory cells, such as memory cells 398 in Figure 1A or 1B, or on-chip non-volatile memory cells, such as floating gates Very Non-Volatile Memory Cell, MRAMIC Chip, Phase Change Random Access Memory IC Chip, RRAMIC Chip or FRMIC Chip.

對於如第11A圖至第11N圖的每一單層封裝邏輯驅動器300,每一複數商業化標準FPGAIC晶片200可具有用於如上所述之控制單元337、緩衝/驅動單元340及複數記憶體單元490及記憶體單元362的第一種排列(佈局)方式。For each single-level package logic driver 300 as shown in FIGS. 11A-11N, each commercial standard FPGAIC chip 200 may have a control unit 337, a buffer/drive unit 340, and a plurality of memory cells for use as described above 490 and the first arrangement (layout) of the memory cells 362.

II.用於控制單元、緩衝/驅動單元及複數記憶體單元的第二種排列(佈局)方式II. Second arrangement (layout) for control units, buffer/drive units and multiple memory units

如第13A圖至第13B圖所示,如第13A圖至第13B圖所示,如第9圖中DPIIC晶片410與其外部電路之間的位寬為32位元的情況下,緩衝/驅動單元340的數量為32個可並聯設在來自其32個相對應輸入的DPIIC晶片410至緩衝器資料(例如是編程碼)中,並耦接至外部電路(即具有並聯32位元的位寬(bitwidth))及驅動或放大資料至如如第8A圖至第8J圖中的DPIIC晶片410的複數記憶體單元490及(或)記憶體單元362,在每一時脈週期中,設置在DPIIC晶片410中的控制單元337可依序且逐一打開每一32個緩衝/驅動單元340之開關449及關閉在第一個時脈期間中每一32個緩衝/驅動單元340之其它的開關449,因此來自每一32個緩衝/驅動單元340的資料(例如是產生值或編程碼)可依序且逐一經由每一32個緩衝/驅動單元340之開關449的通道通過鎖存或儲存在每一32個緩衝/驅動單元340之記憶體單元446內,在每一個時脈週期中,來自其32個相對應並聯輸入之資料依序且逐一鎖存或儲存在全部32個緩衝/驅動單元340的記憶體單元446之後,控制單元337可打開全部32個緩衝/驅動單元340的開關336及關閉在第二時脈期間內全部32個緩衝/驅動單元340的開關449,因此鎖存或儲存在全部32個緩衝/驅動單元340的記憶體單元446的資料,可並聯且個別地經由32個緩衝/驅動單元340之開關336的通道通過至第9圖中的DPIIC晶片410的記憶體矩陣區塊423之複數記憶體單元362。As shown in FIG. 13A to FIG. 13B, as shown in FIG. 13A to FIG. 13B, in the case where the bit width between the DPIIC chip 410 and its external circuit is 32 bits in FIG. 9, the buffer/drive unit The number of 340 is 32 which can be set in parallel in the DPIIC chip 410 from its 32 corresponding inputs to buffer data (such as programming code), and coupled to external circuits (ie, having a parallel 32-bit bit width ( bitwidth)) and drive or amplify the data to a plurality of memory cells 490 and/or memory cells 362 of the DPIIC chip 410 as shown in Figures 8A to 8J, disposed on the DPIIC chip 410 in each clock cycle The control unit 337 in can turn on the switches 449 of each of the 32 buffer/drive units 340 in sequence and one by one and close the other switches 449 of each of the 32 buffer/drive units 340 in the first clock period, thus from Data (eg, generated values or programming codes) of each 32 buffer/drive units 340 can be latched or stored in each In the memory unit 446 of the buffer/driver unit 340, in each clock cycle, the data from its 32 corresponding parallel inputs are sequentially and one by one latched or stored in the memory of all 32 buffer/driver units 340 After unit 446, control unit 337 may open switches 336 of all 32 buffer/drive units 340 and close switches 449 of all 32 buffer/drive units 340 during the second clock period, thus latching or storing in all 32 The data of the memory cells 446 of the buffer/drive unit 340 can be passed in parallel and individually through the channels of the switches 336 of the 32 buffer/drive units 340 to the pluralities of the memory matrix blocks 423 of the DPIIC chip 410 in FIG. 9 memory unit 362 .

對於第一型式的DPIIC晶片410,用於複數交叉點開關379之每一複一數記憶體單元362可以是一晶片上揮發性記憶體單元,用於如第1A圖或第1B圖中的中記憶單元398,或是晶片上非揮發性記憶體單元,例如是浮動閘極非揮發性記憶體單元、MRAMIC晶片、RRAMIC晶片、相變化隨機存取記憶體IC晶片或FRAMIC晶片。For the first type of DPIIC chip 410, each of the plurality of memory cells 362 for the plurality of crosspoint switches 379 may be an on-chip volatile memory cell for use as in Figure 1A or Figure 1B The memory cell 398 is an on-chip non-volatile memory cell, such as a floating gate non-volatile memory cell, an MRMIC chip, an RRMIC chip, a phase change random access memory IC chip, or a FRMIC chip.

對於如第11A圖至第11N圖中每一單層封裝邏輯驅動器300,每一複數DPIIC晶片410可具有用於如上所述之控制單元337、緩衝/驅動單元340及複數記憶體單元362的第二種排列(佈局)方式。For each single-level package logic driver 300 as shown in FIGS. 11A-11N, each DPIIC chip 410 may have a first for the control unit 337, the buffer/drive unit 340, and the plurality of memory units 362 as described above. Two arrangement (layout) ways.

III.用於控制單元、緩衝/驅動單元及複數記憶體單元的第三種排列(佈局)方式III. Third Arrangement (Layout) for Control Units, Buffer/Drive Units and Multiple Memory Units

如第13A圖至第13B圖所示,用於如第11A圖至11N圖中單層封裝邏輯驅動器300的控制單元337、緩衝/驅動單元340及複數記憶體單元490及記憶體單元362的第三種排列(佈局)方式與用於單層封裝邏輯驅動器300的每一複數商業化標準FPGAIC晶片200之控制單元337、緩衝/驅動單元340及複數記憶體單元490及記憶體單元362的第一種排列(佈局)方式相似,但二者之間的差別在於第三種排列中的控制單元337設置在如第11A圖至第11N圖中專用控制晶片260、專用控制晶片及專用I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268中,而不是設置在單層封裝邏輯驅動器300的任一複數商業化標準FPGAIC晶片200中,控制單元337設置在專用控制晶片260、專用控制晶片及專用I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268中可以是(1)經由一個字元線451通過一控制命令至在一複數商業化標準FPGAIC晶片200中緩衝/驅動單元340的一個開關449,其中字元線451係由一固定交互連接線364或晶片內交互連接線371所提供;或(2)經由一個字元線454通過一控制命令至在一個複數商業化標準FPGAIC晶片200中緩衝/驅動單元340的全部開關336,其中字元線454係由另一固定交互連接線364或晶片內交互連接線371所提供。As shown in FIGS. 13A to 13B , the control unit 337 , the buffer/drive unit 340 , the plurality of memory units 490 and the memory unit 362 of the single-level package logic driver 300 as shown in FIGS. 11A to 11N The three arrangements (layouts) and the first of the control units 337 , buffer/drive units 340 , and the plurality of memory units 490 and 362 of each of the plurality of commercial standard FPGAIC chips 200 for the single-level package logic driver 300 The first arrangement (layout) is similar, but the difference between the two is that the control unit 337 in the third arrangement is arranged on the dedicated control chip 260, the dedicated control chip and the dedicated I/O chip as shown in FIGS. 11A to 11N. 266, the DCIAC die 267 or the DCDI/OIAC die 268, instead of being provided in any of the plurality of commercial standard FPGAIC die 200 of the single-level package logic driver 300, the control unit 337 is provided on the dedicated control die 260, the dedicated control die and the dedicated control die 266. In I/O die 266 , DCIAC die 267 or DCDI/OIAC die 268 may be (1) a switch via a word line 451 through a control command to buffer/drive unit 340 in a plurality of commercial standard FPGAIC die 200 449, wherein word line 451 is provided by a fixed interconnect line 364 or on-chip interconnect line 371; or (2) via a word line 454 through a control command to a plurality of commercial standard FPGAIC chips 200 All switches 336 of the buffer/drive unit 340, where the word line 454 is provided by another fixed interconnect 364 or an intra-chip interconnect 371.

IV.用於控制單元、緩衝/驅動單元及複數記憶體單元的第四種排列(佈局)方式IV. Fourth Arrangement (Layout) Method for Control Unit, Buffer/Drive Unit and Multiple Memory Units

如第13A圖至第13B圖所示,用於如第11A圖至11N圖中單層封裝邏輯驅動器300的控制單元337、緩衝/驅動單元340及複數記憶體單元362的第四種排列(佈局)方式與用於單層封裝邏輯驅動器300的每一複數DPIIC晶片410之控制單元337、緩衝/驅動單元340及複數記憶體單元362的第二種排列(佈局)方式相似,但二者之間的差別在於第四種排列中的控制單元337設置在如第11A圖至第11N圖中專用控制晶片260、專用控制晶片及專用I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268中,而不是設置在單層封裝邏輯驅動器300的任一複數DPIIC晶片410中,控制單元337設置在專用控制晶片260、專用控制晶片及專用I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268中可以是(1)經由一個字元線451通過一控制命令至在一複數DPIIC晶片410中緩衝/驅動單元340的一個開關449,其中字元線451係由一固定交互連接線364或晶片內交互連接線371所提供;或(2)經由一個字元線454通過一控制命令至在一個複數DPIIC晶片410中緩衝/驅動單元340的全部開關336,其中字元線454係由另一固定交互連接線364或晶片內交互連接線371所提供。As shown in FIGS. 13A-13B, a fourth arrangement (layout) of the control unit 337, the buffer/drive unit 340, and the plurality of memory units 362 for the single-level package logic driver 300 as shown in FIGS. 11A-11N ) is similar to the second arrangement (layout) of the control units 337, buffer/drive units 340, and memory units 362 of each of the DPIIC chips 410 for the single-level package logic driver 300, but the difference between the two The difference is that the control unit 337 in the fourth arrangement is provided in the dedicated control chip 260, the dedicated control chip and the dedicated I/O chip 266, the DCIAC chip 267 or the DCDI/OIAC chip 268 as shown in Figures 11A to 11N, Rather than being located in any of the plurality of DPIIC dies 410 of the single level package logic driver 300, the control unit 337 is located in the dedicated control die 260, the dedicated control die and the dedicated I/O die 266, the DCIAC die 267 or the DCDI/OIAC die 268 Can be (1) a control command to a switch 449 of the buffer/drive unit 340 in a plurality of DPIIC chips 410 via a word line 451, which is interconnected by a fixed interconnect 364 or intra-chip provided by connection line 371; or (2) by a control command to all switches 336 of buffer/drive units 340 in a plurality of DPIIC chips 410 via a word line 454, wherein word line 454 is interconnected by another fixed Wire 364 or intra-wafer interconnect wire 371 is provided.

V.用於邏輯驅動器的控制單元、緩衝/驅動單元及複數記憶體單元的第五種排列(佈局)方式V. Fifth arrangement (layout) of control units, buffer/drive units and multiple memory units for logical drivers

如第13A圖至第13B圖所示,用於如第11B圖、第11E圖、第11F圖、第11H圖及第11J圖中單層封裝邏輯驅動器300的控制單元337、緩衝/驅動單元340及複數記憶體單元490及記憶體單元362的第五種排列(佈局)方式與用於單層封裝邏輯驅動器300的每一複數商業化標準FPGAIC晶片200之控制單元337、緩衝/驅動單元340及複數記憶體單元490及記憶體單元362的第一種排列(佈局)方式相似,但二者之間的差別在於第五種排列中的控制單元337及緩衝/驅動單元340二者皆設置在如如第11B圖、第11E圖、第11F圖、第11H圖及第11J圖中專用控制晶片及專用I/O晶片266或DCDI/OIAC晶片268中,而不是設置在單層封裝邏輯驅動器300的任一複數商業化標準FPGAIC晶片200中,設置在專用控制晶片260、專用控制晶片及專用I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268內的控制單元337可以(1)經由一個字元線451通過一控制命令至在一個複數DPIIC晶片410中緩衝/驅動單元340的一個開關449,其中字元線451係由一固定交互連接線364或晶片內交互連接線371所提供;或(2)經由一個字元線454通過一控制命令至在一個複數DPIIC晶片410中緩衝/驅動單元340的全部開關336,其中字元線454係由另一固定交互連接線364或晶片內交互連接線371所提供。As shown in FIGS. 13A to 13B, for the control unit 337 and the buffer/drive unit 340 of the single-level package logic driver 300 as shown in FIGS. 11B, 11E, 11F, 11H, and 11J and the fifth arrangement (layout) of the plurality of memory cells 490 and 362 and the control unit 337, buffer/driver unit 340 and the control units 337, buffer/driver units 340 and The first arrangement (layout) of the plurality of memory cells 490 and the memory cells 362 is similar, but the difference between the two is that both the control unit 337 and the buffer/drive unit 340 in the fifth arrangement are arranged in such as 11B, 11E, 11F, 11H, and 11J in the dedicated control die and dedicated I/O die 266 or the DCDI/OIAC die 268, rather than on the single-level package logic driver 300 In any of the plurality of commercial standard FPGAIC chips 200, the control unit 337 provided in the dedicated control chip 260, the dedicated control chip and the dedicated I/O chip 266, the DCIAC chip 267 or the DCDI/OIAC chip 268 can (1) pass a word Word line 451 passes a control command to a switch 449 of buffer/drive unit 340 in a plurality of DPIIC chips 410, wherein word line 451 is provided by a fixed interconnect 364 or an intra-chip interconnect 371; or ( 2) Pass a control command to all switches 336 of buffer/drive units 340 in a plurality of DPIIC chips 410 via a word line 454 connected by another fixed interconnect 364 or an intra-chip interconnect 371 provided.

VI.用於邏輯驅動器的控制單元、緩衝/驅動單元及複數記憶體單元的第六種排列(佈局)方式VI. Sixth Arrangement (Layout) of Control Unit, Buffer/Drive Unit and Multiple Memory Units for Logical Drivers

如第13A圖至第13B圖所示,用於如第11B圖、第11E圖、第11F圖、第11H圖及第11J圖中單層封裝邏輯驅動器300的控制單元337、緩衝/驅動單元340及複數記憶體單元362的第六種排列(佈局)方式與用於單層封裝邏輯驅動器300的每一複數DPIIC晶片410之控制單元337、緩衝/驅動單元340及複數記憶體單元362的第二種排列(佈局)方式相似,但二者之間的差別在於第五種排列中的控制單元337及緩衝/驅動單元340二者皆設置在如如第11B圖、第11E圖、第11F圖、第11H圖及第11J圖中專用控制晶片及專用I/O晶片266或DCDI/OIAC晶片268中,而不是設置在單層封裝邏輯驅動器300的任一複數DPIIC晶片410中,設置在專用控制晶片260、專用控制晶片及專用I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268內的控制單元337可以(1)經由一個字元線451通過一控制命令至在一個複數DPIIC晶片410中緩衝/驅動單元340的一個開關449,其中字元線451係由一固定交互連接線364或晶片內交互連接線371所提供;或(2)經由一個字元線454通過一控制命令至在一個複數DPIIC晶片410中緩衝/驅動單元340的全部開關336,其中字元線454係由另一固定交互連接線364或晶片內交互連接線371所提供。As shown in FIGS. 13A to 13B, for the control unit 337 and the buffer/drive unit 340 of the single-level package logic driver 300 as shown in FIGS. 11B, 11E, 11F, 11H, and 11J and the sixth arrangement (layout) of the plurality of memory cells 362 and the second for the control unit 337 , the buffer/drive unit 340 and the plurality of memory cells 362 of each of the plurality of DPIIC chips 410 of the single-level package logic driver 300 The arrangement (layout) of the first arrangement is similar, but the difference between the two is that both the control unit 337 and the buffer/drive unit 340 in the fifth arrangement are arranged in the configuration shown in Fig. 11B, Fig. 11E, Fig. 11F, The dedicated control die and dedicated I/O die 266 or the DCDI/OIAC die 268 in FIGS. 11H and 11J are provided on the dedicated control die instead of any of the plurality of DPIIC die 410 of the single-package logic driver 300 260. The control unit 337 in the dedicated control chip and dedicated I/O chip 266, DCIAC chip 267 or DCDI/OIAC chip 268 may (1) pass a control command via a word line 451 to buffering in a plurality of DPIIC chips 410 / a switch 449 of the drive unit 340, wherein the word line 451 is provided by a fixed interconnect 364 or an on-chip interconnect 371; or (2) via a word line 454 through a control command to a plurality of All switches 336 of the buffer/drive unit 340 in the DPIIC die 410, where the word line 454 is provided by another fixed interconnect 364 or an intra-die interconnect 371.

VII.用於邏輯驅動器的控制單元、緩衝/驅動單元及複數記憶體單元的第七種排列(佈局)方式VII. Seventh arrangement (layout) of control unit, buffer/drive unit and plural memory units for logical drives

如第13A圖至第13B圖所示,用於如第11A圖至11N圖中單層封裝邏輯驅動器300的控制單元337、緩衝/驅動單元340及複數記憶體單元490及記憶體單元362的第七種排列(佈局)方式與用於單層封裝邏輯驅動器300的每一複數商業化標準FPGAIC晶片200之控制單元337、緩衝/驅動單元340及複數記憶體單元490及記憶體單元362的第一種排列(佈局)方式相似,但二者之間的差別在於第七種排列中的控制單元337設置在如第11A圖至第11N圖中專用控制晶片260、專用控制晶片及專用I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268中,而不是設置在單層封裝邏輯驅動器300的任一複數商業化標準FPGAIC晶片200中,另外,緩衝/驅動單元340在第七種排列中係設置在如第11A圖至第11N圖的一個複數專用I/O晶片265內,而不是設置在單層封裝邏輯驅動器300的任一複數商業化標準FPGAIC晶片200中,控制單元337設置在專用控制晶片260、專用控制晶片及專用I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268中可以是(1)經由一個字元線451通過一控制命令至在一複數專用I/O晶片265中緩衝/驅動單元340的一個開關449,其中字元線451係由一固定交互連接線364或晶片內交互連接線371所提供;或(2)經由一個字元線454通過一控制命令至在一個複數專用I/O晶片265中緩衝/驅動單元340的全部開關336,其中字元線454係由另一固定交互連接線364或晶片內交互連接線371所提供。資料可串聯傳輸至一個複數專用I/O晶片265中的緩衝/驅動單元340,鎖存或儲存在緩衝/驅動單元340的記憶體單元446內,在一個複數專用I/O晶片265的緩衝/驅動單元340可依序並聯通過來自其本身記憶體單元446的資料至一個複數商業化標準FPGAIC晶片200的一組複數記憶體單元490及記憶體單元362,依序通過一個複數專用I/O晶片265的一組並聯複數小型I/O電路203、晶片內交互連接線371的一組並聯固定交互連接線364及一個複數商業化標準FPGAIC晶片200的一組並聯複數小型I/O電路203。As shown in FIGS. 13A to 13B , the control unit 337 , the buffer/drive unit 340 , the plurality of memory units 490 and the memory unit 362 of the single-level package logic driver 300 as shown in FIGS. 11A to 11N Seven arrangements (layouts) and the first of the control unit 337 , the buffer/drive unit 340 , and the plurality of memory cells 490 and 362 of each of the plurality of commercial standard FPGAIC chips 200 for the single-level package logic driver 300 The first arrangement (layout) is similar, but the difference between the two is that the control unit 337 in the seventh arrangement is arranged on the dedicated control chip 260, the dedicated control chip and the dedicated I/O chip as shown in FIGS. 11A to 11N. 266, DCIAC die 267 or DCDI/OIAC die 268, instead of being provided in any of the plurality of commercial standard FPGAIC die 200 of single level package logic driver 300, in addition, buffer/driver unit 340 is provided in a seventh arrangement Control unit 337 is provided on a dedicated control die within a plurality of dedicated I/O die 265 as shown in Figures 11A-11N, rather than on any of the commercial standard FPGAIC die 200 of single level package logic driver 300 260. Dedicated control chip and dedicated I/O chip 266, DCIAC chip 267 or DCDI/OIAC chip 268 may be (1) buffered in a plurality of dedicated I/O chips 265 via a word line 451 through a control command / a switch 449 of the drive unit 340, wherein the word line 451 is provided by a fixed interconnect 364 or an on-chip interconnect 371; or (2) via a word line 454 through a control command to a plurality of All switches 336 of buffer/drive unit 340 in dedicated I/O die 265 where word line 454 is provided by another fixed interconnect 364 or intra-die interconnect 371. Data may be serially transferred to buffer/drive unit 340 in a plurality of dedicated I/O chips 265, latched or stored in memory unit 446 of buffer/drive unit 340, in buffer/drive unit 446 of a plurality of dedicated I/O chips 265 Drive unit 340 can pass data from its own memory cell 446 in parallel to a plurality of memory cells 490 and memory cells 362 of a plurality of commercial standard FPGAIC chips 200 in sequence, and sequentially through a plurality of dedicated I/O chips 265 of a set of parallel complex small I/O circuits 203, a set of parallel fixed cross-connect lines 364 of intra-chip interconnect lines 371, and a set of parallel complex small I/O circuits 203 of a complex commercial standard FPGAIC chip 200.

VIII.用於邏輯驅動器的控制單元、緩衝/驅動單元及複數記憶體單元的第八種排列(佈局)方式VIII. Eighth arrangement (layout) of control unit, buffer/drive unit and plural memory units for logical drives

如第13A圖至第13B圖所示,用於如第11A圖至11N圖中單層封裝邏輯驅動器300的控制單元337、緩衝/驅動單元340及複數記憶體單元362的第八種排列(佈局)方式與用於單層封裝邏輯驅動器300的每一複數DPIIC晶片410之控制單元337、緩衝/驅動單元340及複數記憶體單元362的第一種排列(佈局)方式相似,但二者之間的差別在於第八種排列中的控制單元337設置在如第11A圖至第11N圖中專用控制晶片260、專用控制晶片及專用I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268中,而不是設置在單層封裝邏輯驅動器300的任一複數DPIIC晶片410中,另外,緩衝/驅動單元340在第八種排列中係設置在如第11A圖至第11N圖的一個複數專用I/O晶片265內,而不是設置在單層封裝邏輯驅動器300的任一複數DPIIC晶片410中,控制單元337設置在專用控制晶片260、專用控制晶片及專用I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268中可以是(1)經由一個字元線451通過一控制命令至在一複數專用I/O晶片265中緩衝/驅動單元340的一個開關449,其中字元線451係由一固定交互連接線364或晶片內交互連接線371所提供;或(2)經由一個字元線454通過一控制命令至在一個複數專用I/O晶片265中緩衝/驅動單元340的全部開關336,其中字元線454係由另一固定交互連接線364或晶片內交互連接線371所提供,資料可串聯傳輸至一個複數專用I/O晶片265中的緩衝/驅動單元340,鎖存或儲存在緩衝/驅動單元340的記憶體單元446內,在一個複數專用I/O晶片265的緩衝/驅動單元340可依序並聯通過來自其本身記憶體單元446的資料至一個複數DPIIC晶片410的一組複數記憶體單元490及記憶體單元362,依序通過一個複數專用I/O晶片265的一組並聯複數小型I/O電路203、晶片內交互連接線371的一組並聯晶片內交互連接線371的固定交互連接線364及一個複數DPIIC晶片410的一組並聯複數小型I/O電路203。As shown in FIGS. 13A to 13B, an eighth arrangement (layout) of the control unit 337, the buffer/drive unit 340, and the plurality of memory units 362 for the single-level package logic driver 300 as shown in FIGS. 11A to 11N ) is similar to the first arrangement (layout) of the control unit 337, the buffer/drive unit 340, and the plurality of memory units 362 for each of the DPIIC chips 410 of the single-level package logic driver 300, but the difference between the two The difference is that the control unit 337 in the eighth arrangement is provided in the dedicated control chip 260, the dedicated control chip and the dedicated I/O chip 266, the DCIAC chip 267 or the DCDI/OIAC chip 268 as shown in Figures 11A to 11N, Instead of being located in any of the plural DPIIC die 410 of the single-level package logic driver 300, in addition, the buffer/driver unit 340 is located in a plural dedicated I/O as shown in FIGS. 11A-11N in the eighth arrangement. Within die 265, rather than being located in any of the plurality of DPIIC die 410 of single-level package logic driver 300, control unit 337 is located on dedicated control die 260, dedicated control die and dedicated I/O die 266, DCIAC die 267 or DCDI/ The OIAC chip 268 may (1) pass a control command to a switch 449 of the buffer/drive unit 340 in a plurality of dedicated I/O chips 265 via a word line 451, wherein the word line 451 is communicated by a fixed or (2) via a word line 454 through a control command to all switches 336 of the buffer/drive unit 340 in a plurality of dedicated I/O chips 265, where the word Metaline 454 is provided by another fixed interconnect 364 or on-chip interconnect 371, and data can be transmitted in series to buffer/drive units 340 in a plurality of dedicated I/O chips 265, latched or stored in buffer/drive units 340. Within the memory unit 446 of the drive unit 340, the buffer/drive unit 340 of a plurality of dedicated I/O chips 265 can pass data from its own memory unit 446 in parallel in sequence to a set of plural memories of a plurality of DPIIC chips 410 The body unit 490 and the memory unit 362 are fixed in sequence through a set of parallel complex small I/O circuits 203 of a complex dedicated I/O chip 265 and a set of parallel intra-chip interconnection lines 371 of the intra-chip interconnection lines 371 Interconnect lines 364 and a set of parallel complex miniature I/O circuits 203 of a complex DPIIC chip 410 .

用於晶片(FISC)的第一交互連接線結構及其製造方法First interconnect structure for wafer (FISC) and method of making the same

每一標準商業FPGAIC晶片200、DPIIC晶片410、專用I/O晶片265、專用控制晶片260、專用控制晶片及專用I/O晶片266、IAC晶片402、DCIAC晶片267、DCDI/OIAC晶片268、DRAM晶片321、運算及(或)計算IC晶片269可經由下列步驟形成:Each standard commercial FPGAIC die 200, DPIIC die 410, dedicated I/O die 265, dedicated control die 260, dedicated control die and dedicated I/O die 266, IAC die 402, DCIAC die 267, DCDI/OIAC die 268, DRAM Chip 321, computing and/or computing IC chip 269 may be formed through the following steps:

第14A圖為本發明實施例中半導體晶圓剖面圖,如第14A圖所示,一半導體基板或半導體空白晶圓2可以是一矽基板或矽晶圓、砷化鎵(GaAs)基板、砷化鎵晶圓、矽鍺(SiGe)基板、矽鍺晶圓、絕緣層上覆矽基板(SOI),其基板晶圓尺寸例如是直徑8吋、12吋或18吋。FIG. 14A is a cross-sectional view of a semiconductor wafer according to an embodiment of the present invention. As shown in FIG. 14A, a semiconductor substrate or a semiconductor blank wafer 2 may be a silicon substrate or a silicon wafer, a gallium arsenide (GaAs) substrate, an arsenic Gallium oxide wafers, silicon germanium (SiGe) substrates, silicon germanium wafers, silicon-on-insulator (SOI) substrates, the substrate wafer size is, for example, 8 inches, 12 inches or 18 inches in diameter.

如第14A圖所示,複數半導體元件4形成在半導體基板2的半導體元件區域上,半導體元件4可包括一記憶體單元、一邏輯運算電路、一被動元件(例如是一電阻、一電容、一電感或一過濾器或一主動元件,其中主動元件例如是p-通道金屬氧化物半導體(MOS)元件、n-通道MOS元件、CMOS(互補金屬氧化物半導體)元件、BJT(雙極結晶體管)元件、BiCMOS(雙極CMOS)元件、如第31A圖及第31B圖所繪示之場效電晶體(FINFET)元件或如第32A圖及第32B圖所繪示之閘極全環電晶體(Gate-All-AroundField-Effect-Transistor(GAAFET))、FINFET在矽在絕緣體上(FINFETonSilicon-On-Insulator(FINFETSOI)、全空乏絕緣上覆矽MOSFET(FullyDepletedSilicon-On-Insulator(FDSOI)MOSFET)、部分空乏絕緣上覆矽MOSFET(PartiallyDepletedSilicon-On-Insulator(PDSOI)MOSFET)或常規的MOSFET,而半導體元件4用於標準商業FPGAIC晶片200、DPIIC晶片410、專用I/O晶片265、專用控制晶片260、專用控制晶片及專用I/O晶片266、IAC晶片402、DCIAC晶片267、DCDI/OIAC晶片268、DRAM晶片321、運算及(或)計算IC晶片269中的複數電晶體。As shown in FIG. 14A, a plurality of semiconductor elements 4 are formed on the semiconductor element region of the semiconductor substrate 2. The semiconductor elements 4 may include a memory unit, a logic operation circuit, a passive element (for example, a resistor, a capacitor, a An inductor or a filter or an active element, wherein the active element is, for example, a p-channel metal oxide semiconductor (MOS) element, an n-channel MOS element, a CMOS (complementary metal oxide semiconductor) element, a BJT (bipolar junction transistor) device, BiCMOS (Bipolar CMOS) device, Field Effect Transistor (FINFET) device as shown in Figures 31A and 31B or a gate full ring transistor as shown in Figures 32A and 32B ( Gate-All-AroundField-Effect-Transistor (GAAFET)), FINFET on Silicon-On-Insulator (FINFETSOI), Fully Depleted Silicon-On-Insulator (FDSOI) MOSFET, Partially Depleted Silicon-On-Insulator (FDSOI) MOSFET Partially Depleted Silicon-On-Insulator (PDSOI) MOSFETs or conventional MOSFETs, while semiconductor elements 4 are used for standard commercial FPGAIC chips 200, DPIIC chips 410, dedicated I/O chips 265, dedicated control chips 260, Dedicated control chip and dedicated I/O chip 266 , IAC chip 402 , DCIAC chip 267 , DCDI/OIAC chip 268 , DRAM chip 321 , complex transistors in arithmetic and/or computing IC chip 269 .

關於單層封裝邏輯驅動器300如第11A圖至第11N圖所示,對於每一標準商業FPGAIC晶片200,半導體元件4可組成複數邏輯區塊(LB)201的多工器211、用於複數邏輯區塊(LB)201中查找表210的複數記憶體單元490、用於複數通過/不通過開關258、複數交叉點開關379及複數小型I/O電路203的複數記憶體單元362,如上述第8A圖至第8J圖所示;對於每一DPIIC晶片410,半導體元件4可組成複數通過/不通過開關258、複數交叉點開關379及複數小型I/O電路203的複數記憶體單元362,如上述第9圖所示,對於每一專用I/O晶片265、專用控制晶片及專用I/O晶片266或DCDI/OIAC晶片268,半導體元件4可組成複數小型I/O電路341及複數小型I/O電路203,如上述第10圖所示;半導體元件4可組成控制單元337如第13A圖及第13B圖所示,設置在每一標準商業FPGAIC晶片200、每一DPIIC晶片410、專用控制晶片260、專用控制晶片及專用I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268中;半導體元件4可組成緩衝/驅動單元340如上述第13A圖及第13B圖所示,並設置在每一複數商業化標準FPGAIC晶片200、每一複數DPIIC晶片410、每一複數專用I/O晶片265、專用控制晶片及專用I/O晶片266或DCDI/OIAC晶片268。Regarding the single-level package logic driver 300, as shown in FIGS. 11A to 11N, for each standard commercial FPGAIC chip 200, the semiconductor elements 4 can constitute the multiplexer 211 of the complex logic block (LB) 201 for complex logic The complex memory cells 490 of the lookup table 210 in the block (LB) 201, the complex memory cells 362 for the complex pass/fail switches 258, the complex crosspoint switches 379, and the complex small I/O circuits 203 are as described above in Section 1. As shown in Figures 8A to 8J; for each DPIIC chip 410, the semiconductor elements 4 may constitute a plurality of pass/fail switches 258, a plurality of cross-point switches 379, and a plurality of memory cells 362 of a plurality of small I/O circuits 203, such as As shown in FIG. 9 above, for each dedicated I/O chip 265, dedicated control chip and dedicated I/O chip 266 or DCDI/OIAC chip 268, the semiconductor elements 4 can form a plurality of small I/O circuits 341 and a plurality of small I/O circuits 341. The /O circuit 203 is shown in Fig. 10 above; the semiconductor element 4 can form a control unit 337 as shown in Fig. 13A and Fig. 13B, which are arranged on each standard commercial FPGAIC chip 200, each DPIIC chip 410, and a dedicated control unit. In the chip 260, the dedicated control chip and the dedicated I/O chip 266, the DCIAC chip 267 or the DCDI/OIAC chip 268; the semiconductor element 4 can form a buffer/drive unit 340 as shown in the above-mentioned Fig. 13A and Fig. 13B, and arranged in the Each of the plurality of commercial standard FPGAIC chips 200 , each of the plurality of DPIIC chips 410 , each of the plurality of dedicated I/O chips 265 , dedicated control chips and dedicated I/O chips 266 or DCDI/OIAC chips 268 .

如第14A圖,形成在半導體基板2上的第一交互連接線結構20連接至半導體元件4,在晶片(FISC)上或內的第一交互連接線結構20經由晶圓製程形成在半導體基板2上,FISC20可包括4至15層或6至12層的圖案化複數交互連接線金屬層6(在此圖只顯示3層),其中圖案化複數交互連接線金屬層6具有複數金屬接墊、線及連接線8及複數金屬栓塞10,FISC20的複數金屬接墊、線及連接線8及金屬栓塞10可用於每一複數商業化標準FPGAIC晶片200中複數晶片內交互連接線502的複數可編程的及固定的交互連接線361及364,如第8A圖所示,FISC20的第一交互連接線結構20可包括複數絕緣介電層12及複數交互連接線金屬層6在每二相鄰層複數絕緣介電層12之間,FISC20的每一交互連接線金屬層6可包括複數金屬接墊、線及連接線8在其頂部,而金屬栓塞10在其底部,FISC20的複數絕緣介電層12其中之一可在複數交互連接線金屬層6中二相鄰之複數金屬接墊、線及連接線8之間,其中在FISC20頂部具有金屬栓塞10在一複數絕緣介電層12內,每一FISC20的複數交互連接線金屬層6中,複數金屬接墊、線及連接線8具有一厚度t1小於3μm(例如係介於3nm至500nm之間、介於10nm至1000nm之間或介於10nm至3000nm之間,或厚度大於或等於5nm、10nm、30nm、50nm、100nm、200nm、300nm、500nm或1000nm),或具有一寬度例如係介於3nm至500nm之間、介於10nm至1000nm之間,或窄於5nm、10nm、20nm、30nm、70nm、100nm、300nm、500nm或100nm,例如,FISC20中的金屬栓塞10及複數金屬接墊、線及連接線8主要係由銅金屬製成,經由如下所述之一鑲嵌製程,例如是單一鑲嵌製程或雙鑲嵌製程,對於FISC20的複數交互連接線金屬層6中的每一複數金屬接墊、線及連接線8可包括一銅層,此銅層具有一厚度小於3μm(例如介於0.2μm至2μm之間),在FISC20的每一複數絕緣介電層12可具有一厚度例如係介於3nm至500nm之間、介於10nm至1000nm之間,或厚度大於5nm、10nm、30nm、50nm、100nm、200nm、300nm、500nm或1000nm。As shown in FIG. 14A, the first interconnect structure 20 formed on the semiconductor substrate 2 is connected to the semiconductor element 4, and the first interconnect structure 20 on or in the chip (FISC) is formed on the semiconductor substrate 2 through a wafer process. FISC 20 may include 4 to 15 layers or 6 to 12 layers of patterned multiple interconnection wire metal layers 6 (only 3 layers are shown in this figure), wherein the patterned multiple interconnected interconnection wire metal layer 6 has a plurality of metal pads, Lines and connections 8 and metal plugs 10 , metal pads, lines and connections 8 and metal plugs 10 of FISC 20 may be used for multiple programming of multiple intra-chip interconnect lines 502 in each commercial standard FPGAIC chip 200 and fixed interconnection lines 361 and 364, as shown in FIG. 8A, the first interconnection line structure 20 of the FISC 20 may include a plurality of insulating dielectric layers 12 and a plurality of interconnection line metal layers 6 in every two adjacent layers. Between the insulating dielectric layers 12 , each interconnecting metal layer 6 of the FISC 20 may include a plurality of metal pads, lines and connecting lines 8 on its top, and a metal plug 10 on its bottom, and the plurality of insulating dielectric layers 12 of the FISC 20 One of them may be between two adjacent plurality of metal pads, lines and connection lines 8 in the plurality of interconnecting wire metal layers 6 with metal plugs 10 on top of the FISC 20 in a plurality of insulating dielectric layers 12, each In the metal layer 6 of the plurality of interconnecting lines of the FISC 20, the plurality of metal pads, lines and connecting lines 8 have a thickness t1 of less than 3 μm (for example, between 3 nm and 500 nm, between 10 nm and 1000 nm, or between 10 nm and 10 nm). between 3000nm, or a thickness greater than or equal to 5nm, 10nm, 30nm, 50nm, 100nm, 200nm, 300nm, 500nm or 1000nm), or have a width such as between 3nm and 500nm, between 10nm and 1000nm, Or narrower than 5nm, 10nm, 20nm, 30nm, 70nm, 100nm, 300nm, 500nm or 100nm, for example, the metal plug 10 and the plurality of metal pads, lines and connecting lines 8 in the FISC 20 are mainly made of copper metal, through the following One of the damascene processes, such as a single damascene process or a dual damascene process, may include a copper layer for each of the plurality of metal pads, lines and connection lines 8 in the plurality of interconnection wire metal layers 6 of the FISC 20. The copper layer Having a thickness less than 3 μm (eg, between 0.2 μm and 2 μm), each of the plurality of insulating dielectric layers 12 in the FISC 20 may have a thickness between, for example, between 3 nm and 500 nm, between 10 nm and 1000 nm, Or thickness greater than 5 nm, 10 nm, 30 nm, 50 nm, 100 nm, 200 nm, 300 nm, 500 nm or 1000 nm.

I.FISC之單一鑲嵌製程I. FISC single damascene process

在下文中,FISC20的單一鑲嵌製程如Fig.14B至Fig.14H所示,如第14B圖所示,提供一第一絕緣介電層12及複數金屬栓塞10或複數金屬接墊、線及連接線8(圖中只顯示1個)在第一絕緣介電層12中,且複數金屬栓塞10或複數金屬接墊、線及連接線8的上表面被曝露,第一絕緣介電層12的最頂層可例如是一低介電係數介電層,例如是碳氧化矽(SiOC)層。In the following, a single damascene process of the FISC 20 is shown in Fig. 14B to Fig. 14H. As shown in Fig. 14B, a first insulating dielectric layer 12 and a plurality of metal plugs 10 or a plurality of metal pads, lines and connecting lines are provided. 8 (only one is shown in the figure) in the first insulating dielectric layer 12, and the upper surfaces of the plurality of metal plugs 10 or the plurality of metal pads, lines and connecting lines 8 are exposed, and the uppermost surface of the first insulating dielectric layer 12 is exposed. The top layer can be, for example, a low-k dielectric layer, such as a silicon oxycarbide (SiOC) layer.

如第14C圖所示,使用一化學氣相沉積(chemicalvapordeposition(CVD)方式沉積一第二絕緣介電層12(上面那層)在第一絕緣介電層12(下面那層)上或上方,及在第一絕緣介電層12中的複數金屬栓塞10及複數金屬接墊、線及連接線8曝露的表面上,第二絕緣介電層12(上面那層)可經由(a)沉積一底部區分蝕刻停止層12a,例如是碳基氮化矽(SiON)層,形成在第一絕緣介電層12(下面那層)最頂層上及在第一絕緣介電層12(下面那層)中的複數金屬栓塞10及複數金屬接墊、線及連接線8曝露的表面上,及(b)接著沉積一低介電係數介電層12b在底部區分蝕刻停止層12a上,例如是一SiOC層,低介電係數介電層12b可具有低介電常數材質,其低介電常數小於二氧化矽(SiO2 )的介電常數,SiCN層、SiOC層、SiOC層、SiO2 層經由CVD方式沉積,用於FISC20的第一及第二複數絕緣介電層12的材質包括無機材料或包括有矽、氮、碳及(或)氧的化合物。As shown in FIG. 14C, a second insulating dielectric layer 12 (the upper layer) is deposited on or over the first insulating dielectric layer 12 (the lower layer) using a chemical vapor deposition (CVD) method, And on the exposed surfaces of the plurality of metal plugs 10 and the plurality of metal pads, lines and connecting lines 8 in the first insulating dielectric layer 12, the second insulating dielectric layer 12 (the upper layer) may be deposited via (a) a A bottom distinguishing etch stop layer 12a, such as a silicon-on-carbon nitride (SiON) layer, is formed on the topmost layer of the first insulating dielectric layer 12 (the lower layer) and on the first insulating dielectric layer 12 (the lower layer) on the exposed surfaces of the plurality of metal plugs 10 and the plurality of metal pads, lines, and connecting lines 8 in, and (b) then depositing a low-k dielectric layer 12b on the bottom distinguishing etch stop layer 12a, such as a SiOC Layer, the low-k dielectric layer 12b may have a low-k material, and its low-k is smaller than that of silicon dioxide (SiO 2 ). The SiCN layer, the SiOC layer, the SiOC layer, and the SiO 2 layer are CVD The materials used for the first and second plural insulating dielectric layers 12 of the FISC 20 include inorganic materials or compounds including silicon, nitrogen, carbon and/or oxygen.

接著,如第14D圖所示,一光阻層15塗佈在第二絕緣介電層12(上面那層)上,然後光阻層15曝光及顯影以形成複數溝槽或複數開孔15a(在圖上只顯示1個)在光阻層15內,接著如第14E圖所示,執行一蝕刻製程形成複數溝槽或複數開孔12d(圖中只顯示1個)在第二絕緣介電層12(上面那層)內及在光阻層15內的複數溝槽或複數開孔15a下方,接著,如第14F圖所示,光阻層15可被移除。Next, as shown in FIG. 14D, a photoresist layer 15 is coated on the second insulating dielectric layer 12 (the upper layer), and then the photoresist layer 15 is exposed and developed to form a plurality of trenches or a plurality of openings 15a ( Only one is shown in the figure) in the photoresist layer 15, and then as shown in FIG. 14E, an etching process is performed to form a plurality of trenches or a plurality of openings 12d (only one is shown in the figure) in the second insulating dielectric In layer 12 (the layer above) and under the trenches or openings 15a in photoresist layer 15, then, as shown in Figure 14F, photoresist layer 15 may be removed.

接著,如第14G圖所示,黏著層18可沉積在第二絕緣介電層12(上面那層)的上表面、在第二絕緣介電層12中複數溝槽或複數開孔12D的側壁上及在第一絕緣介電層12(下面那層)內複數金屬栓塞10或複數金屬接墊、線及連接線8的上表面,例如經由濺鍍或CVD一Ti層或TiN層18(其厚度例如係介於1nm至50nm之間),接著,電鍍用種子層22可例如經由濺鍍或CVD一電鍍用種子層22(其厚度例如是介於3nm至200nm之間)在黏著層18上,接著一銅金屬層24(其厚度係介於10nm至3000nm之間、介於10nm至1000nm之間或介於10nm至500nm之間)可電鍍形成在電鍍用種子層22上。Next, as shown in FIG. 14G, an adhesive layer 18 may be deposited on the upper surface of the second insulating dielectric layer 12 (the layer above), the sidewalls of the trenches or the openings 12D in the second insulating dielectric layer 12 Above and within the first insulating dielectric layer 12 (the layer below) the plurality of metal plugs 10 or the upper surface of the plurality of metal pads, lines and connecting lines 8, for example by sputtering or CVD-a Ti layer or TiN layer 18 (which The thickness is, for example, between 1 nm and 50 nm), and then, the seed layer 22 for electroplating can be, for example, sputtered or CVD-a seed layer 22 for electroplating (the thickness of which is between 3 nm and 200 nm, for example) on the adhesion layer 18. Next, a copper metal layer 24 (the thickness of which is between 10 nm and 3000 nm, between 10 nm and 1000 nm, or between 10 nm and 500 nm) can be electroplated on the seed layer 22 for electroplating.

接著,如第14H圖所示,利用一CMP製程移除黏著層18、電鍍用種子層22及在第二絕緣介電層12(上面那層)內且位在複數溝槽或複數開孔12D之外的銅金屬層24,直到第二絕緣介電層12(上面那層)的上表面被曝露,剩餘或保留在第二絕緣介電層12(上面那層)內的複數溝槽或複數開孔12D中的金屬被用作為FISC20中每一交互連接線金屬層6的金屬栓塞10或複數金屬接墊、線及連接線8。Next, as shown in FIG. 14H, a CMP process is used to remove the adhesion layer 18, the plating seed layer 22, and the second insulating dielectric layer 12 (the upper layer) and located in the trenches or openings 12D outside the copper metal layer 24 until the upper surface of the second insulating dielectric layer 12 (the layer above) is exposed, remaining or remaining in the second insulating dielectric layer 12 (the layer above) the plurality of trenches or the plurality of trenches The metal in the opening 12D is used as a metal plug 10 or a plurality of metal pads, lines and connecting lines 8 for each interconnecting wire metal layer 6 in the FISC 20 .

在單一鑲嵌製程中,銅電鍍製程步驟及CMP製程步驟用於較低層的複數交互連接線金屬層6中的複數金屬接墊、線及連接線8,然後再依順序執行一次在絕緣介電層12中較低層的複數交互連接線金屬層6之金屬栓塞10在較低的複數交互連接線金屬層6上,換一種說法,在單一鑲嵌銅製程中,銅電鍍製程步驟及CMP製程步驟被執行2次,以形成較低層的複數交互連接線金屬層6的複數金屬接墊、線及連接線8,及在絕緣介電層12內較高層的複數交互連接線金屬層6之金屬栓塞10在較低層複數交互連接線金屬層6上。In a single damascene process, the copper electroplating process steps and the CMP process steps are used for the plurality of metal pads, lines and connection lines 8 in the lower layers of the plurality of interconnection line metal layers 6, and then sequentially performed once in the insulating dielectric The metal plugs 10 of the lower plurality of interconnect metal layers 6 in layer 12 are on the lower plurality of interconnect metal layers 6. In other words, in a single damascene copper process, the copper electroplating process steps and the CMP process steps is performed twice to form the metal pads, lines and connection lines 8 of the lower layer of the interconnect metal layer 6 and the metal of the higher interconnect metal layer 6 within the insulating dielectric layer 12 The plug 10 is on the lower multiple interconnect metal layer 6 .

II.FISC之雙鑲嵌製程II. The dual damascene process of FISC

或者,一雙鑲嵌製程可被用以製造金屬栓塞10及FISC20的複數金屬接墊、線及連接線8,如第14I圖至14Q圖所示,如第14I圖所示,提供第一絕緣介電層12及複數金屬接墊、線及連接線8(圖中只顯示1個),其中複數金屬接墊、線及連接線8係位在第一絕緣介電層12內且曝露上表面,第一絕緣介電層12的最頂層例如可係SiCN層或SiN層,接著介電疊層包括第二及第三複數絕緣介電層12沉積在第一絕緣介電層12最頂層上及在第一絕緣介電層12中複數金屬接墊、線及連接線8曝露的上表面,介電疊層從底部至頂部包括:(a)一底部低介電係數介電層12e在第一絕緣介電層12(較低的那層)上,例如是SiOC層(用作為一金屬間介電層以形成金屬栓塞10);(b)一中間區分蝕刻停止層12f在底部低介電係數介電層12e上,例如是SiCN層或SiN層;(c)一頂層低介電SiOC層12g(用作為在同一交互連接線金屬層6的複數金屬接墊、線及連接線8之間的絕緣介電材質)在中間區分蝕刻停止層12f上;(d)一頂層區分蝕刻停止層12h形成在頂層低介電SiOC層12g上,頂層區分蝕刻停止層12h例如是SiCN層或SiN層,全部的SiCN層、SiN層或SiOC層可經由CVD方式沉積。底部低介電係數介電層12e及中間區分蝕刻停止層12f可組成第二絕緣介電層12(中間的那層);頂層低介電SiOC層12g及頂層區分蝕刻停止層12h可組成第三絕緣介電層12(頂部的那層)。Alternatively, a dual damascene process can be used to fabricate the metal plugs 10 and the plurality of metal pads, lines and connecting lines 8 of the FISC 20, as shown in FIGS. 14I-14Q, and as shown in FIG. 14I, to provide a first insulating dielectric The electrical layer 12 and a plurality of metal pads, wires and connecting wires 8 (only one is shown in the figure), wherein the plurality of metal pads, wires and connecting wires 8 are located in the first insulating dielectric layer 12 and the upper surface is exposed, The topmost layer of the first insulating dielectric layer 12 can be, for example, a SiCN layer or a SiN layer, and then the dielectric stack including a second and a third plurality of insulating dielectric layers 12 is deposited on the topmost layer of the first insulating dielectric layer 12 and on the topmost layer. The exposed upper surface of the plurality of metal pads, lines and connecting lines 8 in the first insulating dielectric layer 12. The dielectric stack from bottom to top includes: (a) a bottom low-k dielectric layer 12e on the first insulating dielectric layer 12e. On the dielectric layer 12 (the lower layer), for example, a SiOC layer (used as an inter-metal dielectric layer to form the metal plug 10); (b) an intermediate etch stop layer 12f at the bottom of the low-k dielectric On the electrical layer 12e, for example, a SiCN layer or a SiN layer; (c) a top low-dielectric SiOC layer 12g (used as an insulation between the plurality of metal pads, wires and connecting wires 8 of the same interconnect wire metal layer 6); (d) a top-level differential etching stop layer 12h is formed on the top low-dielectric SiOC layer 12g, and the top-level differential etching stop layer 12h is, for example, a SiCN layer or a SiN layer, and all of The SiCN layer, SiN layer or SiOC layer can be deposited by CVD. The bottom low-k dielectric layer 12e and the middle distinguishing etch stop layer 12f can form the second insulating dielectric layer 12 (the middle layer); the top low-k SiOC layer 12g and the top distinguishing etch stop layer 12h can form a third insulating dielectric layer 12 Insulating dielectric layer 12 (the top layer).

接著,如第14J圖所示,一第一光阻層15塗佈在第三絕緣介電層12(頂部那層)的頂部區分蝕刻停止層12h上,然後第一光阻層15被曝露及顯影以形成複數溝槽或複數開孔15A(圖中只顯示1個)在第一光阻層15內,以曝露第三絕緣介電層12(頂部那層)的頂部區分蝕刻停止層12h,接著,如第14K圖所示,進行一蝕刻製程以形成溝槽或頂部開口12i(圖上只顯示1個)在第三絕緣介電層12(頂部那層)及在第一光阻層15內複數溝槽或複數開孔15A下方,及停止在第二絕緣介電層12(中間那層)的中間區分蝕刻停止層12f,溝槽或頂部開口12i用於之後形成交互連接線金屬層6的複數金屬接墊、線及連接線8的雙鑲嵌銅製程,接著第14L圖,第一光阻層15可被移除。Next, as shown in FIG. 14J, a first photoresist layer 15 is coated on the top portion of the third insulating dielectric layer 12 (the top layer) on the etch stop layer 12h, and then the first photoresist layer 15 is exposed and Development to form a plurality of trenches or a plurality of openings 15A (only one is shown in the figure) in the first photoresist layer 15 to expose the top portion of the third insulating dielectric layer 12 (the top layer) to distinguish the etch stop layer 12h, Next, as shown in FIG. 14K, an etching process is performed to form trenches or top openings 12i (only one is shown) in the third insulating dielectric layer 12 (the top layer) and in the first photoresist layer 15 Under the inner plurality of trenches or the plurality of openings 15A, and the etch stop layer 12f stops in the middle of the second insulating dielectric layer 12 (the middle layer), the trenches or top openings 12i are used for the subsequent formation of the interconnecting wire metal layer 6 The dual damascene copper process of the plurality of metal pads, lines and connecting lines 8, and then the first photoresist layer 15 can be removed following FIG. 14L.

接著,如第14M圖所示,第二光阻層17塗佈在第三絕緣介電層12(頂部那層)頂層區分蝕刻停止層12h及第二絕緣介電層12(中間那層)的中間區分蝕刻停止層12f,然後第二光阻層17被曝露及顯影以形成溝槽或開口117a(圖中只顯示1個)在第二光阻層17以曝露第二絕緣介電層12(中間那層)的中間區分蝕刻停止層12f,接著,如第14N圖所示,執行一蝕刻製程以形成孔洞或底部開口12j(圖中只顯示1個)在第二絕緣介電層12(中間那層)及第二光阻層17內溝槽或開口117a的下方,及停止在第一絕緣介電層12內的複數金屬接墊、線及連接線8(圖中只顯示1個),孔洞或底部開口12j可用於之後雙鑲嵌銅製程以形成在第二絕緣介電層12內的金屬栓塞10,也就是金屬間介電層,接著,如第14O圖所示,第二光阻層17可被移除,第二及第三複數絕緣介電層12(中間層及上層)可組成介電疊層,位在介電疊層(也就是第三絕緣介電層12(頂部那層))頂部內的溝槽或頂部開口12i可與位在介電疊層(也就是第二絕緣介電層12(中間那層))底部內的複數開口及孔洞12j重疊,而且溝槽或頂部開口12i比複數開口及孔洞12j具有較大的尺寸,換句話說,以上視圖觀之,位在介電疊層(也就是第二絕緣介電層12(中間那層))底部的複數開口及孔洞12j被位在介電疊層(也就是第三絕緣介電層12(頂部那層))頂部內溝槽或頂部開口12i圍繞或困於內側。Next, as shown in FIG. 14M, the second photoresist layer 17 is coated on the top layer of the third insulating dielectric layer 12 (the top layer) to distinguish between the etching stop layer 12h and the second insulating dielectric layer 12 (the middle layer). The etch stop layer 12f is separated in the middle, and then the second photoresist layer 17 is exposed and developed to form trenches or openings 117a (only one is shown in the figure) in the second photoresist layer 17 to expose the second insulating dielectric layer 12 ( Then, as shown in FIG. 14N, an etching process is performed to form holes or bottom openings 12j (only one is shown in the figure) in the second insulating dielectric layer 12 (the middle layer). layer) and below the trenches or openings 117a in the second photoresist layer 17, and a plurality of metal pads, lines and connecting lines 8 (only one is shown in the figure) stopped in the first insulating dielectric layer 12, The hole or bottom opening 12j can be used for the subsequent dual damascene copper process to form the metal plug 10 in the second insulating dielectric layer 12, that is, the intermetal dielectric layer, and then, as shown in FIG. 14O, the second photoresist layer 17 can be removed, and the second and third plurality of insulating dielectric layers 12 (intermediate and upper layers) can form a dielectric stack, located on the dielectric stack (ie, the third insulating dielectric layer 12 (the top layer). )) trenches or top openings 12i in the top may overlap with openings and holes 12j in the bottom of the dielectric stack (ie, the second insulating dielectric layer 12 (the middle layer)), and the trenches or tops The opening 12i has a larger size than the plurality of openings and holes 12j. In other words, from the above view, the plurality of openings located at the bottom of the dielectric stack (ie, the second insulating dielectric layer 12 (the middle layer)) and Holes 12j are surrounded or trapped inside by trenches or top openings 12i in the top of the dielectric stack (ie, third insulating dielectric layer 12 (the top layer)).

接著,如第14P圖所示,黏著層18沉積經由濺鍍、CVD一Ti層或TiN層(其厚度例如介於1nm至50nm之間),在第二及第三複數絕緣介電層12(中間及上面那層)上表面、在第三絕緣介電層12(上面那層)內的溝槽或頂部開口12i之側壁,在第二絕緣介電層12(中間那層)內的孔洞或底部開口12J之側壁及在第一絕緣介電層12(底部那層)內的複數金屬接墊、線及連接線8的上表面。接著,電鍍用種子層22可經由例如是濺鍍、CVD沉積電鍍用種子層22(其厚度例如介於3nm至200nm之間)在黏著層18上,接著銅金屬層24(其厚度例如是介於20nm至6000之間、介於10nm至3000之間、介於10nm至1000之間)可被電鍍形成在電鍍用種子層22上。Next, as shown in FIG. 14P, the adhesion layer 18 is deposited by sputtering, CVD, a Ti layer or a TiN layer (the thickness of which is between 1 nm and 50 nm, for example), on the second and third plural insulating dielectric layers 12 ( The upper surface of the middle and upper layers), the sidewalls of the trenches or top openings 12i in the third insulating dielectric layer 12 (the upper layer), the holes in the second insulating dielectric layer 12 (the middle layer), or The sidewalls of the bottom opening 12J and the upper surfaces of the plurality of metal pads, lines and connecting lines 8 in the first insulating dielectric layer 12 (the bottom layer). Next, the electroplating seed layer 22 may be deposited on the adhesion layer 18 via, for example, sputtering, CVD, and the electroplating seed layer 22 (with a thickness of, for example, between 3 nm and 200 nm) is deposited on the adhesion layer 18, followed by a copper metal layer 24 (with a thickness of, for example, a dielectric between 20 nm and 6000, between 10 and 3000, between 10 and 1000) can be electroplated on the seed layer 22 for electroplating.

接著,如第14Q圖所示,利用一CMP製程移除黏著層18、電鍍用種子層22及位在第二及第三區分蝕刻停止層12h內的孔洞或底部開口12J及溝槽或頂部開口12i之外的銅金屬層24,直到第三絕緣介電層12(上面那層)的上表面被曝露,剩餘或保留在溝槽或頂部開口12i及在第三絕緣介電層12(上面那層)的金屬可用作為FISC20中的複數交互連接線金屬層6的複數金屬接墊、線及連接線8,剩餘或保留在孔洞或底部開口12J及在第二絕緣介電層12(中間那層)的金屬用作為FISC20中的複數交互連接線金屬層6的金屬栓塞10用於耦接複數金屬接墊、線及連接線8以下的及金屬栓塞10以上的金屬。Next, as shown in FIG. 14Q, a CMP process is used to remove the adhesion layer 18, the plating seed layer 22, and the holes or bottom openings 12J and trenches or top openings in the second and third separate etch stop layers 12h The copper metal layer 24 outside 12i until the upper surface of the third insulating dielectric layer 12 (the layer above) is exposed, remaining or remaining in the trench or top opening 12i and in the third insulating dielectric layer 12 (the layer above) layer) of metal can be used as a plurality of metal pads, wires and connecting wires 8 of the plurality of interconnecting wire metal layers 6 in the FISC 20, remaining or remaining in the holes or bottom openings 12J and in the second insulating dielectric layer 12 (the middle layer). ) is used as the metal plug 10 of the metal layer 6 of the multiple interconnecting wires in the FISC 20 to couple the metal pads, wires and metals below the metal plug 10 and above the metal plug 10 .

在雙鑲嵌製程中,執行銅電鍍製程步驟及CMP製程步驟一次,在2個複數絕緣介電層12中形成複數金屬接墊、線及連接線8及金屬栓塞10。In the dual damascene process, the copper electroplating process step and the CMP process step are performed once to form a plurality of metal pads, lines and connecting lines 8 and metal plugs 10 in the two plurality of insulating dielectric layers 12 .

因此,形成複數金屬接墊、線及連接線8及金屬栓塞10的製程利用單一鑲嵌銅製程完成,如第14B圖至第14H圖所示,或可利用雙鑲嵌銅製程完成,如第14I圖至第14Q圖所示,二種製程皆可重覆數次以形成FISC20中複數層交互連接線金屬層6,FISC20可包括4至15層或6至12層的複數交互連接線金屬層6,FISC中的複數交互連接線金屬層6最頂層可具有金屬接墊16,例如是複數銅接墊,此複數銅接墊係經由上述單一或雙鑲嵌製程,或經由濺鍍製程形成的複數鋁金屬接墊。Therefore, the process of forming the plurality of metal pads, lines and connecting lines 8 and metal plugs 10 is completed by a single damascene copper process, as shown in FIGS. 14B to 14H, or can be completed by a dual damascene copper process, as shown in FIG. 14I As shown in FIG. 14Q, both processes can be repeated several times to form a plurality of interconnecting wire metal layers 6 in the FISC 20. The FISC 20 can include 4 to 15 layers or 6 to 12 layers of a plurality of interconnecting wire metal layers 6. The topmost layer of the metal layers 6 of the interconnecting lines in the FISC may have metal pads 16, such as copper pads, which are formed by the single or dual damascene process, or by the sputtering process. pad.

III.晶片之保護層(Passivationlayer)III. Passivationlayer of the chip

如第14A圖中所示,保護層14形成在晶片(FISC)的第一交互連接線結構20上及在複數絕緣介電層12上,保護層14可以保護半導體元件4及複數交互連接線金屬層6不受到外界離子汙染及外界環境中水氣汙染而損壞,例如是鈉游離粒子,換句話說,保護層14可防止游離粒子(如鈉離子)、過渡金屬(如金、銀及銅)及防止雜質穿透至半導體元件4及穿透至複數交互連接線金屬層6,例如防止穿透至電晶體、多晶矽電阻元件及多晶矽電容元件。As shown in FIG. 14A, the protective layer 14 is formed on the first interconnect structure 20 of the wafer (FISC) and on the plurality of insulating dielectric layers 12. The protective layer 14 can protect the semiconductor element 4 and the plurality of interconnect metal The layer 6 is not damaged by external ion pollution and moisture pollution in the external environment, such as sodium free particles. In other words, the protective layer 14 can prevent free particles (such as sodium ions), transition metals (such as gold, silver and copper) And prevent the impurity from penetrating to the semiconductor element 4 and the metal layer 6 of the plurality of interconnecting lines, for example, preventing the penetration to the transistor, the polysilicon resistance element and the polysilicon capacitor element.

如第14A圖所示,保護層14通常可由一或複數游離粒子補捉層構成,例如經由CVD製程沉積形成由SiN層、SiON層及(或)SiCN層所組合之保護層14,保護層14具有一厚度t3,例如是大於0.3μm、或介於0.3μm至1.5μm之間,最佳情況為,保護層14具有厚度大於0.3μm的氮化矽(SiN)層,而單一層或複數層所組成之游離粒子補捉層(例如是由SiN層、SiON層及(或)SiCN層所組合)之總厚度可厚於或等於100nm、150nm、200nm、300nm、450nm或500nm。As shown in FIG. 14A, the protective layer 14 is usually composed of one or more free particle catcher layers. For example, a protective layer 14 composed of a SiN layer, a SiON layer and/or a SiCN layer is formed by deposition through a CVD process. The protective layer 14 It has a thickness t3, for example, greater than 0.3 μm, or between 0.3 μm and 1.5 μm. In the best case, the protective layer 14 has a silicon nitride (SiN) layer with a thickness greater than 0.3 μm, and a single layer or a plurality of layers The total thickness of the composed free particle catcher layer (eg, a combination of SiN layer, SiON layer and/or SiCN layer) may be thicker than or equal to 100 nm, 150 nm, 200 nm, 300 nm, 450 nm or 500 nm.

如第14A圖所示,在保護層14中形成一開口14a曝露FISC20中的複數交互連接線金屬層6最頂層表面,金屬接墊16可用在訊號傳輸或連接至電源或接地端,金屬接墊16具有一厚度t4介於0.4μm至3μm之間或介於0.2μm至2μm之間,例如,金屬接墊16可由濺鍍鋁層或濺鍍鋁-銅合金層(其厚度係介於0.2μm至2μm之間)所組成,或者,金屬接墊16可包括電鍍銅層24,其係經由如第14H圖中所示之單一鑲嵌製程或如第14Q圖中所示之雙鑲嵌製程所形成。As shown in FIG. 14A, an opening 14a is formed in the protective layer 14 to expose the topmost surface of the metal layers 6 of the plurality of interconnection lines in the FISC 20. The metal pads 16 can be used for signal transmission or connection to power or ground terminals. The metal pads 16 has a thickness t4 between 0.4 μm and 3 μm or between 0.2 μm and 2 μm. For example, the metal pads 16 can be made of sputtered aluminum layer or sputtered aluminum-copper alloy layer (the thickness of which is between 0.2 μm and 0.2 μm). to 2 μm), alternatively, the metal pads 16 may include an electroplated copper layer 24 formed by a single damascene process as shown in FIG. 14H or a dual damascene process as shown in FIG. 14Q.

如第14A圖所示,從上視圖觀之,開口14a具有一橫向尺寸介於0.5μm至20μm之間或介於20μm至200μm之間,從上視圖觀之,開口14a的形狀可以係一圓形,其圓形開口14a的直徑係介於0.5μm至200μm之間或是介於20μm至200μm之間,或者,從上視圖觀之,開口14a的形狀為方形,此方形開口14a的寬度係介於0.5μm至200μm之間或介於20μm至200μm之間,或者,從上視圖觀之,開口14a的形狀為多邊形,此多邊形的寬度係介於0.5μm至200μm之間或介於20μm至200μm之間,或者,從上視圖觀之,開口14a的形狀為長方形,此長方形開口14a具有一短邊寬度係介於0.5μm至200μm之間或介於20μm至200μm之間,另外,一些在金屬接墊16下方的一些半導體元件4被開口14a曝露,或者,沒有任何主動元件在開口14a曝露的金屬接墊16下方。As shown in FIG. 14A , the opening 14a has a lateral dimension between 0.5 μm and 20 μm or between 20 μm and 200 μm when viewed from the top. The shape of the opening 14a can be a circle when viewed from the top. The diameter of the circular opening 14a is between 0.5 μm and 200 μm or between 20 μm and 200 μm, or, from the top view, the shape of the opening 14a is a square, and the width of the square opening 14a is Between 0.5 μm and 200 μm or between 20 μm and 200 μm, or, from the top view, the shape of the opening 14a is a polygon, and the width of the polygon is between 0.5 μm and 200 μm or between 20 μm and 20 μm. 200 μm, or, from the top view, the shape of the opening 14a is a rectangle, and the rectangular opening 14a has a short side width between 0.5 μm and 200 μm or between 20 μm and 200 μm. Some of the semiconductor elements 4 under the metal pads 16 are exposed by the openings 14a, alternatively, no active elements are under the metal pads 16 exposed by the openings 14a.

第一型式的微型凸塊Microbumps of the first type

如第15A圖至第15H圖為本發明實施例中形成微型凸塊或微型金屬柱在一晶片上的製程剖面圖,用於連接至晶片外部的電路、複數微型凸塊可形成在金屬接墊16上,其中金屬接墊16係位在保護層14之複數開口14a內所曝露的金屬表面。15A to 15H are cross-sectional views of the process of forming micro-bumps or micro-metal pillars on a wafer according to an embodiment of the present invention. For circuits connected to the outside of the chip, a plurality of micro-bumps can be formed on metal pads 16 , wherein the metal pads 16 are exposed metal surfaces in the plurality of openings 14 a of the protective layer 14 .

如第15A圖所示為第14A圖的簡化圖,如第15B圖所示,具有厚度介於0.001μm至0.7μm之間、介於0.01μm至0.5μm之間或介於0.03μm至0.35μm之間的一黏著層26濺鍍在保護層14及在金屬接墊16上,例如是被開口14A曝露的鋁金屬墊或銅金屬墊,黏著層26的材質可包括鈦、鈦-鎢合金、氮化鈦、鉻、鈦-鎢合金層、氮化鉭或上述材質的複合物,且黏著層26經由原子層(atomic-layer-deposition(ALD))沉積製程、化學氣相沉積(chemicalvapordeposition(CVD))製程、蒸鍍製程形成在保護層14及在保護層14之複數開口14a底部的金屬接墊16上,其中黏著層26的厚度介於1nm至50nm之間。As shown in Figure 15A, which is a simplified view of Figure 14A, as shown in Figure 15B, having a thickness between 0.001 μm and 0.7 μm, between 0.01 μm and 0.5 μm, or between 0.03 μm and 0.35 μm An adhesive layer 26 between them is sputtered on the protective layer 14 and on the metal pads 16, such as aluminum metal pads or copper metal pads exposed by the opening 14A. The material of the adhesive layer 26 may include titanium, titanium-tungsten alloy, Titanium nitride, chromium, titanium-tungsten alloy layer, tantalum nitride or a composite of the above materials, and the adhesion layer 26 is deposited through an atomic-layer-deposition (ALD) process, chemical vapor deposition (chemical vapor deposition (CVD)) )) process and evaporation process are formed on the protective layer 14 and the metal pads 16 at the bottom of the plurality of openings 14a of the protective layer 14, wherein the thickness of the adhesive layer 26 is between 1 nm and 50 nm.

接著,如第15C圖所示,厚度介於0.001μm至1μm之間、介於0.03μm至3μm之間或介於0.05μm至0.5μm之間的電鍍用種子層28濺鍍在黏著層26上,或者電鍍用種子層28可經由原子層(ATOMIC-LAYER-DEPOSITION(ALD))沉積製程、化學氣相沉積(CHEMICALVAPORDEPOSITION(CVD))製程、蒸鍍製程、無電電鍍或物理氣相沉積方式形成,電鍍用種子層28有益於在表面上電鍍形成一金屬層,因此,電鍍用種子層28的材質種類隨著電鍍用種子層28上電鍍的金屬層材質而變化,當一銅層被電鍍在電鍍用種子層28上時,銅金屬則為電鍍用種子層28優先選擇的材質,例如電鍍用種子層28形成在黏著層26上或上方,例如可經由濺鍍或CVD化學沉積一銅種子層在黏著層26上。Next, as shown in FIG. 15C, a seed layer 28 for electroplating having a thickness between 0.001 μm and 1 μm, between 0.03 μm and 3 μm, or between 0.05 μm and 0.5 μm is sputtered on the adhesion layer 26 , or the seed layer 28 for electroplating can be formed by an atomic layer (ATOMIC-LAYER-DEPOSITION (ALD)) deposition process, a chemical vapor deposition (CHEMICAL VAPORDEPOSITION (CVD)) process, an evaporation process, an electroless plating or a physical vapor deposition method, The seed layer 28 for electroplating is beneficial to form a metal layer by electroplating on the surface. Therefore, the material type of the seed layer 28 for electroplating varies with the material of the metal layer electroplated on the seed layer 28 for electroplating. When a copper layer is electroplated in the electroplating When using the seed layer 28, copper metal is the preferred material for the electroplating seed layer 28. For example, the electroplating seed layer 28 is formed on or above the adhesive layer 26. For example, a copper seed layer can be chemically deposited by sputtering or CVD. on the adhesive layer 26 .

接著,如第15D圖所示,厚度介於5μm至300μm之間或介於20μm至50μm之間的光阻層30(例如是正型光阻層)塗佈在電鍍用種子層28上,光阻層30經由曝光、顯影等製程圖案化形成複數開口30a曝露出在金屬接墊16上方的電鍍用種子層28,在曝光製程中,可使用1X步進器,1X接觸式對準器或雷射掃描器進行光阻層30的曝光製程。Next, as shown in FIG. 15D, a photoresist layer 30 (for example, a positive photoresist layer) with a thickness between 5 μm and 300 μm or between 20 μm and 50 μm is coated on the seed layer 28 for electroplating. The layer 30 is patterned through exposure, development and other processes to form a plurality of openings 30a to expose the seed layer 28 for electroplating above the metal pads 16. In the exposure process, a 1X stepper, a 1X contact aligner or a laser can be used The scanner performs an exposure process of the photoresist layer 30 .

例如,光阻層30可經由旋塗塗佈一正型感光性聚合物層在電鍍用種子層28上,其中電鍍用種子層28的厚度係介於5μm至100μm之間,然後使用1X步進器,1X接觸式對準器或雷射掃描器進行感光聚合物層的曝光,其中雷射掃描器可具有波長範圍介於434至438NM的G-LINE、波長範圍介於403至407NM的H-LINE及波長範圍介於363至367NM的I-LINE的其中至少二種光線,也就是,G-LINE及H-LINE、G-LINE及I-LINE、H-LINE及I-LINE或G-LINE、H-LINE及I-LINE照在烘烤的聚酰亞胺層上,然後顯影曝光後的聚酰亞胺層以形成複數開口曝露出複數接墊16,然後在溫度介於180°C至400°C之間或溫度高於或等於100°C、125°C、150°C、175°C、200°C、225°C、250°C、275°C或300°C,且加熱或固化時間介於20分鐘至150分鐘,且在氮氣環境或無氧環境中,固化或加熱己顯影的聚酰亞胺層,己固化的聚酰亞胺層具有厚度介於3μm至30μm之間,接著移除殘留聚合物材質或來自於接墊16的其它污染物及低於2000PPM的氧(O2 )離子或含氟離子及氧化物。For example, the photoresist layer 30 can be spin-coated with a positive photosensitive polymer layer on the electroplating seed layer 28, wherein the thickness of the electroplating seed layer 28 is between 5 μm and 100 μm, and then using 1X steps Exposure of the photopolymer layer with a 1X contact aligner, or a laser scanner, where the laser scanner can have a G-LINE with a wavelength range of 434 to 438 nm, an H-line with a wavelength range of 403 to 407 nm. At least two of LINE and I-LINE with wavelengths ranging from 363 to 367 NM, that is, G-LINE and H-LINE, G-LINE and I-LINE, H-LINE and I-LINE or G-LINE , H-LINE and I-LINE are irradiated on the baked polyimide layer, and then the exposed polyimide layer is developed to form a plurality of openings to expose a plurality of pads 16, and then the temperature is between 180° C. to between 400°C or at a temperature greater than or equal to 100°C, 125°C, 150°C, 175°C, 200°C, 225°C, 250°C, 275°C or 300°C and heated or The curing time is between 20 minutes and 150 minutes, and in a nitrogen environment or an oxygen-free environment, the developed polyimide layer is cured or heated, and the cured polyimide layer has a thickness between 3 μm and 30 μm, Residual polymer material or other contaminants from pads 16 and oxygen (O 2 ) ions or fluoride ions and oxides below 2000 PPM are then removed.

接著,如第15D圖所示,在光阻層30中的每一開口30a可與保護層14中的開口14a及與開口30a底部上曝露的電鍍用種子層28重疊,經由後續的製程形成微型金屬柱或微型凸塊在每一開口30a上,及可延伸開口14a至環繞在開口14a的保護層14的一區域或環形區域。Next, as shown in FIG. 15D, each opening 30a in the photoresist layer 30 can overlap with the opening 14a in the protective layer 14 and the electroplating seed layer 28 exposed on the bottom of the opening 30a to form a micro-miniature through a subsequent process. Metal pillars or micro-bumps are on each opening 30a, and may extend opening 14a to a region or annular region of protective layer 14 surrounding opening 14a.

接著,如第15E圖所示,一金屬層32(例如是銅金屬)電鍍形成在開口130a的電鍍用種子層28上,例如,金屬層32可電鍍厚度介於3µm至60µm之間、介於5µm至50µm之間、介於5µm至40µm之間、介於5µm至30µm之間、介於5µm至20µm之間或介於5µm至15µm之間的一銅層在開口30a內。Next, as shown in FIG. 15E, a metal layer 32 (eg, copper metal) is electroplated on the seed layer 28 for electroplating in the opening 130a. A copper layer of 5µm to 50µm, 5µm to 40µm, 5µm to 30µm, 5µm to 20µm, or 5µm to 15µm is within the opening 30a.

如第15F圖所示,形成銅層32後,使用含氨的有機溶劑將大部分的光阻層30被移除,無論如何,一些從光阻層30來的殘留物會留在金屬層32及在電鍍用種子層28上,之後,此殘留物可從金屬層32及從電鍍用種子層28中的離子去除,例如是O2 離子或含有低於200PPM氟離子及氧離子,接著,未在銅層32下方的電鍍用種子層28及黏著層26被之後的乾蝕刻方法或濕蝕刻方法去除,至於濕蝕刻的方法,當黏著層26為鈦-鎢合金層時,可使用含有過氧化氫的溶液蝕刻;當黏著層26為鈦層時,可使用含有氟化氫的溶液蝕刻;當電鍍用種子層28為銅層時,可使用含氨水(NH4 OH)的溶液蝕刻,至於乾蝕刻方法,當黏著層26為鈦層或鈦-鎢合金層時,可使用含氯等離子體蝕刻技術或RIE蝕刻技術蝕刻,通常,乾蝕刻方法蝕刻未在金屬層32下方的電鍍用種子層28及黏著層26可包括化學離子蝕刻技術、濺鍍蝕刻技術、氬氣濺鍍技術或化學氣相蝕刻技術進行蝕刻。As shown in FIG. 15F, after the copper layer 32 is formed, most of the photoresist layer 30 is removed using an organic solvent containing ammonia, however, some residues from the photoresist layer 30 will remain in the metal layer 32 and the plating seed layer 28, then, this residue may be a metal layer 32 and the ions removed from the seed layer 28 from plating, for example, O 2 ions or ions containing fluorine and less than 200PPM oxygen ions, then, is not The electroplating seed layer 28 and the adhesive layer 26 under the copper layer 32 are removed by the subsequent dry etching method or wet etching method. As for the wet etching method, when the adhesive layer 26 is a titanium-tungsten alloy layer, a peroxide containing peroxide can be used. Hydrogen solution etching; when the adhesive layer 26 is a titanium layer, it can be etched with a solution containing hydrogen fluoride; when the seed layer 28 for electroplating is a copper layer, it can be etched with a solution containing ammonia water (NH 4 OH), as for the dry etching method , when the adhesion layer 26 is a titanium layer or a titanium-tungsten alloy layer, it can be etched using chlorine-containing plasma etching technology or RIE etching technology. Usually, the dry etching method is used to etch the plating seed layer 28 and the adhesion not under the metal layer 32. Layer 26 may be etched using chemical ion etching techniques, sputter etching techniques, argon sputtering techniques, or chemical vapor etching techniques.

因此,黏著層26、電鍍用種子層28及電鍍銅層32可組成複數微型凸塊或金屬柱34在保護層14的複數開口14a底部之金屬接墊16上,每一微型凸塊或金屬柱34具有一高度,此高度係從保護層14的上表面凸出量測,此高度介於3µm至60µm之間、介於5µm至50µm之間、介於5µm至40µm之間、介於5µm至30µm之間、介於5µm至20µm之間、介於5µm至15µm之間或介於3µm至10µm之間,或高度是大於或等於30µm、20µm、15µm、10µm或3µm,且以剖面圖中具有一最大尺寸(例如圓形的直徑、正方形或長方形的對角線)介於3µm至60µm之間、介於5µm至50µm之間、介於5µm至40µm之間、介於5µm至30µm之間、介於5µm至20µm之間、介於5µm至15µm之間或介於3µm至10µm之間,或尺寸是小於或等於60µm、50µm、40µm、30µm、20µm、15µm或10µm,二相鄰之微型凸塊或金屬柱34具有一空間(間距)尺寸介於3µm至60µm之間、介於5µm至50µm之間、介於5µm至40µm之間、介於5µm至30µm之間、介於5µm至20µm之間、介於5µm至15µm之間或介於3µm至10µm之間,或尺寸是小於或等於60µm、50µm、40µm、30µm、20µm、15µm或10µm。Therefore, the adhesive layer 26 , the electroplating seed layer 28 and the electroplating copper layer 32 can form a plurality of micro-bumps or metal pillars 34 on the metal pads 16 at the bottom of the plurality of openings 14 a of the protective layer 14 . Each micro-bump or metal pillar 34 has a height, which is measured protruding from the upper surface of the protective layer 14, and the height is between 3µm to 60µm, 5µm to 50µm, 5µm to 40µm, 5µm to 5µm 30µm, 5µm to 20µm, 5µm to 15µm, or 3µm to 10µm, or a height greater than or equal to 30µm, 20µm, 15µm, 10µm or 3µm, and has A maximum dimension (such as the diameter of a circle, the diagonal of a square or rectangle) is between 3 µm and 60 µm, between 5 µm and 50 µm, between 5 µm and 40 µm, between 5 µm and 30 µm, Between 5µm and 20µm, between 5µm and 15µm, or between 3µm and 10µm, or with dimensions less than or equal to 60µm, 50µm, 40µm, 30µm, 20µm, 15µm or 10µm, two adjacent micro-convex The block or metal post 34 has a space (pitch) dimension between 3µm to 60µm, 5µm to 50µm, 5µm to 40µm, 5µm to 30µm, 5µm to 20µm , 5µm to 15µm or 3µm to 10µm, or the size is less than or equal to 60µm, 50µm, 40µm, 30µm, 20µm, 15µm or 10µm.

如第15G圖所示,如第15F圖中所述在半導體晶圓上形成微型凸塊或金屬柱34後,半導體晶圓可經由雷射切割製程或一機械切割製程分離、分開成複數單獨的半導體晶片,這些半導體晶片100可經由接續第18A圖至第18U圖、第19A圖至第19Z圖、第20A圖至第20Z圖、第21A圖至第21H圖及第22I圖中的步驟進行封裝。As shown in FIG. 15G, after the micro-bumps or metal pillars 34 are formed on the semiconductor wafer as described in FIG. 15F, the semiconductor wafer may be separated, separated into a plurality of individual pieces by a laser dicing process or a mechanical dicing process Semiconductor wafers, these semiconductor wafers 100 can be packaged by following the steps of FIGS. 18A to 18U, 19A to 19Z, 20A to 20Z, 21A to 21H, and 22I .

或者,第15H圖為本發明實施例中形成微型凸塊或微型金屬柱在一晶片上的製程剖面圖,在形成第15B圖中黏著層26之前,聚合物層36,也就是絕緣介電層包含一有機材質,例如是一聚合物或包括碳之化合物,絕緣介電層可經由旋塗塗佈製程、壓合製程、網板製刷、噴塗製程或灌模製程形成在保護層14上,以及在聚合物層36中形成複數開口在金屬接墊16上,聚合物層36之厚度介於3µm至30µm之間或介於5µm至15µm之間,且聚合物層36的材質可包括聚酰亞胺、苯並環丁烯(BenzoCycloButene(BCB))、聚對二甲苯(PBO)、環氧樹脂基底材質或化合物、光感性環氧樹脂SU-8、彈性體或矽膠(silicone)。Alternatively, FIG. 15H is a cross-sectional view of the process of forming micro bumps or micro metal pillars on a wafer in accordance with an embodiment of the present invention. Before forming the adhesive layer 26 in FIG. 15B, the polymer layer 36, that is, the insulating dielectric layer Including an organic material, such as a polymer or a compound including carbon, the insulating dielectric layer can be formed on the protective layer 14 through a spin coating process, a lamination process, a stencil brushing, a spraying process or a molding process. and forming a plurality of openings on the metal pads 16 in the polymer layer 36, the thickness of the polymer layer 36 is between 3 μm and 30 μm or between 5 μm and 15 μm, and the material of the polymer layer 36 can include polyamide Imine, benzocyclobutene (BenzoCycloButene (BCB)), parylene (PBO), epoxy resin base material or compound, photosensitive epoxy resin SU-8, elastomer or silicone.

在一種情況下,聚合物層36可經由旋轉塗佈形成厚度介於6µm至50µm之間的負型感光聚酰亞胺層在保護層14上及在接墊16上,然後烘烤轉塗佈形成的聚酰亞胺層,然後使用1X步進器,1X接觸式對準器或具有波長範圍介於434至438nm的G-Line、波長範圍介於403至407nm的H-Line及波長範圍介於363至367nm的I-Line的其中至少二種光線的雷射掃描器進行烘烤的聚酰亞胺層曝光,G-LINE及H-LINE、G-LINE及I-LINE、H-LINE及I-LINE或G-LINE、H-LINE及I-LINE照在烘烤的聚酰亞胺層上,然後顯影曝光後的聚酰亞胺層以形成複數開口曝露出複數接墊16,然後在溫度介於180°C至400°C之間或溫度高於或等於100°C、125°C、150°C、175°C、200°C、225°C、250°C、275°C或300°C,且加熱或固化時間介於20分鐘至150分鐘,且在氮氣環境或無氧環境中,固化或加熱己顯影的聚酰亞胺層,己固化的聚酰亞胺層具有厚度介於3μm至30μm之間,接著移除殘留聚合物材質或來自於接墊16的其它污染物及低於2000PPM的氧(O2 )離子或含氟離子及氧化物。In one case, the polymer layer 36 can be spin-coated to form a negative photosensitive polyimide layer with a thickness between 6 μm and 50 μm on the protective layer 14 and on the pads 16 , and then bake-transfer coated The formed polyimide layer is then used with a 1X stepper, 1X contact aligner or G-Line with a wavelength range of 434 to 438nm, H-Line with a wavelength range of 403 to 407nm and a wavelength range of 403 to 407nm. Exposure of the polyimide layer baked by the laser scanner of at least two kinds of light in the I-Line of 363 to 367 nm, G-LINE and H-LINE, G-LINE and I-LINE, H-LINE and I-LINE or G-LINE, H-LINE and I-LINE are irradiated on the baked polyimide layer, and then the exposed polyimide layer is developed to form a plurality of openings to expose a plurality of pads 16, and then Temperatures between 180°C and 400°C or above or equal to 100°C, 125°C, 150°C, 175°C, 200°C, 225°C, 250°C, 275°C or 300 ° C, and the heating or curing time is between 20 minutes and 150 minutes, and in a nitrogen environment or an oxygen-free environment, the developed polyimide layer is cured or heated, and the cured polyimide layer has a thickness of medium. Between 3 μm and 30 μm, residual polymer material or other contaminants from the pads 16 and oxygen (O 2 ) ions or fluorine ions and oxides below 2000 PPM are then removed.

因此,如第15H圖所示,微型凸塊或金屬柱34形成在保護層14的複數開口14a底部的金屬接墊16上及在環繞金屬接墊16的聚合物層36上,如第15H圖所示的微型凸塊或金屬柱34的規格或說明可以參照第15F圖所示的微型凸塊或金屬柱34的規格或說明,每一微型凸塊或金屬柱34具有一高度,此高度係從聚合物層36的上表面凸出量測,此高度介於3µm至60µm之間、介於5µm至50µm之間、介於5µm至40µm之間、介於5µm至30µm之間、介於5µm至20µm之間、介於5µm至15µm之間或介於3µm至10µm之間,或高度是大於或等於30µm、20µm、15µm、10µm或3µm,且以剖面圖中具有一最大尺寸(例如圓形的直徑、正方形或長方形的對角線)介於3µm至60µm之間、介於5µm至50µm之間、介於5µm至40µm之間、介於5µm至30µm之間、介於5µm至20µm之間、介於5µm至15µm之間或介於3µm至10µm之間,或尺寸是小於或等於60µm、50µm、40µm、30µm、20µm、15µm或10µm,二相鄰之微型凸塊或金屬柱34具有一空間(間距)尺寸介於3µm至60µm之間、介於5µm至50µm之間、介於5µm至40µm之間、介於5µm至30µm之間、介於5µm至20µm之間、介於5µm至15µm之間或介於3µm至10µm之間,或尺寸是小於或等於60µm、50µm、40µm、30µm、20µm、15µm或10µm。Therefore, as shown in FIG. 15H, micro-bumps or metal pillars 34 are formed on the metal pads 16 at the bottom of the plurality of openings 14a of the protective layer 14 and on the polymer layer 36 surrounding the metal pads 16, as shown in FIG. 15H The specification or description of the micro-bumps or metal pillars 34 shown can refer to the specifications or descriptions of the micro-bumps or metal pillars 34 shown in FIG. 15F. Each micro-bump or metal pillar 34 has a height, and the height is Measured protruding from the upper surface of the polymer layer 36, the height is between 3 µm and 60 µm, between 5 µm and 50 µm, between 5 µm and 40 µm, between 5 µm and 30 µm, between 5 µm and 5 µm. to 20µm, 5µm to 15µm, or 3µm to 10µm, or the height is greater than or equal to 30µm, 20µm, 15µm, 10µm or 3µm, and has a maximum dimension in cross-section (e.g. circular diameter, diagonal of a square or rectangle) between 3µm and 60µm, between 5µm and 50µm, between 5µm and 40µm, between 5µm and 30µm, between 5µm and 20µm , between 5µm and 15µm or between 3µm and 10µm, or the size is less than or equal to 60µm, 50µm, 40µm, 30µm, 20µm, 15µm or 10µm, two adjacent micro bumps or metal pillars 34 have a Spatial (spacing) dimensions between 3µm to 60µm, 5µm to 50µm, 5µm to 40µm, 5µm to 30µm, 5µm to 20µm, 5µm to 15µm between 3µm and 10µm, or dimensions less than or equal to 60µm, 50µm, 40µm, 30µm, 20µm, 15µm or 10µm.

SISC位在保護層上的實施例Embodiment of SISC bit on protective layer

或者,微型凸塊或金屬柱34形成之前,一晶片(SISC)上或內的第二交互連接線結構可形成在保護層14及FISC20上或上方,第16A圖至第16D圖為本發明實施例中形成交互連接線金屬層在一保護層上的製程剖面圖。Alternatively, a second interconnect structure on or within a chip (SISC) may be formed on or over the passivation layer 14 and the FISC 20 before the micro bumps or metal pillars 34 are formed. FIGS. 16A to 16D are embodiments of the present invention. In this example, the cross-sectional view of the process of forming the metal layer of the interconnecting wire on a protective layer.

如第16A圖所示,製造SISC在保護層14上方的製程可接著從第15C圖的步驟開始,厚度介於1μm至50μm之間的一光阻層38(例如是正型光阻層)旋轉塗佈或壓合方式形成在電鍍用種子層28上,光阻層38經由曝光、顯影等製程圖案化以形成複數溝槽或複數開孔38a曝露出電鍍用種子層28,使用1X步進器,1X接觸式對準器或具有波長範圍介於434至438nm的G-Line、波長範圍介於403至407nm的H-Line及波長範圍介於363至367nm的I-Line的其中至少二種光線的雷射掃描器進行光阻層38曝光,使用G-LINE及H-LINE、G-LINE及I-LINE、H-LINE及I-LINE或G-LINE、H-LINE及I-LINE照在光阻層38上,然後顯影曝光後的光阻層38以形成複數開口曝露出電鍍用種子層28,接著移除殘留聚合物材質或來自於電鍍用種子層28的其它污染物及低於2000PPM的氧(O2 )離子或含氟離子及氧化物,例如光阻層38可圖案化形成複數溝槽或複數開孔38a在光阻層38中曝露出電鍍用種子層28,通過以下後續製程以形成金屬接墊、金屬線或連接線在複數溝槽或複數開孔38a中及在電鍍用種子層28上,在光阻層38內的複數溝槽或複數開孔38a與保護層14中開口14a的區域重疊。As shown in FIG. 16A, the process of fabricating the SISC over the protective layer 14 may then begin with the step of FIG. 15C by spin coating a photoresist layer 38 (eg, a positive type photoresist layer) having a thickness between 1 μm and 50 μm It is formed on the seed layer 28 for electroplating by cloth or pressing, and the photoresist layer 38 is patterned through exposure, development and other processes to form a plurality of trenches or a plurality of openings 38a to expose the seed layer 28 for electroplating, using a 1X stepper, 1X contact aligner or with at least two of the G-Line with a wavelength range of 434 to 438nm, an H-Line with a wavelength range of 403 to 407nm and an I-Line with a wavelength range of 363 to 367nm The laser scanner exposes the photoresist layer 38, using G-LINE and H-LINE, G-LINE and I-LINE, H-LINE and I-LINE or G-LINE, H-LINE and I-LINE to illuminate the light On the resist layer 38, the exposed photoresist layer 38 is then developed to form a plurality of openings to expose the electroplating seed layer 28, and then the residual polymer material or other contaminants from the electroplating seed layer 28 and less than 2000PPM are removed. Oxygen (O 2 ) ions or fluorine-containing ions and oxides, for example, the photoresist layer 38 can be patterned to form a plurality of trenches or a plurality of openings 38a to expose the plating seed layer 28 in the photoresist layer 38 . Forming metal pads, metal lines or connecting lines in trenches or holes 38a and on seed layer 28 for electroplating, openings in trenches or holes 38a in photoresist layer 38 and protective layer 14 The area of 14a overlaps.

接著,如第16B圖所示,一金屬層40(例如是銅金屬材質)可被電鍍在複數溝槽或複數開孔38a曝露的電鍍用種子層28上,例如金屬層40可經由電鍍一厚度介於0.3μm至20μm之間、0.5μm至5μm之間、1μm至10μm之間或2μm至10μm之間的銅層在複數溝槽或複數開孔38a所曝露的電鍍用種子層28(銅材質)上。Next, as shown in FIG. 16B, a metal layer 40 (eg, copper metal material) can be plated on the plating seed layer 28 exposed by the plurality of trenches or the plurality of openings 38a. For example, the metal layer 40 can be plated with a thickness of Electroplating seed layer 28 (copper material) exposed by copper layers between 0.3 μm and 20 μm, between 0.5 μm and 5 μm, between 1 μm and 10 μm, or between 2 μm and 10 μm in the plurality of trenches or the plurality of openings 38a. )superior.

如第16C圖所示,在形成金屬層40之後,大部分的光阻層38可被移除,及接著未在金屬層40下方的電鍍用種子層28及黏著層26被蝕刻移除,其中移除及蝕刻的製程可參考如上述第15F圖所揭露之製程說明所示,因此黏著層26、電鍍用種子層28及電鍍的金屬層40圖案化形成一交互連接線金屬層27在保護層14上方。As shown in FIG. 16C, after the metal layer 40 is formed, most of the photoresist layer 38 may be removed, and then the plating seed layer 28 and the adhesion layer 26 not under the metal layer 40 are etched away, wherein The process of removing and etching can refer to the process description disclosed in FIG. 15F above. Therefore, the adhesive layer 26 , the plating seed layer 28 and the electroplating metal layer 40 are patterned to form an interconnecting wire metal layer 27 on the protective layer. 14 above.

接著,如第16D圖所示,一聚合物層42(例如是絕緣或金屬間介電層)形成在保護層14及金屬層40上,聚合物層42之複數開口42a位在交互連接線金屬層27的複數連接點上方,此聚合物層42的材質及製程與第15H圖中形成聚合物層36的材質及製程相同。Next, as shown in FIG. 16D, a polymer layer 42 (eg, an insulating or inter-metal dielectric layer) is formed on the protective layer 14 and the metal layer 40, and the plurality of openings 42a of the polymer layer 42 are located on the interconnecting wire metal Above the plurality of connection points of layer 27, the material and process of this polymer layer 42 are the same as those used to form polymer layer 36 in Fig. 15H.

形成交互連接線金屬層27的製程如第15A圖、第15B圖及第16A圖至第16C圖,而如第16D圖所示形成聚合物層42的製程二者可交替的執行數次而製造如第17圖中的SISC29,第17圖為晶片(SISC)的第二交互連接線結構之剖面示意圖,其中第二交互連接線結構係由複數交互連接線金屬層27及複數聚合物層42及聚合物層51,也就是絕緣物或金屬間介電層,或者可依據本發明之實施例而有所選擇佈置及安排。如第17圖所示,SISC29可包含一上層交互連接線金屬層27,此交互連接線金屬層27具有在聚合物層42複數開口42a內的複數金屬栓塞27a及聚合物層42上的複數金屬接墊、金屬線或連接線27b,上層交互連接線金屬層27可通過聚合物層42內複數開口42a中的上層交互連接線金屬層27之金屬栓塞27a連接至一下層交互連接線金屬層27,SISC29可包含最底端之交互連接線金屬層27,此最底端之交互連接線金屬層27具有保護層14複數開口14a內複數金屬栓塞27a及在保護層14上複數金屬接墊、金屬線或連接線27b,最底端的交互連接線金屬層27可通過保護層14複數開口14a內交互連接線金屬層27的最底端金屬栓塞27a連接至FISC20的複數交互連接線金屬層6。The process of forming the interconnect metal layer 27 is shown in FIG. 15A, FIG. 15B, and FIG. 16A to FIG. 16C, and the process of forming the polymer layer 42 as shown in FIG. 16D can be alternately performed for several times. As shown in SISC29 in FIG. 17, FIG. 17 is a schematic cross-sectional view of the second interconnection structure of the chip (SISC), wherein the second interconnection structure is composed of a plurality of interconnection metal layers 27 and a plurality of polymer layers 42 and The polymer layer 51, ie, an insulator or an intermetal dielectric layer, may alternatively be selectively arranged and arranged according to embodiments of the present invention. As shown in FIG. 17 , the SISC 29 may include an upper interconnect metal layer 27 having metal plugs 27 a in the openings 42 a of the polymer layer 42 and metal on the polymer layer 42 Pads, metal lines or connection lines 27b, the upper interconnection line metal layer 27 can be connected to the lower interconnection line metal layer 27 through the metal plugs 27a of the upper interconnection line metal layer 27 in the plurality of openings 42a in the polymer layer 42 The SISC 29 may include a bottommost interconnecting wire metal layer 27, and the bottommost interconnecting wire metal layer 27 has a plurality of metal plugs 27a in the plurality of openings 14a of the protective layer 14 and a plurality of metal pads, metal pads, and metal pads on the protective layer 14 Lines or connecting lines 27b, the bottommost interconnecting line metal layers 27 can be connected to the plurality of interconnecting line metal layers 6 of the FISC 20 through the bottommost metal plugs 27a of the interconnecting line metal layers 27 in the plurality of openings 14a of the protective layer 14.

或者,如第16K圖、第16L圖及第17圖所示,在最底端交互連接線金屬層27形成之前聚合物層51可形成在保護層14上,聚合物層51的材質及形成的製程與上述聚合物層36的材質及形成的製程相同,請參考上述第15H圖所揭露之說明,在此種情況,SISC29可包含由聚合物層51複數開口51a內複數金屬栓塞27a及在聚合物層51上的金屬接墊、金屬線或連接線27b所形成的最底端交互連接線金屬層27,最底端交互連接線金屬層27可通過保護層14複數開口14a內最底端交互連接線金屬層27的金屬栓塞27a,以及在在聚合物層51複數開口51a最底端交互連接線金屬層27的金屬栓塞27a連接至FISC20的複數交互連接線金屬層6。Alternatively, as shown in FIGS. 16K , 16L and 17 , the polymer layer 51 may be formed on the protective layer 14 before the bottommost interconnection wire metal layer 27 is formed. The manufacturing process is the same as the material and forming process of the polymer layer 36. Please refer to the description disclosed in FIG. 15H. In this case, the SISC 29 may include a plurality of metal plugs 27a in the plurality of openings 51a of the polymer layer 51 and a plurality of metal plugs 27a in the polymer layer 51. The bottommost interconnecting line metal layer 27 formed by the metal pads, metal lines or connecting lines 27b on the material layer 51, the bottommost interconnecting line metal layer 27 can pass through the bottommost interconnection in the plurality of openings 14a of the protective layer 14. The metal plugs 27a of the connecting wire metal layer 27, and the metal plugs 27a of the interconnecting wire metal layer 27 at the bottommost end of the plurality of openings 51a in the polymer layer 51 are connected to the plurality of interconnecting wire metal layers 6 of the FISC 20.

因此,SISC29可任選形成2至6層或3至5層的交互連接線金屬層27在保護層14上,對於SISC29的每一交互連接線金屬層27,其金屬接墊、金屬線或連接線27b的厚度例如係介於0.3µm至20µm之間、介於0.5µm至10µm之間、介於1µm至5µm之間、介於1µm至10µm之間或介於2µm至10µm之間,或其厚度大於或等於0.3µm、0.5µm、0.7µm、1µm、1.5µm、2µm或3µm,或其寬度例如係介於0.3µm至20µm之間、介於0.5µm至10µm之間、介於1µm至5µm之間、介於1µm至10µm之間、介於2µm至10µm之間,或其寬度係大於或等於0.3µm、0.5µm、0.7µm、1µm、1.5µm、2µm或3µm,每一聚合物層42及聚合物層51之厚度係介於0.3µm至20µm之間、介於0.5µm至10µm之間、介於1µm至5µm之間或介於1µm至10µm之間,或其厚度大於或等於0.3µm、0.5µm、0.7µm、1µm、1.5µm、2µm或3µm,SISC29的交互連接線金屬層27之金屬接墊、金屬線或連接線27b可被用於可編程交互連接線202。Therefore, the SISC 29 may optionally form 2 to 6 layers or 3 to 5 layers of interconnecting wire metal layers 27 on the protective layer 14. For each interconnecting wire metal layer 27 of the SISC 29, its metal pads, wires or connections The thickness of the line 27b is, for example, between 0.3 µm and 20 µm, between 0.5 µm and 10 µm, between 1 µm and 5 µm, between 1 µm and 10 µm, or between 2 µm and 10 µm, or Thickness greater than or equal to 0.3µm, 0.5µm, 0.7µm, 1µm, 1.5µm, 2µm or 3µm, or width e.g. between 0.3µm and 20µm, between 0.5µm and 10µm, between 1µm and 5µm between 1µm and 10µm, between 2µm and 10µm, or whose width is greater than or equal to 0.3µm, 0.5µm, 0.7µm, 1µm, 1.5µm, 2µm or 3µm, 42 µm per polymer layer and the thickness of the polymer layer 51 is between 0.3µm and 20µm, between 0.5µm and 10µm, between 1µm and 5µm, or between 1µm and 10µm, or its thickness is greater than or equal to 0.3µm , 0.5µm, 0.7µm, 1µm, 1.5µm, 2µm or 3µm, the metal pads, metal lines or connecting lines 27b of the interconnection line metal layer 27 of the SISC 29 can be used for the programmable interconnection line 202 .

如第16E圖至第16I圖為本發明實施例中形成微型金屬柱或微型凸塊在保護層上方的交互連接線金屬層上的製程剖面圖。如第16E圖所示,黏著層44可濺鍍在聚合物層42及在複數開口42a曝露的金屬層40表面上,黏著層44的規格及其形成方法可以參照圖15B所示的黏著層26及其製造方法。一電鍍用種子層46可被濺鍍在黏著層44上,此電鍍用種子層46的規格及其形成方法可以參照圖15C所示的電鍍用種子層28及其製造方法。16E to 16I are cross-sectional views of the process of forming micro metal pillars or micro bumps on the interconnect metal layer above the protective layer in accordance with an embodiment of the present invention. As shown in FIG. 16E, the adhesive layer 44 can be sputtered on the polymer layer 42 and the surface of the metal layer 40 exposed by the plurality of openings 42a. The specifications of the adhesive layer 44 and the method of forming the adhesive layer 44 can refer to the adhesive layer 26 shown in FIG. 15B. and its manufacturing method. An electroplating seed layer 46 may be sputtered on the adhesion layer 44. The specification of the electroplating seed layer 46 and the method of forming the electroplating seed layer 46 may refer to the electroplating seed layer 28 and its manufacturing method shown in FIG. 15C.

接著,如第16F圖所示,光阻層48形成在電鍍用種子層46上,光阻層48經由曝光、顯影等製程圖案化形成開口48a在光阻層48內曝露出電鍍用種子層46,此光阻層48的規格及其形成方法可以參照圖15D所示的光阻層48及其製造方法。Next, as shown in FIG. 16F , the photoresist layer 48 is formed on the seed layer 46 for electroplating, and the photoresist layer 48 is patterned to form openings 48 a through processes such as exposure and development, and the seed layer 46 for electroplating is exposed in the photoresist layer 48 . , the specification of the photoresist layer 48 and its formation method can refer to the photoresist layer 48 and its fabrication method shown in FIG. 15D .

接著,第16G圖所示,銅金屬層50電鍍形成在複數開口48a曝露的電鍍用種子層46上,此銅金屬層50的規格及其形成方法可以參照圖15E所示的銅金屬層32及其製造方法。Next, as shown in FIG. 16G, the copper metal layer 50 is electroplated on the plating seed layer 46 exposed by the plurality of openings 48a. The specifications of the copper metal layer 50 and the method of forming the copper metal layer 50 can be referred to the copper metal layers 32 and 32 shown in FIG. 15E. its manufacturing method.

接著,如第16H圖所示,大部分光阻層48被移除,然後未在銅金屬層50下方的電鍍用種子層46及黏著層44被蝕刻移除,移除光阻層48,及蝕刻電鍍用種子層46及黏著層44的方法可以參照圖15F所示的移除光阻層30,及蝕刻電鍍用種子層28及黏著層26的方法。Next, as shown in FIG. 16H, most of the photoresist layer 48 is removed, then the plating seed layer 46 and the adhesion layer 44 not under the copper metal layer 50 are etched away, the photoresist layer 48 is removed, and The method of etching the plating seed layer 46 and the adhesion layer 44 may refer to the method of removing the photoresist layer 30 and etching the plating seed layer 28 and the adhesion layer 26 shown in FIG. 15F .

因此,如第16H圖所示,黏著層44、電鍍用種子層46及電鍍銅金屬層50可組成複數微型凸塊或金屬柱34在SISC29最頂端聚合物層42複數開口42a底部的SISC29之最頂端交互連接線金屬層27上,此微型凸塊或金屬柱34的規格及其形成方法可以參照圖15F所示的微型凸塊或金屬柱34及其製造方法,每一微型凸塊或金屬柱34從SISC29最頂端聚合物層42的上表面凸起一高度,例如係介於3µm至60µm之間、介於5µm至50µm之間、介於5µm至40µm之間、介於5µm至30µm之間、介於5µm至20µm之間、介於5µm至15µm之間或介於3µm至10µm之間、且以剖面圖中具有一最大尺寸(例如圓形的直徑、正方形或長方形的對角線)介於3µm至60µm之間、介於5µm至50µm之間、介於5µm至40µm之間、介於5µm至30µm之間、介於5µm至20µm之間、介於5µm至15µm之間或介於3µm至10µm之間,或尺寸是小於或等於60µm、50µm、40µm、30µm、20µm、15µm或10µm。Therefore, as shown in FIG. 16H , the adhesion layer 44 , the electroplating seed layer 46 and the electroplating copper metal layer 50 may constitute a plurality of micro-bumps or metal pillars 34 at the top of the SISC 29 and the bottom of the plurality of openings 42 a of the polymer layer 42 at the top of the SISC 29 On the top interconnect metal layer 27, the specifications of the micro-bumps or metal pillars 34 and the formation method thereof can refer to the micro-bumps or metal pillars 34 and the manufacturing method thereof shown in FIG. 15F. Each micro-bump or metal pillar 34 a height protruding from the upper surface of the topmost polymer layer 42 of the SISC29, for example between 3µm and 60µm, between 5µm and 50µm, between 5µm and 40µm, between 5µm and 30µm , between 5µm and 20µm, between 5µm and 15µm, or between 3µm and 10µm, and having a maximum dimension in cross-section (such as the diameter of a circle, the diagonal of a square or rectangle) 3µm to 60µm, 5µm to 50µm, 5µm to 40µm, 5µm to 30µm, 5µm to 20µm, 5µm to 15µm, or 3µm to 10µm, or dimensions less than or equal to 60µm, 50µm, 40µm, 30µm, 20µm, 15µm or 10µm.

如第16I圖所示,形成微型凸塊或金屬柱34在之在第16H所示之半導體晶圓上方,半導體晶圓經由雷射切割或機械切割製程被切割分離成複數單獨半導體晶片100、積體電路晶片,半導體晶片100可以使用以下步驟進行封裝,如第18A圖至第18U圖、第19A圖至第19Z圖、第20A圖至第20Z圖、第21A圖至第21H圖及第22I圖之步驟。As shown in FIG. 16I, microbumps or metal pillars 34 are formed over the semiconductor wafer shown in FIG. 16H, and the semiconductor wafer is diced and separated into a plurality of individual semiconductor chips 100, integrated by a laser dicing or mechanical dicing process, as shown in FIG. 16H. A bulk circuit chip, the semiconductor chip 100 can be packaged using the following steps, as shown in FIGS. 18A to 18U, 19A to 19Z, 20A to 20Z, 21A to 21H, and 22I steps.

如第16J圖,上述交互連接線金屬層27可包括一電源交互連接線金屬連接線或接地交互連接線金屬連接線連接至複數金屬接墊16及形成在上面的微型凸塊或金屬柱34,如第16L圖所示,上述交互連接線金屬層27可包括一交互連接線金屬連接線連接至複數金屬接墊16及沒有微金屬柱或凸塊形成在上面。As shown in FIG. 16J, the above-mentioned interconnecting wire metal layer 27 may include a power interconnecting wire metal connecting wire or a grounding interconnecting wire metal connecting wire connected to a plurality of metal pads 16 and micro bumps or metal pillars 34 formed thereon, As shown in FIG. 16L, the above-mentioned interconnecting metal layer 27 may include an interconnecting metal connecting line connected to the plurality of metal pads 16 and no micro-metal pillars or bumps are formed thereon.

如第16I圖至第16L圖、第17圖所示,FISC29的交互連接線金屬層27可用於每一複數商業化標準FPGAIC晶片200的複數晶片內交互連接線502之複數可編程的及固定的交互連接線361及364,如第8A圖所示。As shown in FIGS. 16I to 16L and 17, the interconnect metal layer 27 of the FISC 29 can be used for multiple programmable and fixed multiple intra-chip interconnect lines 502 of each commercial standard FPGA IC chip 200 Interconnect lines 361 and 364, as shown in Figure 8A.

FOIT之實施例Example of FOIT

一扇出交互連接線技術(FOIT)可用於製作或製造單層封裝邏輯驅動器300在多晶片封裝內,FOIT的揭露如下:A Fan-Out Interconnect Technology (FOIT) can be used to fabricate or fabricate the single-level package logic driver 300 in a multi-die package. The FOIT is disclosed as follows:

第18A圖至第18T圖為本發明實施例依據FOIT形成邏輯驅動器之製程示意圖,如第18A圖所示,一黏著材料88經由滴注製程形成複數黏著區域在載體基板90的,載體基板90意即是載體、支架、灌模器或基板,載體基板90可以是晶圓型式(其直徑尺寸為8吋、12吋或18吋的晶圓),或是正方形或長方形的面板型式(其寬度或長度是大於或等於20cm、30cm、50cm、75cm、100cm、150cm、200cm或300cm),揭露在第15G圖、第15H圖、第16I圖至第16L圖及第17圖的各種型式的半導體晶片100可設置、安裝、固定或黏著黏著材料88而接合在載體基板90上,每一半導體晶片100被封裝在單層封裝邏輯驅動器300內,其中單層封裝邏輯驅動器300可形成具有上述高度(從每一半導體晶片100上表面凸出的高度)的微型凸塊或金屬柱34,其高度係介於3µm至60µm之間、介於5µm至50µm之間、介於5µm至40µm之間、介於5µm至30µm之間、介於5µm至20µm之間、介於5µm至15µm之間或介於3µm至10µm之間,或大於或等於30µm、20µm、15µm、5µm或3µm,每一半導體晶片100設置、容納、固定或黏著在載體基板90上,且半導體晶片100一側或表面上形成半導體元件4,也就是具有電晶體那側或表面向上,而每一半導體晶片100的背面沒有形成任何主動元件,且背面朝下設置、固定、容納或黏著黏著材料88而設置載體基板90上,接著黏著材料88在溫度介於100o C至200o C之間進行烘烤或硬化。FIGS. 18A to 18T are schematic diagrams of a process of forming a logic driver according to FOIT according to an embodiment of the present invention. As shown in FIG. 18A, an adhesive material 88 is formed through a dripping process to form a plurality of adhesive regions on the carrier substrate 90. The carrier substrate 90 is intended to That is, a carrier, holder, molder, or substrate. The carrier substrate 90 may be a wafer type (with a diameter of 8 inches, 12 inches, or 18 inches), or a square or rectangular panel type (with a width or The length is greater than or equal to 20cm, 30cm, 50cm, 75cm, 100cm, 150cm, 200cm or 300cm), and various types of semiconductor wafers 100 are disclosed in FIGS. 15G, 15H, 16I to 16L, and 17. The adhesive material 88 may be disposed, mounted, secured, or bonded to the carrier substrate 90, and each semiconductor die 100 is packaged within a single-level package logic driver 300, wherein the single-level package logic driver 300 may be formed with the aforementioned heights (from each A micro-bump or metal pillar 34 with a protruding height from the upper surface of the semiconductor chip 100 , the height of which is between 3 μm and 60 μm, between 5 μm and 50 μm, between 5 μm and 40 μm, between 5 μm and 5 μm. Between 30µm, between 5µm and 20µm, between 5µm and 15µm, or between 3µm and 10µm, or greater than or equal to 30µm, 20µm, 15µm, 5µm or 3µm, 100 sets per semiconductor chip, accommodated, fixed or adhered on the carrier substrate 90, and the semiconductor element 4 is formed on one side or surface of the semiconductor wafer 100, that is, the side or surface with the transistor is upward, and the backside of each semiconductor wafer 100 is not formed with any active element, and a rear surface facing downward, fixing, adhesive, or the adhesive material 88 provided on the carrier substrate 90, adhesive material 88 is then at a temperature between 100 o C to 200 o C between the hardened or baked.

單層封裝邏輯驅動器300在第11A圖至第11N圖中顯示,每一個的半導體晶片100可以是商業化標準FPGAIC晶片200、DPIIC晶片410、NVMIC晶片250、專用I/O晶片265、運算及(或)計算IC晶片269(例如是CPU晶片、GPU晶片、TPU晶片、DSP晶片或APU晶片)、DRAM晶片321、專用控制晶片260、專用控制及I/O晶片266、IAC晶片402、DCIAC晶片267或DCDI/OIAC晶片268。舉例而言,如第18A圖所示的這六個半導體晶片100從左而右依序為NVMIC晶片250、商業化標準FPGAIC晶片200、CPU晶片269、專用控制晶片260、商業化標準FPGAIC晶片200及GPU晶片269。舉例而言,如第18A圖所示的這六個半導體晶片100從左而右依序為NVMIC晶片250、商業化標準FPGAIC晶片200、DPIIC晶片410、CPU晶片269、DPIIC晶片410及GPU晶片269。舉例而言,如第18A圖所示的這六個半導體晶片100從左而右依序為專用I/O晶片265、NVMIC晶片250、商業化標準FPGAIC晶片200、DPIIC晶片410、商業化標準FPGAIC晶片200及專用I/O晶片265。The single level package logic driver 300 is shown in FIGS. 11A to 11N, and each semiconductor die 100 may be a commercial standard FPGAIC die 200, a DPIIC die 410, an NVMIC die 250, a dedicated I/O die 265, an arithmetic and ( or) computing IC chip 269 (eg CPU chip, GPU chip, TPU chip, DSP chip or APU chip), DRAM chip 321, dedicated control chip 260, dedicated control and I/O chip 266, IAC chip 402, DCIAC chip 267 or DCDI/OIAC wafer 268. For example, the six semiconductor chips 100 shown in FIG. 18A are, from left to right, an NVMIC chip 250 , a commercial standard FPGAIC chip 200 , a CPU chip 269 , a dedicated control chip 260 , and a commercial standard FPGAIC chip 200 and GPU chip 269. For example, the six semiconductor chips 100 shown in FIG. 18A are, from left to right, an NVMIC chip 250 , a commercial standard FPGAIC chip 200 , a DPIIC chip 410 , a CPU chip 269 , a DPIIC chip 410 , and a GPU chip 269 . For example, the six semiconductor chips 100 shown in FIG. 18A are, from left to right, a dedicated I/O chip 265, an NVMIC chip 250, a commercial standard FPGAIC chip 200, a DPIIC chip 410, and a commercial standard FPGAIC chip Die 200 and dedicated I/O die 265.

如第18A圖所示,黏著材料88的材質可以是聚合物材質,例如是聚酰亞胺或環氧樹脂,且黏著材料88的厚度係介於1μm至50μm之間,例如,黏著材料88可以是厚度介於1μm至50μm之間的聚酰亞胺,或者,黏著材料88可以是厚度介於1μm至50μm之間的環氧樹脂,因此半導體晶片100可以是利用聚酰亞胺黏著在載體基板90上,或者是,半導體晶片100可以是利用環氧樹脂黏著在載體基板90上。As shown in FIG. 18A , the material of the adhesive material 88 can be a polymer material, such as polyimide or epoxy resin, and the thickness of the adhesive material 88 is between 1 μm and 50 μm. For example, the adhesive material 88 can be It is polyimide with a thickness between 1 μm and 50 μm, or the adhesive material 88 can be epoxy resin with a thickness between 1 μm and 50 μm, so the semiconductor chip 100 can be adhered to the carrier substrate using polyimide. Alternatively, the semiconductor wafer 100 may be adhered to the carrier substrate 90 using epoxy resin.

如第18A圖所示,載體基板90的材質可以是矽材質、金屬材質、玻璃材質、塑膠材質、陶瓷材質、聚合物材質、環氧-基底聚合物材質或環氧基底化合物材質,例如,載體基板90可以是增強性玻璃纖維環氧樹脂基材,其厚度係介於200μm至2000μm之間;或者,載體基板90可以是玻璃基板,其厚度係介於200μm至2000μm之間;或者,載體基板90可以是矽基板,其厚度係介於200μm至2000μm之間;或者,載體基板90可以是陶瓷基板,其厚度係介於200μm至2000μm之間;或者,載體基板90可以是有機基板,其厚度係介於200μm至2000μm之間;或者,載體基板90可以是金屬基板(例如包括銅金屬),其厚度係介於200μm至2000μm之間;載體基板90中可以沒有金屬連接線,但可具有承載(攜帶)半導體晶片100的功能。As shown in FIG. 18A, the material of the carrier substrate 90 can be silicon material, metal material, glass material, plastic material, ceramic material, polymer material, epoxy-base polymer material or epoxy base compound material, for example, a carrier The substrate 90 can be a reinforced glass fiber epoxy resin substrate with a thickness between 200 μm and 2000 μm; or the carrier substrate 90 can be a glass substrate with a thickness between 200 μm and 2000 μm; or, the carrier substrate 90 may be a silicon substrate with a thickness between 200 μm and 2000 μm; or the carrier substrate 90 may be a ceramic substrate with a thickness between 200 μm and 2000 μm; or, the carrier substrate 90 may be an organic substrate with a thickness between 200 μm and 2000 μm. is between 200 μm and 2000 μm; alternatively, the carrier substrate 90 may be a metal substrate (for example, including copper metal), and its thickness is between 200 μm and 2000 μm; the carrier substrate 90 may not have metal connection lines, but may have bearing (carrying) the function of the semiconductor wafer 100 .

如第18B圖所示,一聚合物層92具有厚度t7介於250μm至1000μm之間,其經由旋塗、網版印刷、滴注或灌模方式形成在載體基板90及半導體晶片100上且包圍半導體晶片100的微型凸塊或金屬柱34,及填入複數半導體晶片100之間的間隙中,此灌模的方法包括壓縮成型(使用頂部和底部模具)或鑄造成型(使用滴注器),樹脂材料或化合物用於聚合物層92,其可為聚合物材質例如包括聚酰亞胺、苯並環丁烯(BenzoCycloButene(BCB))、聚對二甲苯、環氧樹脂基底材質或化合物、光感性環氧樹脂SU-8、彈性體或矽膠(silicone),聚合物層92例如可為例如是日本AsahiKasei公司所提供的感光性聚酰亞胺/PBOPIMEL™、由日本NagaseChemteX公司提供的環氧樹脂基底灌模化合物、樹脂或密封膠,聚合物層92被使在(經由塗佈、印刷、滴注或灌模)半導體晶片100之上及在載體基板90上至一水平面,如(i)將複數半導體晶片100的間隙填滿;(ii)將複數半導體晶片100的上表面覆蓋;(iii)填滿複數半導體晶片100上的微型凸塊或金屬柱34之間的間隙;(iv)覆蓋複數半導體晶片100上的r微型凸塊或金屬柱34的上表面,此聚合物材質、樹脂或灌模化合物可經由溫度加熱至一特定溫度被固化或交聯(cross-linked),此特定溫度例如是高於或等於50℃、70℃、90℃、100℃、125℃、150℃、175℃、200℃、225℃、250℃、275℃或300℃。As shown in FIG. 18B , a polymer layer 92 having a thickness t7 between 250 μm and 1000 μm is formed on the carrier substrate 90 and the semiconductor wafer 100 by spin coating, screen printing, dripping or casting and surrounding the semiconductor wafer 100 . the microbumps or metal pillars 34 of the semiconductor wafer 100 and fill in the gaps between the plurality of semiconductor wafers 100 by compression molding (using top and bottom molds) or casting (using a dripper), A resin material or compound is used for the polymer layer 92, which can be a polymer material such as polyimide, benzocyclobutene (BenzoCycloButene (BCB)), parylene, epoxy resin base material or compound, light Sensitive epoxy resin SU-8, elastomer or silicone, the polymer layer 92 can be, for example, photosensitive polyimide/PBOPIMEL™ provided by Japan Asahi Kasei Corporation, epoxy resin provided by Japan NagaseChemteX Corporation A base potting compound, resin or sealant, polymer layer 92 is applied (via coating, printing, dripping or potting) over semiconductor wafer 100 and on carrier substrate 90 to a horizontal plane such as (i) the (ii) covering the upper surface of the plurality of semiconductor wafers 100; (iii) filling the gaps between the micro bumps or metal pillars 34 on the plurality of semiconductor wafers 100; (iv) covering the plurality of semiconductor wafers 100 On the upper surface of the micro-bumps or metal pillars 34 on the semiconductor wafer 100, the polymer material, resin or potting compound can be cured or cross-linked by heating to a specific temperature, such as is greater than or equal to 50°C, 70°C, 90°C, 100°C, 125°C, 150°C, 175°C, 200°C, 225°C, 250°C, 275°C or 300°C.

如第18C圖所示,聚合物層92例如經由機械研磨製程從前側進行研磨以曝露出每一微型凸塊或金屬柱34的前表面及平坦化聚合物層92的前側,或者,聚合物層92可經由CMP製程進行研磨,當聚合物層92被研磨時,每一微型凸塊或金屬柱34的前側部分可允許被移除,且在結構研磨製程後,其黏著層44具有厚度t8介於250μm至8000μm之間。As shown in FIG. 18C, the polymer layer 92 is ground from the front side, eg, through a mechanical grinding process to expose the front surface of each microbump or metal post 34 and to planarize the front side of the polymer layer 92, or, alternatively, the polymer layer 92 may be polished through a CMP process. When the polymer layer 92 is polished, the front side portion of each microbump or metal post 34 may be allowed to be removed, and after the structural polishing process, its adhesive layer 44 has a thickness of t8 dielectric. between 250 μm and 8000 μm.

接著,邏輯驅動器內(或上)的頂層交互連接線結構(TopInterconnectionSchemein,onorofthelogicdrive(TISD))可經由晶圓或面板製程形成在聚合物層92的前側上或上方及在微型凸塊或金屬柱34前側上,如第18D圖至第18N圖所示。Next, a top interconnect structure (Top Interconnection Scheme, onor of the logic drive (TISD)) in (or on) the logic driver can be formed on or over the front side of the polymer layer 92 and on the microbumps or metal pillars 34 through a wafer or panel process. On the front side, as shown in Figures 18D to 18N.

如第18D圖所示,一聚合物層93(也就是絕緣介電層)經由旋塗、網版印刷、滴注或灌模的方法形成在聚合物層92上及微型金屬柱或微型凸塊或金屬柱34上,及在聚合物層93內的複數開口93a形成在複數開口93a所曝露的微型凸塊或金屬柱34上方,聚合物層93可包括例如是聚酰亞胺、苯並環丁烯(BenzoCycloButene(BCB))、聚對二甲苯、環氧樹脂基底材質或化合物、光感性環氧樹脂SU-8、彈性體或矽膠(silicone),聚合物層93的絕緣介電層的材質包括有機材質,例如是一聚合物、聚合物或聚合物材質化合物包括碳,聚合物層93的材質可以是光感性材質,可用於光阻層形成複數圖案化開口93a,以便在之後的程序中形成金屬栓塞,聚合物層93可塗佈、及經由一光罩曝光,接著顯影及蝕刻而形成複數開口93a在聚合物層93內,在聚合物層93的複數開口93a與微型凸塊或金屬柱34之上表面重疊,在某些應用或設計中,聚合物層93的複數開口93a的尺寸或橫向最大尺寸可小於在開口93a下方微型凸塊或金屬柱34的上表面,在其它的應用或設計中,聚合物層93的複數開口93a之尺寸或橫向最大尺寸係大於在開口93a下方微型凸塊或金屬柱34的上表面,接著聚合物層93(也就是絕緣介電層)在一特定溫度下硬化(固化),例如是例如是高於或等於100℃、125℃、150℃、175℃、200℃、225℃、250℃、275℃或300℃,而硬化後的聚合物層93之厚度係介於3µm至30µm之間或介於5µm至15µm之間,聚合物層93可能會添加一些電介質顆粒或玻璃纖維,聚合物層93的材質及其形成方法可以參照第15H圖所示的聚合物層36的材質及其形成方法。As shown in FIG. 18D, a polymer layer 93 (ie, insulating dielectric layer) is formed on the polymer layer 92 and micro metal pillars or micro bumps by spin coating, screen printing, dripping or molding. A plurality of openings 93a are formed on or on metal pillars 34, and in polymer layer 93, which may include, for example, polyimide, benzocyclic Butene (BenzoCycloButene (BCB)), parylene, epoxy resin base material or compound, photosensitive epoxy resin SU-8, elastomer or silicone, the material of the insulating dielectric layer of the polymer layer 93 Including an organic material, such as a polymer, a polymer or a polymer material compound including carbon, the material of the polymer layer 93 can be a photosensitive material, which can be used for the photoresist layer to form a plurality of patterned openings 93a, so that in the subsequent procedures To form metal plugs, the polymer layer 93 can be coated and exposed through a mask, then developed and etched to form openings 93a in the polymer layer 93, the openings 93a in the polymer layer 93 and microbumps or metal The upper surfaces of the pillars 34 overlap. In some applications or designs, the size or lateral maximum dimension of the plurality of openings 93a in the polymer layer 93 may be smaller than the upper surface of the microbumps or metal pillars 34 below the openings 93a. In other applications Or in the design, the size or the lateral maximum size of the plurality of openings 93a of the polymer layer 93 is larger than the upper surface of the micro-bumps or metal pillars 34 under the openings 93a, and then the polymer layer 93 (ie, the insulating dielectric layer) is a Hardening (curing) at a specific temperature, such as, for example, higher than or equal to 100°C, 125°C, 150°C, 175°C, 200°C, 225°C, 250°C, 275°C or 300°C, and the hardened polymer layer The thickness of 93 is between 3µm and 30µm or between 5µm and 15µm. Some dielectric particles or glass fibers may be added to the polymer layer 93. The material of the polymer layer 93 and its formation method can be referred to in Figure 15H. The material and method of forming the polymer layer 36 are shown.

接著,進行一浮凸製程在聚合物層93上及在曝露的微型凸塊或金屬柱34上表面上。Next, a relief process is performed on the polymer layer 93 and on the exposed top surface of the microbumps or metal pillars 34 .

接著,如第18E圖所示,一黏著/種子層94形成在聚合物層93及曝露的微型凸塊或金屬柱34上表面上,可選地,黏著/種子層94可形成在圍繞微型凸塊或金屬柱34曝露的上表面之聚合物層92上,首先,黏著層之厚度係介於0.001μm至0.7μm之間、介於0.01μm至0.5μm之間或介於0.03μm至0.35μm之間,且黏著層可濺鍍在聚合物層93上及在微型凸塊或金屬柱34上,可選擇地,黏著層可形成在圍繞微型凸塊或金屬柱34曝露的上表面之聚合物層92上,黏著層的材質包括鈦、鈦-鎢合金、氮化鈦、鉻、鈦-鎢合金層、氮化鉭或上述材質的複合物,黏著層可經由ALD製程、CVD製程或蒸鍍製程形成,例如,黏著層可經由CVD沉積方式形成Ti層或TiN層(其厚度例如係介於1nm至50nm之間)在聚合物層93及微型凸塊或金屬柱34曝露的上表面上。Next, as shown in FIG. 18E, an adhesion/seed layer 94 is formed on the polymer layer 93 and the exposed upper surface of the microbumps or metal pillars 34. Alternatively, the adhesion/seed layer 94 may be formed around the microbumps On the polymer layer 92 on the exposed upper surface of the block or metal post 34, first, the thickness of the adhesive layer is between 0.001 μm and 0.7 μm, between 0.01 μm and 0.5 μm, or between 0.03 μm and 0.35 μm. In between, and an adhesion layer can be sputtered on the polymer layer 93 and on the microbumps or metal pillars 34, alternatively, an adhesion layer can be formed on the polymer surrounding the exposed upper surface of the microbumps or metal pillars 34 On the layer 92, the material of the adhesion layer includes titanium, titanium-tungsten alloy, titanium nitride, chromium, titanium-tungsten alloy layer, tantalum nitride or a composite of the above materials. The adhesion layer can be processed by ALD process, CVD process or vapor deposition Process formation, for example, the adhesion layer can be deposited by CVD to form a Ti layer or a TiN layer (with a thickness between 1 nm and 50 nm, for example) on the exposed upper surface of the polymer layer 93 and the micro bumps or metal pillars 34 .

接著,厚度介於0.001μm至1μm之間、介於0.03μm至2μm之間或介於0.05μm至0.5μm之間的一電鍍用種子層可濺鍍形成在整個黏著層的上表面上,或者,電鍍用種子層可經由原子層(ATOMIC-LAYER-DEPOSITION(ALD))沉積製程、化學氣相沉積(CHEMICALVAPORDEPOSITION(CVD))製程、蒸鍍製程、無電電鍍或物理氣相沉積方式形成。電鍍用種子層有益於在表面上電鍍形成一金屬層,因此,電鍍用種子層的材質種類隨著電鍍用種子層上電鍍的金屬層材質而變化,當一銅層被電鍍在電鍍用種子層上時,銅金屬則為電鍍用種子層優先選擇的材質,例如電鍍用種子層形成在黏著層上或上方,例如可經由濺鍍或CVD化學沉積一銅種子層(其厚度例如介於3nm至300nm之間或介於3nm至200nm之間)在黏著層上,黏著層及電鍍用種子層可組成如第18E圖所示之黏著/種子層94。Next, a seed layer for electroplating with a thickness between 0.001 μm and 1 μm, between 0.03 μm and 2 μm, or between 0.05 μm and 0.5 μm can be sputtered on the upper surface of the entire adhesion layer, or , The seed layer for electroplating can be formed by atomic layer (ATOMIC-LAYER-DEPOSITION (ALD)) deposition process, chemical vapor deposition (CHEMICAL VAPORDEPOSITION (CVD)) process, evaporation process, electroless plating or physical vapor deposition. The seed layer for electroplating is beneficial to form a metal layer by electroplating on the surface. Therefore, the material type of the seed layer for electroplating varies with the material of the metal layer electroplated on the seed layer for electroplating. When a copper layer is electroplated on the seed layer for electroplating Copper metal is the preferred material for the seed layer for electroplating, for example, the seed layer for electroplating is formed on or above the adhesive layer, for example, a copper seed layer (with a thickness of, for example, 3 nm to 300 nm or between 3 nm and 200 nm) on the adhesion layer, the adhesion layer and the seed layer for electroplating may constitute the adhesion/seed layer 94 as shown in FIG. 18E.

接著,如第18F圖所示,厚度介於5μm至50μm之間的光阻層96(例如是正型光阻層)經旋轉塗佈或壓合方式形成在黏著/種子層94的電鍍用種子層上,光阻層96經由曝光、顯影等製程形成複數溝槽或複數開孔96a在光阻層96內並曝露黏著/種子層94的電鍍用種子層,用1X步進器,具有波長範圍介於434至438nm的G-Line、波長範圍介於403至407nm的H-Line及波長範圍介於363至367nm的I-Line的其中至少二種光線的1X接觸式對準器或雷射掃描器可用於照光在光阻層96上而曝光光阻層96,也就是G-Line及H-Line、G-Line及I-Line、H-Line及I-Line或G-Line、H-Line及I-Line照在光阻層96上,然後顯影曝露的聚合物光阻層96,然後使用氧氣離子(O2 plasma)或含氟離子在2000PPM及氧,並移除殘留在黏著/種子層94的電鍍用種子層的聚合物材質或其它污染物,使得光阻層96可被圖案化而形成複數開口96a,在光阻層96內並曝露黏著/種子層94的電鍍用種子層,經由後續要執行的步驟(製程)以形成金屬接墊、金屬線或連接線在溝槽或複數開孔96a內及在銲錫球325的電鍍用種子層,位在光阻層96內其中之一溝槽或複數開孔96a可與聚合物層93內複數開口93a的面積重疊。Next, as shown in FIG. 18F, a photoresist layer 96 (eg, a positive-type photoresist layer) having a thickness between 5 μm and 50 μm is spin-coated or press-bonded to form a seed layer for electroplating on the adhesion/seed layer 94 On the photoresist layer 96, a plurality of trenches or a plurality of openings 96a are formed in the photoresist layer 96 through processes such as exposure and development, and the seed layer for electroplating of the adhesion/seed layer 94 is exposed, using a 1X stepper with a wavelength range of intermediate 1X contact aligner or laser scanner for at least two of the G-Line from 434 to 438 nm, H-Line from 403 to 407 nm and I-Line from 363 to 367 nm Can be used to expose the photoresist layer 96 by illuminating the photoresist layer 96, namely G-Line and H-Line, G-Line and I-Line, H-Line and I-Line or G-Line, H-Line and I-Line is irradiated on photoresist layer 96, then exposed polymer photoresist layer 96 is developed, then oxygen ions (O 2 plasma) or fluoride ions at 2000 PPM and oxygen are used to remove residual adhesion/seed layer 94 The polymer material or other contaminants of the electroplating seed layer, so that the photoresist layer 96 can be patterned to form a plurality of openings 96a, in the photoresist layer 96 and exposing the electroplating seed layer of the adhesion/seed layer 94, through the subsequent Steps (processes) to be performed to form metal pads, metal lines or connecting lines in trenches or openings 96a and in a seed layer for electroplating of solder balls 325 in one of the trenches in photoresist layer 96 Or the plurality of openings 96a may overlap the area of the plurality of openings 93a in the polymer layer 93 .

接著,請參考第18G圖所示,一金屬層98(例如銅層)電鍍形成在溝槽或複數開孔96A曝露的黏著/種子層94之電鍍用種子層上,例如,金屬層98可電鍍一厚度介於0.3μm至20μm之間、介於0.5μm至5μm之間、介於1μm至10μm之間及介於2μm至10μm之間的一銅層在溝槽或複數開孔96A所曝露之銅金屬材質形成之電鍍用種子層上。Next, referring to FIG. 18G, a metal layer 98 (eg, a copper layer) is electroplated on the seed layer for electroplating of the adhesion/seed layer 94 exposed by the trenches or openings 96A. For example, the metal layer 98 may be electroplated A copper layer having a thickness between 0.3 μm and 20 μm, between 0.5 μm and 5 μm, between 1 μm and 10 μm, and between 2 μm and 10 μm is exposed in the trenches or openings 96A On the seed layer for electroplating formed of copper metal material.

如第18H圖所示,在形成金屬層98之後,大部分的光阻層38可被移除,接著沒有在金屬層98下方的黏著/種子層94被蝕刻去除,其中移除及蝕刻的製程可分別參考如第15F圖中所揭露之移除光阻層30及蝕刻電鍍用種子層28及黏著層26的製程,因此,黏著/種子層94及電鍍的金屬層98可被圖案化以形成交互連接線金屬層99在聚合物層92上,交互連接線金屬層99可由在聚合物層93複數開口93a內的複數金屬栓塞99a及在聚合物層93上的金屬接墊、金屬線或連接線99b所構成。As shown in FIG. 18H, after the metal layer 98 is formed, most of the photoresist layer 38 can be removed, and then the adhesion/seed layer 94 not under the metal layer 98 is etched away, wherein the removal and etching process Referring to the process of removing photoresist layer 30 and etching plating seed layer 28 and adhesion layer 26 as disclosed in FIG. 15F, respectively, adhesion/seed layer 94 and electroplated metal layer 98 may be patterned to form The interconnect metal layer 99 is on the polymer layer 92, and the interconnect metal layer 99 can be formed by a plurality of metal plugs 99a in the plurality of openings 93a of the polymer layer 93 and metal pads, wires or connections on the polymer layer 93 formed by line 99b.

接著,如第18I圖所示,聚合物層104(也就是絕緣或金屬間介電層層)形成在聚合物層93、金屬層98及在聚合物層104的複數開口104a內交互連接線金屬層99的連接點上,聚合物層104的厚度介於3μm至30μm之間或介於5μm至15μm之間,聚合物層104可添加一些電介質顆粒或玻璃纖維,聚合物層104的材質及其形成方法可以參考第18D圖或第15H圖中所示的聚合物層93或聚合物層36的材質及其形成方法。Next, as shown in FIG. 18I , a polymer layer 104 (ie, an insulating or intermetal dielectric layer) is formed on the polymer layer 93 , the metal layer 98 , and the interconnected metal wires in the plurality of openings 104 a of the polymer layer 104 On the connection point of the layer 99, the thickness of the polymer layer 104 is between 3 μm and 30 μm or between 5 μm and 15 μm, some dielectric particles or glass fibers can be added to the polymer layer 104, the material of the polymer layer 104 and its For the formation method, reference may be made to the material of the polymer layer 93 or the polymer layer 36 and the formation method thereof shown in Fig. 18D or Fig. 15H.

第18F圖至第18H圖揭露交互連接線金屬層99形成的製程,與聚合物層104形成的製程可多次交替的執行以製造形成如第18D圖至第18N圖中的TISD,如第18N圖所示,TISD101包括一上層交互連接線金屬層99,此上層交互連接線金屬層99具有在聚合物層104中複數開口104a內的金屬栓塞99a及聚合物層104上的複數金屬接墊、金屬線或連接線99b,上層交互連接線金屬層99可通過在聚合物層104複數開口104a內的上層交互連接線金屬層99中的金屬栓塞99a連接至下層交互連接線金屬層99,TISD101可包括最底端的交互連接線金屬層99,其中交互連接線金屬層99具有在聚合物層93複數開口93a內的金屬栓塞99a及在聚合物層93上複數金屬接墊、金屬線或連接線99b,此最底端的交互連接線金屬層99可通過它的金屬栓塞99a、複數微型金屬柱或凸塊34連接至半導體晶片100的SISC29。FIGS. 18F to 18H disclose the process of forming the interconnect metal layer 99, and the process of forming the polymer layer 104 can be alternately performed multiple times to form the TISD shown in FIGS. 18D to 18N, such as the process of FIG. 18N. As shown in the figure, the TISD 101 includes an upper interconnection wire metal layer 99, and the upper interconnection interconnection wire metal layer 99 has metal plugs 99a in the plurality of openings 104a in the polymer layer 104 and a plurality of metal pads on the polymer layer 104, Metal lines or connection lines 99b, the upper interconnection line metal layer 99 can be connected to the lower interconnection line metal layer 99 through metal plugs 99a in the upper interconnection line metal layer 99 within the plurality of openings 104a of the polymer layer 104, TISD 101 can be Including the bottommost interconnect metal layer 99, wherein the interconnect metal layer 99 has metal plugs 99a in the plurality of openings 93a of the polymer layer 93 and a plurality of metal pads, metal lines or connecting lines 99b on the polymer layer 93 , the bottommost interconnect metal layer 99 can be connected to the SISC 29 of the semiconductor chip 100 through its metal plugs 99 a , a plurality of micro metal pillars or bumps 34 .

因此,請18N圖所示,TISD101可包括2層至6層或3層至5層的交互連接線金屬層99,TISD101中交互連接線金屬層99的金屬接墊、金屬線或連接線99B可在半導體晶片100上方及水平延伸穿過半導體晶片100的邊緣,換句話說,金屬接墊、金屬線或連接線99b可能延伸到單層封裝邏輯驅動器300的相鄰兩個半導體晶片100之間的間隙上方,TISD101中交互連接線金屬層99的金屬接墊、金屬線或連接線99B連接或耦接單層封裝邏輯驅動器300中二個或複數個半導體晶片100的微型凸塊或金屬柱34。Therefore, as shown in Fig. 18N, TISD101 may include 2 to 6 layers or 3 to 5 layers of interconnecting wire metal layers 99, and the metal pads, metal wires or connecting wires 99B of the interconnecting wire metal layers 99 in TISD101 may be Extending above the semiconductor die 100 and horizontally across the edge of the semiconductor die 100 , in other words, the metal pads, wires or connecting lines 99b may extend to the space between two adjacent semiconductor dies 100 of the single level package logic driver 300 . Above the gap, the metal pads, metal lines or connecting lines 99B of the interconnect metal layer 99 in the TISD 101 are connected or coupled to the micro bumps or metal pillars 34 of the two or more semiconductor chips 100 in the single level package logic driver 300 .

如第18N圖所示,TISD101的交互連接線金屬層99通過半導體晶片100的微型凸塊或金屬柱34連接或電連接至SISC29的交互連接線金屬層27、FISC20的複數交互連接線金屬層6及(或)單層封裝邏輯驅動器300中半導體晶片100的半導體元件4(也就是電晶體),聚合物層92填入半導體晶片100之間的間隙將半導體晶片100圍住,且半導體晶片100及半導體晶片100的上表面也被聚合物層92覆蓋,其中TISD101、其交互連接線金屬層99的金屬接墊、金屬線或連接線99B的厚度例如係介於0.3µm至30µm之間、介於0.5µm至20µm之間、介於1µm至10µm之間或介於0.5µm至5µm之間,或厚度例如係大於或等於0.3µm、0.5µm、0.7µm、1µm、1.5µm、2µm、3µm或5µm,且其寬度例如係介於0.3µm至30µm之間、介於0.5µm至20µm之間、介於1µm至10µm之間或介於0.5µm至5µm之間,或寬度係寬於或等於0.3µm、0.5µm、0.7µm、1µm、1.5µm、2µm、3µm或5µm,對於TISD而言,其聚合物層104(也就是金屬間介電層)的厚度係介於0.3µm至30µm之間、介於0.5µm至20µm之間、介於1µm至10µm之間或介於0.5µm至5µm之間,或厚度例如係大於或等於0.3µm、0.5µm、0.7µm、1µm、1.5µm、2µm、3µm或5µm,TISD101的交互連接線金屬層99可用於如第11A圖至第11N圖所示之晶片內交互連接線371。As shown in FIG. 18N, the interconnect metal layer 99 of the TISD 101 is connected or electrically connected to the interconnect metal layers 27 of the SISC 29 and the plurality of interconnect metal layers 6 of the FISC 20 through the micro bumps or metal pillars 34 of the semiconductor wafer 100. and/or the semiconductor element 4 (ie, the transistor) of the semiconductor wafer 100 in the single-layer package logic driver 300, the polymer layer 92 fills the gap between the semiconductor wafers 100 to enclose the semiconductor wafer 100, and the semiconductor wafer 100 and The upper surface of the semiconductor wafer 100 is also covered by the polymer layer 92, wherein the thickness of the TISD 101, the metal pads of the metal layer 99 of the interconnecting wires, the metal wires or the connecting wires 99B are, for example, between 0.3 μm and 30 μm, between 0.3 μm and 30 μm. 0.5µm to 20µm, 1µm to 10µm or 0.5µm to 5µm, or thickness e.g. greater than or equal to 0.3µm, 0.5µm, 0.7µm, 1µm, 1.5µm, 2µm, 3µm or 5µm , and its width is, for example, between 0.3 µm and 30 µm, between 0.5 µm and 20 µm, between 1 µm and 10 µm, or between 0.5 µm and 5 µm, or wider than or equal to 0.3 µm , 0.5µm, 0.7µm, 1µm, 1.5µm, 2µm, 3µm or 5µm, for TISD, the thickness of the polymer layer 104 (that is, the intermetal dielectric layer) is between 0.3µm and 30µm, the dielectric between 0.5µm and 20µm, between 1µm and 10µm, or between 0.5µm and 5µm, or with a thickness such as greater than or equal to 0.3µm, 0.5µm, 0.7µm, 1µm, 1.5µm, 2µm, 3µm or 5µm, the interconnect metal layer 99 of the TISD101 can be used for the in-chip interconnect 371 as shown in Figures 11A-11N.

如第18N圖示,如第11A圖至第11N圖中單層封裝邏輯驅動器300內晶片內交互連接線371的可編程交互連接線361係經由TISD101的交互連接線金屬層99提供,及可經由分佈在複數商業化標準FPGAIC晶片200(如第8A圖至第8J圖)內複數記憶體單元362及複數DPIIC晶片410(如第9圖所示)編程,用於複數通過/不通過開關258的每一(或每一組)複數記憶體單元362用來開啓或關閉而控制TISD101中二個可編程交互連接線361耦接至複數通過/不通過開關258的二端之間的連接是否建立,由此,如第11A圖至第11N圖中的單層封裝邏輯驅動器300內TISD101的一組可編程交互連接線361可經由設置在一或複數DPIIC晶片410中複數交叉點開關379內的複數通過/不通過開關258相互連接至(1)連接一複數商業化標準FPGAIC晶片200至另一個複數商業化標準FPGAIC晶片200;(2)連接一複數商業化標準FPGAIC晶片200至一複數專用I/O晶片265;(3)連接一複數商業化標準FPGAIC晶片200至一複數DRAM晶片321;(4)連接一複數商業化標準FPGAIC晶片200至一複數處理IC晶片及複數計算IC晶片269;(5)連接一複數商業化標準FPGAIC晶片200至專用控制晶片260、專用控制晶片及專用I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268;(6)連接一複數專用I/O晶片265至另一複數專用I/O晶片265;(7)連接一複數專用I/O晶片265至一複數DRAM晶片321;(8)連接一複數專用I/O晶片265至一複數處理IC晶片及複數計算IC晶片269;(9)連接一複數專用I/O晶片265至一專用控制晶片260、專用控制晶片及專用I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268;(10)連接一複數DRAM晶片321至另一複數DRAM晶片321;(11)連接一複數DRAM晶片321至一複數處理IC晶片及複數計算IC晶片269;(12)連接一複數DRAM晶片321至專用控制晶片260、專用控制晶片及專用I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268;(13)連接一複數處理IC晶片及複數計算IC晶片269至另一複數處理IC晶片及複數計算IC晶片269或(14)連接一複數處理IC晶片及複數計算IC晶片269至專用控制晶片260、專用控制晶片及專用I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268。As shown in FIG. 18N, the programmable interconnect lines 361 of the in-chip interconnect lines 371 in the single-level package logic driver 300 as shown in FIGS. 11A to 11N are provided through the interconnect line metal layer 99 of the TISD 101, and can be accessed via Plural memory cells 362 and Plural DPIIC die 410 (shown in Each (or each group) of the plurality of memory cells 362 is used to turn on or off to control whether the connection between the two programmable interconnect lines 361 in the TISD 101 coupled to the two ends of the plurality of pass/no pass switches 258 is established, Thus, a set of programmable interconnect lines 361 of TISD 101 in single-level package logic driver 300 as shown in FIGS. 11A-11N can pass through a plurality of cross-point switches 379 disposed in one or more DPIIC die 410 . / Not interconnected through switch 258 to (1) connect a plurality of commercial standard FPGAIC chips 200 to another plurality of commercial standard FPGAIC chips 200; (2) connect a plurality of commercial standard FPGAIC chips 200 to a plurality of dedicated I/Os Chip 265; (3) connecting a plurality of commercial standard FPGAIC chips 200 to a plurality of DRAM chips 321; (4) connecting a plurality of commercial standard FPGA IC chips 200 to a plurality of processing IC chips and a plurality of computing IC chips 269; (5) Connect a plurality of commercial standard FPGAIC chips 200 to a dedicated control chip 260, a dedicated control chip and a dedicated I/O chip 266, a DCIAC chip 267 or a DCDI/OIAC chip 268; (6) connect a plurality of dedicated I/O chips 265 to another A plurality of dedicated I/O chips 265; (7) connecting a plurality of dedicated I/O chips 265 to a plurality of DRAM chips 321; (8) connecting a plurality of dedicated I/O chips 265 to a plurality of processing IC chips and a plurality of computing ICs Chip 269; (9) connecting a plurality of dedicated I/O chips 265 to a dedicated control chip 260, dedicated control chip and dedicated I/O chip 266, DCIAC chip 267 or DCDI/OIAC chip 268; (10) connecting a plurality of DRAMs The chip 321 is connected to another plurality of DRAM chips 321; (11) a plurality of DRAM chips 321 are connected to a plurality of processing IC chips and a plurality of computing IC chips 269; (12) a plurality of DRAM chips 321 are connected to the dedicated control chip 260, the dedicated control chip and dedicated I/O chip 266, DCIAC chip 267 or DCDI/OIAC chip 268; (13) connect one complex processing IC chip and complex computing IC chip 269 to another complex processing IC chip and complex computing IC chip 269 or (14) Connect a complex number processing IC chip and complex number calculation IC Die 269 to Dedicated Control Die 260, Dedicated Control Die and Dedicated I/O Die 266, DCIAC Die 267 or DCDI/OIAC Die 268.

通常,如第18T圖及第18U圖中的TISD101的金屬接墊、金屬線或連接線99B的厚度大於或等於如第16I圖至第16L圖及第17圖的SISC29的金屬接墊、金屬線或連接線27B,但大於如第14A圖中的複數金屬接墊、線及連接線8。Usually, the thickness of the metal pads, metal lines or connecting lines 99B of TISD101 as shown in Fig. 18T and Fig. 18U is greater than or equal to the thickness of the metal pads, metal lines of SISC29 as shown in Figs. 16I to 16L and Fig. 17 Or connecting line 27B, but larger than the plurality of metal pads, lines and connecting line 8 as in Figure 14A.

在TISD上方的金屬凸塊Metal bumps above TISD

接著如第18O圖至第18R圖所示,複數金屬柱或凸塊可形成在TISD101最頂端的交互連接線金屬層99,第18O圖至第18R圖為本發明之實施例中TISD中形成複數金屬柱或凸塊在交互連接線金屬層上的製程剖面示意圖。Next, as shown in FIG. 18O to FIG. 18R, a plurality of metal pillars or bumps can be formed on the topmost interconnection line metal layer 99 of the TISD 101. FIG. 18O to FIG. 18R illustrate the formation of a plurality of metal pillars or bumps in the TISD according to the embodiment of the present invention. A schematic cross-sectional view of the process of metal pillars or bumps on the interconnect metal layer.

如第18O圖所示,一黏著/種子層116形成在TISD101最頂端聚合物層104上,及在TISD101最頂端交互連接線金屬層99上,首先,厚度介於0.001μm至0.7μm之間、介於0.01μm至0.5μm之間或介於0.03μm至0.35μm之間的黏著層可濺鍍在TISD101最頂端聚合物層104上及在TISD101最頂端交互連接線金屬層99上,黏著層的材質可包括鈦、鈦-鎢合金、氮化鈦、鉻、鈦-鎢合金層、氮化鉭或上述材質的複合物,黏著層可經由ALD製程、CVD製程或蒸鍍製程形成,例如,黏著層可經由CVD沉積方式形成Ti層或TiN層(其厚度例如係介於1nm至50nm之間)在TISD101最頂端聚合物層104上及在TISD101最頂端交互連接線金屬層99上。As shown in FIG. 180, an adhesion/seed layer 116 is formed on the topmost polymer layer 104 of the TISD 101, and on the topmost interconnecting wire metal layer 99 of the TISD 101, first, with a thickness between 0.001 μm and 0.7 μm, An adhesive layer between 0.01 μm and 0.5 μm or between 0.03 μm and 0.35 μm can be sputtered on the topmost polymer layer 104 of TISD101 and on the topmost interconnecting wire metal layer 99 of TISD101. The material can include titanium, titanium-tungsten alloy, titanium nitride, chromium, titanium-tungsten alloy layer, tantalum nitride or a composite of the above materials. The adhesion layer can be formed by ALD process, CVD process or evaporation process, for example, adhesion The layers can be deposited by CVD to form a Ti layer or a TiN layer (with a thickness between 1 nm and 50 nm, for example) on the topmost polymer layer 104 of the TISD 101 and on the topmost interconnect metal layer 99 of the TISD 101 .

接著,厚度介於0.001μm至1μm之間、介於0.03μm至2μm之間或介於0.05μm至0.5μm之間的電鍍用種子層可濺鍍在整個黏著層的上表面上,或者,電鍍用種子層可經由電鍍用種子層283形成,電鍍用種子層有益於在表面上電鍍形成一金屬層,因此,電鍍用種子層的材質種類隨著電鍍用種子層上電鍍的金屬層材質而變化,當一銅層被電鍍在電鍍用種子層上時(對於第一種型態的金屬凸塊由以下步驟形成),銅金屬則為電鍍用種子層優先選擇的材質,當銅阻障層被電鍍在電鍍用種子層時(對於第二種型態的金屬凸塊由以下步驟形成),銅金屬則為電鍍用種子層優先選擇的材質,當金層被電鍍在電鍍用種子層時(對於第二種型態的金屬凸塊由以下步驟形成),銅金屬則為電鍍用種子層優先選擇的材質,當金層電鍍在電鍍用種子層上時(對於第三種型態的金屬凸塊由以下步驟形成),金金屬(Au)則為電鍍用種子層優先選擇的材質,例如,電鍍用種子層可沉積在黏著層上或上方(對於第一種或第二種型態的金屬凸塊由以下步驟形成),例如經由濺鍍或CVD沉積一銅種子層(厚度例如係介於3nm至400nm之間或介於10nm至200nm之間)在黏著層上,電鍍用種子層可沉積在黏著層上或上方(對於第三種型態的金屬凸塊由以下步驟形成),例如係濺鍍或CVD沉積一金種子層(厚度例如係介於1nm至300nm之間或介於1nm至50nm之間)在黏著層上,黏著層及電鍍用種子層可組成第18O圖中的黏著/種子層116。Next, a seed layer for electroplating with a thickness between 0.001 μm and 1 μm, between 0.03 μm and 2 μm, or between 0.05 μm and 0.5 μm can be sputtered on the upper surface of the entire adhesion layer, or, electroplating The seed layer can be formed through the seed layer 283 for electroplating. The seed layer for electroplating is beneficial to form a metal layer by electroplating on the surface. Therefore, the material type of the seed layer for electroplating varies with the material of the metal layer electroplated on the seed layer for electroplating. , when a copper layer is electroplated on the electroplating seed layer (for the first type of metal bumps formed by the following steps), copper metal is the preferred material for the electroplating seed layer, when the copper barrier layer is When electroplating the seed layer for electroplating (for the second type of metal bumps formed by the following steps), copper metal is the preferred material for the seed layer for electroplating. When the gold layer is electroplated on the seed layer for electroplating (for the The second type of metal bump is formed by the following steps), and copper metal is the preferred material for the electroplating seed layer. When the gold layer is electroplated on the electroplating seed layer (for the third type of metal bumps) formed by the following steps), gold metal (Au) is the preferred material for the electroplating seed layer, for example, the electroplating seed layer can be deposited on or over the adhesion layer (for the first or second type of metal bump The block is formed by the following steps), for example by sputtering or CVD depositing a copper seed layer (thickness for example between 3nm to 400nm or between 10nm and 200nm) on the adhesion layer, the seed layer for electroplating can be deposited on On or over the adhesion layer (formed by the following steps for the third type of metal bumps), for example by sputtering or CVD deposition of a gold seed layer (for example, between 1 nm and 300 nm thick or between 1 nm and 50 nm in thickness) between) on the adhesion layer, the adhesion layer and the seed layer for electroplating may constitute the adhesion/seed layer 116 in FIG. 18O.

接著,如第18P圖所示,一厚度介於5μm至500μm之間的光阻層118(例如是正型光阻層)旋轉塗佈或壓合在黏著/種子層116的電鍍用種子層上,光阻層118經由曝光、顯影等製程形成複數交互連接線a在光阻層118內並曝露黏著/種子層116的電鍍用種子層,用1X步進器,具有波長範圍介於434至438nm的G-Line、波長範圍介於403至407nm的H-Line及波長範圍介於363至367nm的I-Line的其中至少二種光線的1X接觸式對準器或雷射掃描器可用於照光在光阻層118上,也就是G-Line及H-Line、G-Line及I-Line、H-Line及I-Line或G-Line、H-Line及I-Line照在光阻層118上,然後顯影曝露的光阻層118,然後使用氧氣離子(O2 plasma)或含氟離子在2000PPM及氧,並移除殘留在黏著/種子層116的電鍍用種子層的聚合物材質或其它污染物,使得光阻層118可被圖案化而形成複數開口118a,在光阻層96內並曝露位於最頂端交互連接線金屬層99的金屬接墊、金屬線或連接線99b上方的黏著/種子層116之電鍍用種子層。Next, as shown in FIG. 18P, a photoresist layer 118 (eg, a positive photoresist layer) having a thickness between 5 μm and 500 μm is spin-coated or laminated on the electroplating seed layer of the adhesion/seed layer 116 , The photoresist layer 118 is exposed, developed and other processes to form a plurality of interconnecting lines a in the photoresist layer 118 and expose the seed layer for electroplating of the adhesion/seed layer 116, using a 1X stepper, with a wavelength range of 434 to 438nm. G-Line, H-Line with wavelengths ranging from 403 to 407nm and I-Line with wavelengths ranging from 363 to 367nm. 1X contact aligner or laser scanner for at least two of them can be used to illuminate the light On the photoresist layer 118, that is, G-Line and H-Line, G-Line and I-Line, H-Line and I-Line or G-Line, H-Line and I-Line are illuminated on the photoresist layer 118, The exposed photoresist layer 118 is then developed and then oxygen ions (O 2 plasma) or fluoride ions at 2000 PPM and oxygen are used to remove polymer materials or other contaminants remaining in the plating seed layer of the adhesion/seed layer 116 , so that the photoresist layer 118 can be patterned to form a plurality of openings 118a within the photoresist layer 96 and exposing the metal pads, metal lines, or adhesion/seed layers over the topmost interconnect metal layer 99 116 Seed layer for electroplating.

如第18P圖所示,在光阻層118內的複數開口118a可與最上端聚合物層104內複數開口104a的面積重疊,經由後續的製程形成金屬接墊或凸塊,黏著/種子層116曝露的電鍍用種子層位在開口118a底部,及可延伸開口104至環繞在開口104a的TISD101的最頂端聚合物層104的一區域或環形區域。As shown in FIG. 18P, the openings 118a in the photoresist layer 118 may overlap with the areas of the openings 104a in the uppermost polymer layer 104, and metal pads or bumps are formed through subsequent processes, and the adhesion/seed layer 116 The exposed plating seed layer is located at the bottom of opening 118a and may extend opening 104 to a region or annular region of the topmost polymer layer 104 of TISD 101 surrounding opening 104a.

如第18Q圖所示,金屬層120(例如銅層)電鍍在曝露於複數開口118a的黏著/種子層116的電鍍用種子層上,例如,第一種型式,金屬層120可電鍍厚度介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間的銅層在複數開口118a曝露的電鍍用種子層(銅材質)上。As shown in FIG. 18Q, a metal layer 120 (eg, a copper layer) is plated on the plating seed layer of the adhesion/seed layer 116 exposed to the plurality of openings 118a. For example, in the first version, the metal layer 120 can be plated with a thickness of Electroplating seed layer exposed in the plurality of openings 118a with copper layers between 5µm and 120µm, between 10µm and 100µm, between 10µm and 60µm, between 10µm and 40µm, or between 10µm and 30µm (copper material).

如第18圖所示,形成金屬層120之後,大部分的光阻層118可被移除,接著沒有在金屬層120下方的黏著/種子層116被蝕刻去除,其中移除及蝕刻的製程可分別參考如第15F圖中所揭露之移除光阻層30及蝕刻電鍍用種子層28及黏著層26的製程,因此,黏著/種子層116及電鍍的金屬層120可被圖案化以形成複數金屬柱或凸塊122在最頂端聚合物層104內複數開口104a底部的最頂端交互連接線金屬層99的金屬接墊、金屬線或連接線99b上,金屬柱或凸塊122可用於連接或耦接單層封裝邏輯驅動器300的半導體晶片100(例如第11A圖至第11N圖中的複數專用I/O晶片265)至單層封裝邏輯驅動器300的外部複數電路或元件。As shown in FIG. 18, after the metal layer 120 is formed, most of the photoresist layer 118 can be removed, and then the adhesion/seed layer 116 that is not under the metal layer 120 can be etched away, wherein the process of removal and etching can be Referring to the process of removing photoresist layer 30 and etching plating seed layer 28 and adhesion layer 26, respectively, as disclosed in FIG. 15F, adhesion/seed layer 116 and electroplated metal layer 120 may thus be patterned to form a plurality of The metal pillars or bumps 122 are on the metal pads, metal lines or connection lines 99b of the topmost interconnection wire metal layer 99 at the bottom of the plurality of openings 104a in the topmost polymer layer 104, and the metal pillars or bumps 122 can be used to connect or The semiconductor die 100 of the single-level package logic driver 300 (eg, the dedicated I/O die 265 in FIGS. 11A-11N ) is coupled to the external circuits or components of the single-level package logic driver 300 .

第一種型式的金屬柱或凸塊122的高度(從最頂端聚合物層104上表面凸出的高度)係介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間,或高度大於或等於50µm、30µm、20µm、15µm或5µm,且以剖面圖中具有一最大尺寸(例如圓形的直徑、正方形或長方形的對角線)介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間,或尺寸是大於或等於60µm、50µm、40µm、30µm、20µm、15µm或10µm。二相鄰第一型式金屬柱或凸塊122之間最小的距離例如係介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間,或尺寸是大於或等於60µm、50µm、40µm、30µm、20µm、15µm或10µm。The height of the metal pillar or bump 122 of the first type (the height protruding from the upper surface of the topmost polymer layer 104 ) is between 5 μm and 120 μm, between 10 μm and 100 μm, between 10 μm and 60 μm between 10µm and 40µm or between 10µm and 30µm, or having a height greater than or equal to 50µm, 30µm, 20µm, 15µm or 5µm, and having a maximum dimension in cross-section (e.g. the diameter of a circle, diagonal of a square or rectangle) between 5µm and 120µm, between 10µm and 100µm, between 10µm and 60µm, between 10µm and 40µm, or between 10µm and 30µm, or dimension Is greater than or equal to 60µm, 50µm, 40µm, 30µm, 20µm, 15µm or 10µm. The minimum distance between two adjacent first-type metal pillars or bumps 122 is, for example, between 5µm and 120µm, between 10µm and 100µm, between 10µm and 60µm, and between 10µm and 40µm. Either between 10µm and 30µm, or with dimensions greater than or equal to 60µm, 50µm, 40µm, 30µm, 20µm, 15µm or 10µm.

或者,對於第二型式的金屬柱或凸塊122,如第18Q圖所示之金屬層120可經由電鍍一銅阻障層(例如鎳層)在複數開口118a曝露的電鍍用種子層(例如由銅材質製成)上,銅阻障層的厚度例係介於1µm至50µm之間、介於1µm至40µm之間、介於1µm至30µm之間、介於1µm至20µm之間、介於1µm至10µm之間、介於1µm至5µm之間、介於1µm至3µm之間,接著電鍍一銲錫層在複數開口118a內的銅阻障層上,此銲錫層厚度例如是介於1µm至150µm之間、介於1µm至120µm之間、介於5µm至120µm之間、介於5µm至100µm之間、介於5µm至75µm之間、介於5µm至50µm之間、介於5µm至40µm之間、介於5µm至30µm之間、介於5µm至20µm之間、介於5µm至10µm之間、介於1µm至5µm之間、介於1µm至3µm之間,此銲錫層的材質可以是無铅銲錫,其包括含錫合金、銅金屬、銀金屬、鉍金屬、銦金屬、鋅金屬、銻金屬或其他金屬,例如此無铅銲錫可包括錫-銀-銅(SAC)銲錫、錫-銀銲錫或錫-銀-銅-鋅銲錫,此外,第18R圖中去除大部分的光阻層118及未在金屬層120下方的黏著/種子層116之後,執行一迴銲製程迴銲銲錫層變成第二類型複數圓形銲錫球或凸塊。Alternatively, for the second type of metal pillars or bumps 122, the metal layer 120 as shown in FIG. 18Q may be electroplated through a copper barrier layer (eg, a nickel layer) exposed in the plurality of openings 118a by electroplating seed layers (eg, formed by copper), the thickness of the copper barrier layer is between 1µm to 50µm, 1µm to 40µm, 1µm to 30µm, 1µm to 20µm, 1µm to 10µm, 1µm to 5µm, 1µm to 3µm, and then electroplating a solder layer on the copper barrier layer in the plurality of openings 118a, the thickness of the solder layer is, for example, between 1µm and 150µm. 1µm to 120µm, 5µm to 120µm, 5µm to 100µm, 5µm to 75µm, 5µm to 50µm, 5µm to 40µm, Between 5µm to 30µm, 5µm to 20µm, 5µm to 10µm, 1µm to 5µm, 1µm to 3µm, the material of this solder layer can be lead-free solder , which includes tin-containing alloys, copper metal, silver metal, bismuth metal, indium metal, zinc metal, antimony metal, or other metals, such as lead-free solder can include tin-silver-copper (SAC) solder, tin-silver solder or Tin-silver-copper-zinc solder, in addition, after removing most of the photoresist layer 118 and the adhesion/seed layer 116 not under the metal layer 120 in Figure 18R, a reflow process is performed to reflow the solder layer to become the second Type plural round solder balls or bumps.

第二型式金屬柱或凸塊122從最頂端聚合物層104的上表面凸起一高度介於5µm至150µm之間、介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間,或大於、高等或等於75µm、50µm、30µm、20µm、15µm或10µm,及以剖面圖中具有一最大尺寸(例如圓形的直徑、正方形或長方形的對角線)介於5µm至200µm之間、介於5µm至150µm之間、介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間,或尺寸是大於或等於60µm、50µm、40µm、30µm、20µm、15µm或10µm,二相鄰之金屬柱或凸塊122具有一最小空間(間距)尺寸介於5µm至150µm之間、介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間,或尺寸是大於或等於60µm、50µm、40µm、30µm、20µm、15µm或10µm。The second-type metal pillars or bumps 122 protrude from the upper surface of the topmost polymer layer 104 to a height between 5 μm and 150 μm, between 5 μm and 120 μm, between 10 μm and 100 μm, between 10 μm and 10 μm. between 60µm, between 10µm and 40µm or between 10µm and 30µm, or greater than, higher or equal to 75µm, 50µm, 30µm, 20µm, 15µm or 10µm, and having a maximum dimension in cross-section (e.g. Diameter of circle, diagonal of square or rectangle) between 5µm and 200µm, between 5µm and 150µm, between 5µm and 120µm, between 10µm and 100µm, between 10µm and 60µm between, 10µm to 40µm or 10µm to 30µm, or the size is greater than or equal to 60µm, 50µm, 40µm, 30µm, 20µm, 15µm or 10µm, the two adjacent metal pillars or bumps 122 have a minimum space (pitch) dimension between 5µm and 150µm, between 5µm and 120µm, between 10µm and 100µm, between 10µm and 60µm, between 10µm and 40µm, or between 10µm between 30µm, or dimensions greater than or equal to 60µm, 50µm, 40µm, 30µm, 20µm, 15µm or 10µm.

或者,對於第三型式金屬柱或凸塊122,如第18O圖所示之電鍍用種子層可濺鍍或CVD沉積金種子層(厚度例如介於1nm至300nm之間或1nm至100nm之間)在黏著層上形成,黏著層及電鍍用種子層組成如第18O圖所示的黏著/種子層116,如第18Q圖所示的金屬層120可經由電鍍厚度例如介於3µm至40µm之間或介於3µm至10µm之間的金層在複數開口118a曝露的電鍍用種子層上形成,其中電鍍用種子層係由金所形成,接著,大部分的光阻層118被移除,然後未在金屬層120下方的黏著/種子層116被蝕刻移除以形成第三型式金屬柱或凸塊122。Alternatively, for the third type of metal pillars or bumps 122, the seed layer for electroplating as shown in FIG. 18O may be sputtered or CVD deposited with a gold seed layer (eg, between 1 nm and 300 nm in thickness or between 1 nm and 100 nm in thickness) Formed on the adhesion layer, the adhesion layer and the plating seed layer constitute the adhesion/seed layer 116 shown in FIG. 18O, and the metal layer 120 shown in FIG. A gold layer between 3µm and 10µm is formed on the electroplating seed layer exposed by the plurality of openings 118a, wherein the electroplating seed layer is formed of gold, and then, most of the photoresist layer 118 is removed, and then not in the electroplating seed layer. The adhesion/seed layer 116 below the metal layer 120 is etched away to form the third type of metal pillars or bumps 122 .

第三型式金屬柱或凸塊122從最頂端聚合物層104的上表面凸起一高度介於3µm至40µm之間、介於3µm至30µm之間、介於3µm至20µm之間、介於3µm至15µm之間或介於3µm至10µm之間,或小於、高等或等於40µm、30µm、20µm、15µm或10µm,及以剖面圖中具有一最大尺寸(例如圓形的直徑、正方形或長方形的對角線)介於3µm至40µm之間、介於3µm至30µm之間、介於3µm至20µm之間、介於3µm至15µm之間或介於3µm至10µm之間,或尺寸是小於或等於40µm、30µm、20µm、15µm或10µm,二相鄰之金屬柱或凸塊122具有一最小空間(間距)尺寸介於3µm至40µm之間、介於3µm至30µm之間、介於3µm至20µm之間、介於3µm至15µm之間或介於3µm至10µm之間,或尺寸是小於或等於40µm、30µm、20µm、15µm或10µm。The third-type metal pillars or bumps 122 protrude from the upper surface of the topmost polymer layer 104 to a height between 3 μm and 40 μm, between 3 μm and 30 μm, between 3 μm and 20 μm, between 3 μm and 3 μm. Between 15µm or between 3µm and 10µm, or less than, greater than or equal to 40µm, 30µm, 20µm, 15µm or 10µm, and having a maximum dimension in cross-section (such as the diameter of a circle, the diameter of a square or a rectangle angle) between 3µm and 40µm, between 3µm and 30µm, between 3µm and 20µm, between 3µm and 15µm, or between 3µm and 10µm, or with dimensions less than or equal to 40µm , 30µm, 20µm, 15µm or 10µm, two adjacent metal pillars or bumps 122 have a minimum space (spacing) dimension between 3µm to 40µm, between 3µm to 30µm, and between 3µm to 20µm , between 3µm and 15µm or between 3µm and 10µm, or with dimensions less than or equal to 40µm, 30µm, 20µm, 15µm or 10µm.

或者,對於第四型式的金屬柱或凸塊122,如第18Q圖所示之金屬層120可經由電鍍一銅層在複數開口118a曝露的電鍍用種子層(例如由銅材質製成)上,此銅層的厚度例係介於1µm至100µm之間、介於1µm至50µm之間、介於1µm至30µm之間、介於1µm至20µm之間、介於1µm至10µm之間、介於1µm至5µm之間或介於1µm至3µm之間,接著電鍍一銲錫層在複數開口118a內的銅層上,此銲錫層厚度例如是介於1µm至150µm之間、介於1µm至120µm之間、介於5µm至120µm之間、介於5µm至100µm之間、介於5µm至75µm之間、介於5µm至50µm之間、介於5µm至40µm之間、介於5µm至30µm之間、介於5µm至20µm之間、介於5µm至10µm之間、介於1µm至5µm之間、介於1µm至3µm之間,此銲錫層的材質可以是無铅銲錫,其包括含錫合金、銅金屬、銀金屬、鉍金屬、銦金屬、鋅金屬、銻金屬或其他金屬,例如此無铅銲錫可包括錫-銀-銅(SAC)銲錫、錫-銀銲錫或錫-銀-銅-鋅銲錫,此外,第18R圖中去除大部分的光阻層118及未在金屬層120下方的黏著/種子層116之後,執行一迴銲製程迴銲銲錫層變成複數圓形銲錫球或凸塊,以形成變成第四類型金屬柱或凸塊122。Alternatively, for the fourth type of metal pillars or bumps 122, the metal layer 120 shown in FIG. 18Q may be electroplated on a seed layer for electroplating (eg, made of copper material) exposed by a copper layer on the plurality of openings 118a, The thickness of this copper layer is, for example, 1µm to 100µm, 1µm to 50µm, 1µm to 30µm, 1µm to 20µm, 1µm to 10µm, 1µm to 5µm or 1µm to 3µm, and then electroplating a solder layer on the copper layer in the plurality of openings 118a, the thickness of the solder layer is, for example, between 1µm and 150µm, between 1µm and 120µm, 5µm to 120µm, 5µm to 100µm, 5µm to 75µm, 5µm to 50µm, 5µm to 40µm, 5µm to 30µm, between 5µm to 20µm, 5µm to 10µm, 1µm to 5µm, 1µm to 3µm, the material of this solder layer can be lead-free solder, which includes tin-containing alloy, copper metal, Silver metal, bismuth metal, indium metal, zinc metal, antimony metal, or other metals, such as lead-free solder may include tin-silver-copper (SAC) solder, tin-silver solder, or tin-silver-copper-zinc solder, in addition to , after removing most of the photoresist layer 118 and the adhesion/seed layer 116 not under the metal layer 120 in FIG. 18R, a reflow process is performed to reflow the solder layer into a plurality of round solder balls or bumps to form a Fourth type metal pillars or bumps 122 .

第四型式的金屬柱或凸塊122,從最頂端聚合物層104的上表面凸起一高度介於5µm至150µm之間、介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間,或大於、高等或等於75µm、50µm、40µm、30µm、20µm、15µm或10µm,及以剖面圖中具有一最大尺寸(例如圓形的直徑、正方形或長方形的對角線)介於5µm至200µm之間、介於5µm至150µm之間、介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間,或尺寸是大於或等於100µm、60µm、50µm、40µm、30µm、20µm、15µm或10µm,二相鄰之金屬柱或凸塊122具有一最小空間(間距)尺寸介於5µm至150µm之間、介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間,或大於、高等或等於60µm、50µm、40µm、30µm、20µm、15µm或10µm。The fourth type of metal pillars or bumps 122 protrudes from the upper surface of the topmost polymer layer 104 to a height between 5 μm and 150 μm, between 5 μm and 120 μm, between 10 μm and 100 μm, and between 10 μm and 100 μm. Between 10µm and 60µm, between 10µm and 40µm, or between 10µm and 30µm, or greater than, higher or equal to 75µm, 50µm, 40µm, 30µm, 20µm, 15µm or 10µm, and having a Maximum dimension (e.g. diameter of circle, diagonal of square or rectangle) between 5µm to 200µm, 5µm to 150µm, 5µm to 120µm, 10µm to 100µm, medium Between 10µm and 60µm, between 10µm and 40µm, or between 10µm and 30µm, or with dimensions greater than or equal to 100µm, 60µm, 50µm, 40µm, 30µm, 20µm, 15µm or 10µm, two adjacent metals The pillars or bumps 122 have a minimum space (pitch) dimension between 5µm and 150µm, between 5µm and 120µm, between 10µm and 100µm, between 10µm and 60µm, between 10µm and 40µm Between or between 10µm and 30µm, or greater than, higher or equal to 60µm, 50µm, 40µm, 30µm, 20µm, 15µm or 10µm.

晶片封裝製程Chip packaging process

接著,如第18S圖所示,載體基板90可經由研磨或CMP製程將第18R圖所示的載體基板90移除,或者,載體基板90經由研磨或CMP製程移除可在第18C圖所示研磨聚合物層92之後及第18D圖中形成聚合物層93之前。可選擇地,晶圓或面板薄化製程,例如一CMP製程或研磨製程可研磨半導體晶片100的背部表面110a及聚合物層92的背部表面92a,使得結構薄化,如第18S圖所示,聚合物層92的厚度介於50μm至500μm之間,或者,載體基板90可以不被移除。Next, as shown in FIG. 18S, the carrier substrate 90 may be removed by a grinding or CMP process as shown in FIG. 18R, or the carrier substrate 90 may be removed by a grinding or CMP process as shown in FIG. 18C After grinding the polymer layer 92 and before forming the polymer layer 93 in Figure 18D. Alternatively, a wafer or panel thinning process, such as a CMP process or a polishing process, may polish the back surface 110a of the semiconductor wafer 100 and the back surface 92a of the polymer layer 92 to thin the structure, as shown in FIG. 18S, The thickness of the polymer layer 92 is between 50 μm and 500 μm, alternatively, the carrier substrate 90 may not be removed.

在第18S圖中移除載體基板90之後,第18S圖所示的封裝結構可被雷射切割或機械切割的方式分離成複數獨立晶片封裝,也就是第18T圖所示的單層封裝邏輯驅動器300,在沒有移除載體基板90的情況下,可將載體基板90切割分離成複數獨立晶片封裝的載體單元,也就如第18U圖所示的單層封裝邏輯驅動器300。After the carrier substrate 90 is removed in FIG. 18S, the package structure shown in FIG. 18S can be separated into a plurality of individual chip packages by laser cutting or mechanical cutting, that is, the single-layer package logic driver shown in FIG. 18T 300 , without removing the carrier substrate 90 , the carrier substrate 90 can be cut and separated into a plurality of individual chip-packaged carrier units, ie, the single-layer packaged logic driver 300 shown in FIG. 18U .

晶片封裝的組裝Assembly of Chip Packages

如第18T圖及第18U圖所示,第一、第二或第三型式的金屬柱或凸塊122可用於單層封裝邏輯驅動器300組裝在組裝基板、軟板或母板,相以覆晶晶片封裝的技術或相以於LCD驅動器封裝中的COF組裝技術,其中組裝基板、軟板或母板例如是印刷電路板(PCB)、具有交互連接線的矽基板結構、具有交互連接線結構的金屬基板,具有交互連接線結構的玻璃基板、具有交互連接線結構的陶瓷基板或具有交互連接線結構的軟板。As shown in FIG. 18T and FIG. 18U, the first, second or third type of metal pillars or bumps 122 can be used for the single-level package logic driver 300 to be assembled on an assembly substrate, a flex board or a motherboard, and a flip chip The technology of chip packaging or COF assembly technology in LCD driver packaging, wherein the assembly substrate, flexible board or motherboard is such as a printed circuit board (PCB), a silicon substrate structure with interconnecting wires, a A metal substrate, a glass substrate with an interconnected wire structure, a ceramic substrate with an interconnected wire structure, or a flexible board with an interconnected wire structure.

如第18V圖為第18T圖的底部示意圖,第18V圖為本發明實施例邏輯驅動器的金屬凸塊的佈局,如第18V圖所示,第一、第二或第三型式的金屬柱或凸塊122可設置排列成一矩陣佈局,第一、第二或第三型式的第一組金屬柱或凸塊122排列成一矩陣在晶片封裝(也就是單層封裝邏輯驅動器300)底部表面之中間區域,而第一、第二或第三型式的第二組金屬柱或凸塊122排列在成一矩陣在晶片封裝(也就是單層封裝邏輯驅動器300)底部表面包圍中間區域之周邊區域,第一、第二或第三型式的第一組金屬柱或凸塊122具有一最大橫向尺寸d1(也就是圓形的直徑,或是正方形或長方形的對角線)大於第一、第二或第三型式的第二組金屬柱或凸塊122的最大橫向尺寸d2(也就是圓形的直徑,或是正方形或長方形的對角線),超過90%或80%的第一、第二或第三型式的第一組金屬柱或凸塊122可用於電源供應連接端或接地連接端,超過50%或60%的第一、第二或第三型式的第二組金屬柱或凸塊122可用於訊號傳輸,第一、第二或第三型式的第二組金屬柱或凸塊122可排列一或複數圈,沿著晶片封裝(也就是單層封裝邏輯驅動器300)底部表面的邊界,例如是1圈、2圈、3圈、4圈、5圈或6圈,第一、第二或第三型式的第二組金屬柱或凸塊122的最小間距小於第一、第二或第三型式的第一組金屬柱或凸塊122的最小間距。Fig. 18V is the bottom schematic diagram of Fig. 18T, and Fig. 18V is the layout of the metal bumps of the logic driver according to the embodiment of the present invention. The blocks 122 may be arranged in a matrix arrangement with the first, second or third pattern of the first set of metal pillars or bumps 122 arranged in a matrix in the middle region of the bottom surface of the chip package (ie, the single level package logic driver 300), While the second group of metal pillars or bumps 122 of the first, second or third type are arranged in a matrix in the peripheral area surrounding the middle area on the bottom surface of the chip package (ie the single-level package logic driver 300 ), the first, second The first set of metal pillars or bumps 122 of the second or third type has a maximum lateral dimension d1 (ie, the diameter of a circle, or the diagonal of a square or rectangle) larger than that of the first, second or third type The largest lateral dimension d2 (ie, the diameter of a circle, or the diagonal of a square or rectangle) of the second group of metal pillars or bumps 122, exceeds 90% or 80% of the first, second or third type The first group of metal pillars or bumps 122 can be used for power supply connections or ground connections, and more than 50% or 60% of the first, second or third types of the second group of metal pillars or bumps 122 can be used for signal transmission , the second group of metal pillars or bumps 122 of the first, second or third type may be arranged in one or more turns, for example, one turn along the boundary of the bottom surface of the chip package (ie, the single-level package logic driver 300 ) , 2 turns, 3 turns, 4 turns, 5 turns or 6 turns, the minimum spacing of the second group of metal posts or bumps 122 of the first, second or third type is smaller than that of the first, second or third type Minimum pitch for a set of metal pillars or bumps 122 .

為了將第一型式金屬柱或凸塊122接合至組裝基板、軟板或母板,組裝基板、軟板或母板可在頂部表面設置具有與第一類型的金屬柱或凸塊122相接合的一銲錫層的複數金屬接或凸塊,並使用一銲錫迴銲製程或熱壓合製程使第一類型的金屬柱或凸塊122接合至組裝基板、軟板或母板頂部的銲錫層,使晶片封裝(也就是單層封裝邏輯驅動器300)接合在組裝基板、軟板或母板上。In order to bond the first type of metal posts or bumps 122 to the packaged substrate, flex board or motherboard, the packaged substrate, flex board or motherboard may be provided on the top surface with metal posts or bumps 122 of the first type engaged A plurality of metal joints or bumps of a solder layer, and a solder reflow process or a thermocompression bonding process is used to bond the first type of metal posts or bumps 122 to the solder layer on top of the assembly substrate, flex board or motherboard, so that the The chip package (ie, the single-level package logic driver 300 ) is bonded to an assembly substrate, a flex board, or a motherboard.

對於第二型式金屬柱或凸塊122,可經由銲錫或迴銲製程(具有助銲劑或不具有助銲劑)使晶片封裝(也就是單層封裝邏輯驅動器300)接合在組裝基板、軟板或母板上。For the second type of metal pillars or bumps 122 , the chip package (ie, the single-level package logic driver 300 ) can be bonded to the assembly substrate, flex board or motherboard through a soldering or reflow process (with or without flux). board.

對於第三型式金屬柱或凸塊122,可經由COF技術的熱壓合方式接合至一軟性電路板或基板,在COF組裝中,第三型式金屬柱或凸塊122可設置非常高數量的I/Os在一小面積(區域)內,第三型式金屬柱或凸塊122具有小於20µm的間距,而具有寬度10mm的正方形單層封裝邏輯驅動器300,第三型式的金屬柱或凸塊122之訊號輸入或輸出的I/Os數量沿著底部表面並排列在4個邊界上,例如,排列在其外圍區域2圈,例如數量大於或等於5000個(具有二凸塊之間距為15µm)、4000個(具有二凸塊之間距為20µm)或2500個(具有二凸塊之間距為15µm),當使用具有單側金屬線或連接線的單層薄膜用於軟性電路板或薄膜接合至第三型式金屬柱或凸塊122時,沿著其邊緣設計2圈或2行的原因是為了容易於從單層封裝邏輯驅動器300扇出(Finout),在軟性電路板或薄膜上的金屬接墊上表面具有金層,可經由金至金(gold-to-gold)熱壓合接合方式接合至至第三型式金屬柱或凸塊122,或者,在軟性電路板或薄膜上的金屬接墊上表面具有一銲錫層,可經由金至銲錫(gold-to-solder)熱壓合接合方式接合至至第三型式金屬柱或凸塊122。The third-type metal pillars or bumps 122 can be bonded to a flexible circuit board or substrate by thermocompression bonding through COF technology. In COF assembly, the third-type metal pillars or bumps 122 can be provided with a very high number of I /Os In a small area (area), the third-type metal studs or bumps 122 have a pitch of less than 20µm, while the square single-layer package logic driver 300 with a width of 10mm has one of the third-type metal studs or bumps 122 The number of I/Os for signal input or output is arranged along the bottom surface and arranged on 4 boundaries, for example, arranged in 2 circles in its peripheral area, for example, the number is greater than or equal to 5000 (with a two-bump spacing of 15µm), 4000 pcs (with 2-bump pitch of 20µm) or 2500 (with 2-bump pitch of 15µm), when using a single-layer film with single-sided metal lines or connecting lines for flexible circuit boards or film bonding to third The reason for designing 2 circles or 2 rows along the edge of the metal post or bump 122 is to facilitate the fan-out (Finout) from the single-level package logic driver 300, on the upper surface of the metal pad on the flexible circuit board or film It has a gold layer, which can be bonded to the third-type metal post or bump 122 by gold-to-gold thermocompression bonding, or has a metal pad on the upper surface of the flexible circuit board or film. The solder layer can be bonded to the third-type metal pillars or bumps 122 through gold-to-solder thermocompression bonding.

例如,第18W圖為本發明實施例邏輯驅動器的複數金屬柱或凸塊接合至軟性電路板或薄膜的剖面示意圖,如第18W圖所示,第一、第二或第三型式的金屬柱或凸塊122接合至軟性電路板或薄膜126,軟性電路板或薄膜126包括一聚合物層148、一銅接合線146在聚合物層148上,一聚合物保護層150在銅接合線146上及在聚合物層148上,及一金或銲錫層152無電電鍍在聚合物保護層150開口曝露的銅接合線146上,軟性電路板或薄膜126更連接至一外部電路,例如是另一半導體晶片、PCB板、玻璃基板、另一軟性電路板或薄膜、陶瓷基板、玻璃纖維增強環氧基板、聚合物或有機基板,其中印刷電路板包含一具有玻璃纖維及複數電路層在核心層上方或下方,第一、第二或第三型式的金屬柱或凸塊122接合至錫層或銲錫層152,對於第三型式金屬柱或凸塊122,金屬層152可以是使用金-銲材料熱壓接合方法與其結合的一錫層或銲錫層,由此可在銅接合線14與第三型式金屬柱或凸塊122之間可形成一錫金合金154,或者,對於第三種型式金屬柱或凸塊122,銲錫層152可以是使用金-金熱壓接合方法與之結合的金屬層,之後,聚合物材質156(例如聚酰亞胺)可以填入至邏輯驅動器(也就是單層封裝邏輯驅動器300)及軟性電路板或薄膜126的間隙中,以封閉第一、第二或第三型式的金屬柱或凸塊122。For example, FIG. 18W is a schematic cross-sectional view of a plurality of metal pillars or bumps of a logic driver according to an embodiment of the present invention being bonded to a flexible circuit board or film. As shown in FIG. 18W, the first, second or third type of metal pillars or The bumps 122 are bonded to the flexible circuit board or film 126, which includes a polymer layer 148, a copper bond wire 146 on the polymer layer 148, a polymer protective layer 150 on the copper bond wire 146 and On the polymer layer 148, and a gold or solder layer 152 electrolessly plated on the copper bonding wires 146 exposed by the openings in the polymer protective layer 150, the flexible circuit board or film 126 is further connected to an external circuit, such as another semiconductor chip , PCB board, glass substrate, another flexible circuit board or film, ceramic substrate, glass fiber reinforced epoxy substrate, polymer or organic substrate, wherein the printed circuit board comprises a fiberglass and a plurality of circuit layers above or below the core layer , the first, second or third type of metal pillars or bumps 122 are bonded to the tin layer or solder layer 152, for the third type of metal pillars or bumps 122, the metal layer 152 may be thermocompression bonded using a gold-solder material A tin or solder layer in combination with the method, whereby a tin-gold alloy 154 can be formed between the copper bond wire 14 and the third type of metal post or bump 122, or, for the third type of metal post or bump 122. The solder layer 152 may be a metal layer bonded to it using a gold-gold thermocompression bonding method, after which a polymer material 156 (eg, polyimide) may be filled into the logic driver (ie, the single-layer package logic driver 300). ) and the gap between the flexible circuit board or the film 126 to close the first, second or third type of metal posts or bumps 122 .

如上所述,半導體晶片100排列成單層以形成單層封裝邏輯驅動器300,複數單層封裝邏輯驅動器300可組成一積體邏輯驅動器,積體邏輯驅動器可由兩個或兩個以上的單層封裝邏輯驅動器300製造,例如是2個、3個、4個、5個、6個、7個、8個或8個以上的單層封裝邏輯驅動器300組成,例如是:(1)以平面的方式覆晶封裝在PCB板上;或(2)將其中一單層封裝邏輯驅動器300安裝在另一個單層封裝邏輯驅動器300的頂部上的封裝疊層封裝(POP)技術,為了實現堆疊方式組裝的單層封裝邏輯驅動器300,在單層封裝邏輯驅動器300的中間、在底部可形成封裝穿孔或聚合物穿孔(TPV),如以下所示:As described above, the semiconductor wafers 100 are arranged in a single layer to form the single-layer packaged logic driver 300. A plurality of single-layered packaged logic drivers 300 may form an integrated logic driver, and the integrated logic driver may be formed of two or more single-layered packages. The logic driver 300 is manufactured, for example, is composed of 2, 3, 4, 5, 6, 7, 8, or more than 8 single-layer packaging logic drivers 300, for example: (1) in a planar manner Flip-chip packaging on a PCB board; or (2) Package-on-Package (POP) technology in which one of the single-level package logic drivers 300 is mounted on top of another single-level package logic driver 300, in order to achieve stacked assembly In the single-level package logic driver 300, a package through-hole or via-polymer (TPV) may be formed in the middle and at the bottom of the single-level package logic driver 300, as shown below:

具有多個貫穿封裝體的通道TPVS的晶片封裝的第一實施例First Embodiment of a Chip Package with Multiple Through-Package Vias TPVS

堆疊形式的每個單層封裝邏輯驅動器300(也就是在POP封裝內)可依據如上述段落中描述的相同的處理步驟和規格來製造,如第18A圖至第18T圖所示之本發明之一實施例的製程剖面示意圖,在聚合物層92內還可以設置複數TPVS158,在單層封裝邏輯驅動器300的每相鄰兩個的半導體晶片100之間,及(或)周邊區域的單層封裝邏輯驅動器300圍繞在中間區域的半導體晶片100,第19A圖至第19O圖為本發明實施例依據FOIT形成具有TPVS的晶片封裝之製程剖面示意圖。TPVS158可形成在單層封裝邏輯驅動器300中的一個,用於連接或耦接位在該其中之一單層封裝邏輯驅動器300的正面的複數電路或元件至該其中之一的單層封裝邏輯驅動器300背面的複數電路或元件。Each single-level package logic driver 300 in stacked form (ie, within a POP package) can be fabricated according to the same process steps and specifications as described in the preceding paragraphs, as in the present invention shown in FIGS. 18A-18T. A schematic cross-sectional view of the process of an embodiment, a plurality of TPVS 158 may also be disposed in the polymer layer 92, between every two adjacent semiconductor chips 100 of the single-layer packaging logic driver 300, and/or the single-layer packaging in the peripheral area The logic driver 300 surrounds the semiconductor chip 100 in the middle area. FIGS. 19A to 19O are cross-sectional schematic diagrams of a process for forming a chip package with TPVS according to FOIT according to an embodiment of the present invention. The TPVS 158 may be formed in one of the single-level package logic drivers 300 for connecting or coupling a plurality of circuits or components located on the front side of the one-level package logic driver 300 to the one of the single-level package logic drivers 300 300 complex circuits or components on the back.

第19A圖至第第19O圖為本發明第一實施例形成具有TPVS晶片封裝示意圖,在將半導體晶片100安裝到圖18A所示的載體基板90(如第18A圖所示)之前,如第19D圖所示之TPVS158可形成在如第18A圖所示之載體基板90上方,如第19A圖所示,包括氧化矽層、氮化矽層、聚合物層或其組合的基底絕緣層91可形成在如第18A圖所示之載體基板90上。FIGS. 19A to 19O are schematic diagrams of forming a chip package with TPVS according to the first embodiment of the present invention. Before the semiconductor chip 100 is mounted on the carrier substrate 90 shown in FIG. 18A (as shown in FIG. 18A ), as shown in FIG. 19D The TPVS 158 shown can be formed over the carrier substrate 90 as shown in FIG. 18A, and the insulating base layer 91 including a silicon oxide layer, a silicon nitride layer, a polymer layer, or a combination thereof can be formed as shown in FIG. 19A on a carrier substrate 90 as shown in Figure 18A.

接著,如第19B圖所示,TPVS158(也就是絕緣介電層)經由旋塗、網版印刷、滴注或灌模的方法形成在基底絕緣層91上,及在聚合物層97的複數開口97a曝露的基底絕緣層91上方,聚合物層97可包括例如聚酰亞胺、苯並環丁烯(BenzoCycloButene(BCB))、聚對二甲苯、環氧樹脂基底材質或化合物、光感性環氧樹脂SU-8、彈性體或矽膠(silicone),聚合物層97可包括有機材質,例如一聚合物或含碳的化合物材質,聚合物層97可係是光感性材質,且可用作光阻層,用於圖案化複數開口97a在其中,且通過後續執行的製程形成複數TPVs的端點部分,聚合物層97可塗佈,通過光罩曝光,接著顯影形成複數開口97a在其中,在聚合物層97中的複數開口97a曝露基底絕緣層91的複數上表面區域,接著聚合物層97(也就是絕緣介電層)在一溫度下固化(硬化),例如溫度係高於或等於100o C、125o C、150o C、175o C、200o C、225o C、250o C、275o C或300o C,聚合物層97在固化後的厚度例如介於2µm至50µm之間、介於3µm至50µm之間、介於3µm至30µm之間、介於3µm至20µm之間或介於3µm至15µm之間,或是厚度大於或等於2µm、3µm、5µm、10µm、20µm或30µm,聚合物層97可添加一些電介質顆粒或玻璃纖維,聚合物層97的材料及其形成方法可以參考聚合物層36的材料及其形成方法,如圖15H所示。Next, as shown in FIG. 19B, TPVS158 (that is, the insulating dielectric layer) is formed on the base insulating layer 91 by spin coating, screen printing, dripping or molding, and a plurality of openings in the polymer layer 97 Above the base insulating layer 91 exposed by 97a, the polymer layer 97 may include, for example, polyimide, BenzoCycloButene (BCB), parylene, epoxy base material or compound, photosensitive epoxy Resin SU-8, elastomer or silicone, the polymer layer 97 can include an organic material, such as a polymer or carbon-containing compound material, the polymer layer 97 can be a photosensitive material and can be used as a photoresist layer for patterning the plurality of openings 97a therein, and forming the terminal portions of the plurality of TPVs through a subsequent process, the polymer layer 97 can be coated, exposed through a photomask, and then developed to form the plurality of openings 97a therein, and then polymerized. a plurality of openings in the layer 97 exposed surface area 97a on a plurality of insulating base layer 91, and then the polymer layer 97 (i.e. an insulating dielectric layer) cured (hardened) at a temperature of, for example, a temperature of greater than or equal to 100 o C, 125 o C, 150 o C, 175 o C, 200 o C, 225 o C, 250 o C, 275 o C or 300 o C, the thickness of the polymer layer 97 after curing is, for example, between 2 µm and 50 µm , 3µm to 50µm, 3µm to 30µm, 3µm to 20µm, or 3µm to 15µm, or thickness greater than or equal to 2µm, 3µm, 5µm, 10µm, 20µm or 30µm, some dielectric particles or glass fibers can be added to the polymer layer 97. The material of the polymer layer 97 and its formation method can refer to the material of the polymer layer 36 and its formation method, as shown in FIG. 15H.

接著,複數金屬柱或凸塊形成在基底絕緣層91上,如第19C圖至19F圖所示,第19C圖至19F圖為本發明實施例形成複數TPVs在載體基板上方的製程剖面示意圖,如第19C圖所示,一黏著/種子層140形成在聚合物層97上及在聚合物層97複數開口97a底部的基底絕緣層91上,接著可濺鍍厚度介於0.001μm至0.7μm之間、介於0.01μm至0.5μm之間或介於0.03μm至0.35μm之間的黏著層在聚合物層97上及在聚合物層97複數開口97a底部的基底絕緣層91上,黏著層的材質可包含鈦、鈦-鎢合金、氮化鈦、鉻、鈦-鎢合金層、氮化鉭或上述材質的複合物,黏著層可經由ALD製程、CVD製程或蒸鍍製程形成,例如,黏著層可經由濺鍍或CVD沉積一Ti層或TiN層在聚合物層97(厚度例如介於1nm至200nm或介於5nm至50nm之間)上。Next, a plurality of metal pillars or bumps are formed on the base insulating layer 91, as shown in FIGS. 19C to 19F. FIGS. 19C to 19F are schematic cross-sectional views of the process of forming a plurality of TPVs on the carrier substrate according to the embodiment of the present invention. As shown in FIG. 19C, an adhesion/seed layer 140 is formed on the polymer layer 97 and on the insulating base layer 91 at the bottom of the plurality of openings 97a in the polymer layer 97, and then can be sputtered to a thickness of between 0.001 μm and 0.7 μm. , an adhesive layer between 0.01 μm and 0.5 μm or between 0.03 μm and 0.35 μm On the polymer layer 97 and on the base insulating layer 91 at the bottom of the plurality of openings 97a of the polymer layer 97, the material of the adhesive layer It can include titanium, titanium-tungsten alloy, titanium nitride, chromium, titanium-tungsten alloy layer, tantalum nitride or a composite of the above materials. The adhesion layer can be formed by ALD process, CVD process or evaporation process, for example, the adhesion layer A layer of Ti or TiN may be deposited via sputtering or CVD on the polymer layer 97 (eg, between 1 nm and 200 nm thick or between 5 nm and 50 nm thick).

接著,厚度介於0.001μm至1μm之間、介於0.03μm至2μm之間或介於0.05μm至0.5μm之間的電鍍用種子層濺鍍在黏著層的整個上表面,或者,電鍍用種子層可經由原子層(ATOMIC-LAYER-DEPOSITION(ALD))沉積製程、化學氣相沉積(CHEMICALVAPORDEPOSITION(CVD))製程、蒸鍍製程、無電電鍍或物理氣相沉積方式形成。電鍍用種子層有益於在表面上電鍍形成一金屬層,因此,電鍍用種子層的材質種類隨著電鍍用種子層上電鍍的金屬層材質而變化,當一銅層被電鍍在電鍍用種子層上時,銅金屬則為電鍍用種子層優先選擇的材質,例如電鍍用種子層形成在黏著層上或上方,例如可經由濺鍍或CVD化學沉積一銅種子層(其厚度例如介於3nm至300nm之間或介於3nm至200nm之間)在黏著層上,黏著層及電鍍用種子層可組成如第19A圖所示之黏著/種子層140。Next, a seed layer for electroplating with a thickness between 0.001 μm and 1 μm, between 0.03 μm and 2 μm, or between 0.05 μm and 0.5 μm is sputtered on the entire upper surface of the adhesive layer, or, a seed layer for electroplating The layer can be formed by atomic layer (ATOMIC-LAYER-DEPOSITION (ALD)) deposition process, chemical vapor deposition (CHEMICAL VAPORDEPOSITION (CVD)) process, evaporation process, electroless plating or physical vapor deposition. The seed layer for electroplating is beneficial to form a metal layer by electroplating on the surface. Therefore, the material type of the seed layer for electroplating varies with the material of the metal layer electroplated on the seed layer for electroplating. When a copper layer is electroplated on the seed layer for electroplating Copper metal is the preferred material for the seed layer for electroplating, for example, the seed layer for electroplating is formed on or above the adhesive layer, for example, a copper seed layer (with a thickness of, for example, 3 nm to 300 nm or between 3 nm and 200 nm) on the adhesion layer, the adhesion layer and the seed layer for electroplating can form the adhesion/seed layer 140 as shown in FIG. 19A.

接著,如第19D圖所示,一厚度介於5μm至500μm之間的光阻層142(例如是正型光阻層)旋轉塗佈或壓合在黏著/種子層140的電鍍用種子層上,光阻層142經由曝光、顯影等製程形成複數開口142a在光阻層142內並曝露黏著/種子層140的電鍍用種子層,用1X步進器,具有波長範圍介於434至438nm的G-Line、波長範圍介於403至407nm的H-Line及波長範圍介於363至367nm的I-Line的其中至少二種光線的1X接觸式對準器或雷射掃描器可用於照光在光阻層142上,也就是G-Line及H-Line、G-Line及I-Line、H-Line及I-Line或G-Line、H-Line及I-Line照在光阻層142上,然後顯影曝露的光阻層142,然後使用氧氣離子(O2 plasma)或含氟離子在2000PPM及氧,並移除殘留在黏著/種子層140的電鍍用種子層的聚合物材質或其它污染物,使得光阻層142可被圖案化而形成複數開口142a曝露黏著/種子層140的電鍍用種子層,在光阻層142內每一開口142a與聚合物層97內開口97a重疊,且在聚合物層97內開口97a延伸至環繞在聚合物層97的開口97a的一區域或環形區域,其中聚合物層97的環形區域具有一寬度介於1µm至15µm之間、介於1µm至10µm之間或介於1µm至5µm之間。Next, as shown in FIG. 19D, a photoresist layer 142 (for example, a positive photoresist layer) having a thickness between 5 μm and 500 μm is spin-coated or laminated on the electroplating seed layer of the adhesive/seed layer 140 , The photoresist layer 142 is exposed, developed and other processes to form a plurality of openings 142a in the photoresist layer 142 and expose the seed layer for electroplating of the adhesion/seed layer 140, using a 1X stepper with a G- Line, H-Line with wavelengths ranging from 403 to 407nm and I-Line with wavelengths ranging from 363 to 367nm. At least two types of 1X contact aligners or laser scanners can be used to illuminate the photoresist layer 142, that is, G-Line and H-Line, G-Line and I-Line, H-Line and I-Line or G-Line, H-Line and I-Line are illuminated on the photoresist layer 142, and then developed The exposed photoresist layer 142 is then used oxygen ions (O 2 plasma) or fluoride ions at 2000 PPM and oxygen to remove the polymer material or other contaminants remaining in the plating seed layer of the adhesion/seed layer 140 such that The photoresist layer 142 may be patterned to form a plurality of openings 142a that expose the plating seed layer of the adhesion/seed layer 140, each opening 142a in the photoresist layer 142 overlapping an opening 97a in the polymer layer 97, and in the polymer layer 97. The opening 97a in the polymer layer 97 extends to a region or annular region surrounding the opening 97a of the polymer layer 97, wherein the annular region of the polymer layer 97 has a width between 1 µm and 15 µm, between 1 µm and 10 µm, or between 1 µm and 10 µm. between 1µm and 5µm.

如第19D圖所示,複數開口142a的這些位置位在半導體晶片100之間的複數間隙,在後續製程中將裝設至聚合物層97上,並且在後續製程中可排列在複數獨立晶片封裝300的周邊區域,其中每一周邊區域環繞半導體晶片100,形成放置獨立晶片封裝300的一中心區域。As shown in FIG. 19D, these positions of the plurality of openings 142a are located in the plurality of gaps between the semiconductor chips 100, will be mounted on the polymer layer 97 in the subsequent process, and may be arranged in a plurality of individual chip packages in the subsequent process The peripheral regions 300 , wherein each peripheral region surrounds the semiconductor wafer 100 , forms a central region where the individual chip packages 300 are placed.

如第19E圖所示,厚度介於5µm至300µm之間、介於5µm至200µm之間、介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間電鍍形成在曝露於開口142a的黏著/種子層140的電鍍用種子層上。As shown in Figure 19E, thicknesses are between 5µm and 300µm, between 5µm and 200µm, between 5µm and 120µm, between 10µm and 100µm, between 10µm and 60µm, between Electroplating is formed on the seed layer for electroplating of the adhesion/seed layer 140 exposed to the opening 142a between 10µm and 40µm or between 10µm and 30µm.

如第19F圖所示,形成銅層144之後,大部分的光阻層142可被移除,接著沒有在銅層144下方的黏著/種子層140被蝕刻去除,其中移除及蝕刻的製程可分別參考如第15F圖中所揭露之移除光阻層30及蝕刻電鍍用種子層28及黏著層26的製程,因此,黏著/種子層140及電鍍的銅層144可被圖案化以形成複數TPVs158在基底絕緣層91上及在聚合物層97複數開口97a周圍的聚合物層97上,每一TPVs158從聚合物層97的上表面凸出一高度介於5µm至300µm之間、介於5µm至200µm之間、介於5µm至150µm之間、介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間,或是高度大於或等於50µm、30µm、20µm、15µm或5µm,剖面圖中具有一最大尺寸(例如圓形的直徑、正方形或長方形的對角線)介於5µm至300µm之間、介於5µm至200µm之間、介於5µm至150µm之間、介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間,或尺寸是大於或等於150µm、100µm、60µm、50µm、40µm、30µm、20µm、15µm或10µm,二相鄰之TPVs158具有一空間(間距)尺寸介於5µm至300µm之間、介於5µm至200µm之間、介於5µm至150µm之間、介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間,或尺寸是大於或等於150µm、100µm、60µm、50µm、40µm、30µm、20µm、15µm或10µm。As shown in FIG. 19F, after the copper layer 144 is formed, most of the photoresist layer 142 can be removed, and then the adhesion/seed layer 140 not under the copper layer 144 can be etched away, wherein the removal and etching process can be With reference to the process of removing photoresist layer 30 and etching electroplating seed layer 28 and adhesion layer 26, respectively, as disclosed in FIG. 15F, adhesion/seed layer 140 and electroplated copper layer 144 may thus be patterned to form a plurality of The TPVs 158 are on the base insulating layer 91 and on the polymer layer 97 around the plurality of openings 97a in the polymer layer 97, and each TPVs 158 protrudes from the upper surface of the polymer layer 97 by a height between 5µm and 300µm, between 5µm to 200µm, 5µm to 150µm, 5µm to 120µm, 10µm to 100µm, 10µm to 60µm, 10µm to 40µm, or 10µm to 30µm , or the height is greater than or equal to 50µm, 30µm, 20µm, 15µm or 5µm, and the cross-section has a maximum dimension (such as the diameter of a circle, the diagonal of a square or rectangle) between 5µm and 300µm, the medium 5µm to 200µm, 5µm to 150µm, 5µm to 120µm, 10µm to 100µm, 10µm to 60µm, 10µm to 40µm, or 10µm Between 30µm, or greater than or equal to 150µm, 100µm, 60µm, 50µm, 40µm, 30µm, 20µm, 15µm or 10µm, two adjacent TPVs158 have a space (spacing) between 5µm and 300µm, 5µm to 200µm, 5µm to 150µm, 5µm to 120µm, 10µm to 100µm, 10µm to 60µm, 10µm to 40µm, or 10µm to 30µm, or dimensions greater than or equal to 150µm, 100µm, 60µm, 50µm, 40µm, 30µm, 20µm, 15µm or 10µm.

接著,第19G圖至第19J圖的FOIT的後續進行的步驟可參照第18A圖至第18R圖所揭露的FOIT步驟,在第18A圖至第18R圖及第19G圖至第19J圖中所示的相同元件號碼表示相同的元件,所以在第19G圖至第19J圖相同的元件號碼的元件的製程及說明可參照第18A圖至第18R圖所揭露的說明。Next, the subsequent steps of the FOIT in Figures 19G to 19J can refer to the FOIT steps disclosed in Figures 18A to 18R, which are shown in Figures 18A to 18R and Figures 19G to 19J The same component numbers in 19G to 19J represent the same components, so the process and description of the components with the same component numbers in FIGS. 19G to 19J can refer to the descriptions disclosed in FIGS. 18A to 18R .

如第19G圖所示,黏著材料88形成在聚合物層97的複數區域上,接著如第15G圖、第15H圖、第16I圖至第16L圖及第17圖中所示的半導體晶片100的背面黏著黏著材料88而接合在聚合物層97上。As shown in FIG. 19G, the adhesive material 88 is formed on the plurality of regions of the polymer layer 97, followed by the semiconductor wafer 100 as shown in FIGS. 15G, 15H, 16I to 16L, and 17. The backside adhesive material 88 is bonded to the polymer layer 97 .

如第19H圖所示,厚度t7介於250μm至1000μm之間的聚合物層92設置在聚合物層97上或上方及在半導體晶片100上或上方至一水平:(i)填入半導體晶片100之間的間隙;(ii)覆蓋半導體晶片100的上表面;(iii)填入半導體晶片100的微型凸塊或金屬柱34之間的間隙;(iv)覆蓋半導體晶片100的微型凸塊或金屬柱34的上表面;(v)填入TPVs158之間的間隙;及(vi)覆蓋TPVs158。As shown in FIG. 19H, a polymer layer 92 having a thickness t7 of between 250 μm and 1000 μm is disposed on or over the polymer layer 97 and on or over the semiconductor wafer 100 to a level: (i) filling the semiconductor wafer 100 (ii) covering the upper surface of the semiconductor wafer 100; (iii) filling the gaps between the micro-bumps or metal pillars 34 of the semiconductor wafer 100; (iv) covering the micro-bumps or metal posts 34 of the semiconductor wafer 100 The upper surface of the pillars 34; (v) filling the gaps between the TPVs 158; and (vi) covering the TPVs 158.

如第19I圖所示,聚合物層92例如經由機械研磨的方式從正面研磨至露出每一微型凸塊或金屬柱34的正面(上表面)及TPVS158的正面(上表面),及平坦化聚合物層92的正面,或者,聚合物層92可經由CMP製程研磨,當聚合物層92進行研磨時,每一微型凸塊或金屬柱34都有一前端部分被允許移除,而在研磨後,聚合物層92的厚度t8係介於250μm至800μm之間。As shown in FIG. 19I, the polymer layer 92 is ground from the front side to expose the front side (upper surface) of each micro-bump or metal post 34 and the front side (upper surface) of the TPVS 158 by, for example, mechanical polishing, and planarization polymerization The front side of the material layer 92, alternatively, the polymer layer 92 may be polished by a CMP process. When the polymer layer 92 is polished, a front end portion of each microbump or metal post 34 is allowed to be removed, and after polishing, The thickness t8 of the polymer layer 92 is between 250 μm and 800 μm.

接著,如第18D圖至第18N圖的TISD101可經由晶圓或面板製程形成在聚合物層92的正面上或上方,及在微型凸塊或金屬柱34及在TPVS158的正面上或上方,接著,如第18O圖至第18R圖的金屬柱或凸塊122形成在最頂端的聚合物層104(如第19J圖所示)複數開口104a底部,且在TISD101之最頂端的交互連接線金屬層99上。Next, TISD 101 as in Figures 18D-18N may be formed on or over the front side of polymer layer 92, and on or over the microbumps or metal pillars 34 and on the front side of TPVS 158 via wafer or panel processing, and then , as shown in FIGS. 18O to 18R, the metal posts or bumps 122 are formed at the bottom of the plurality of openings 104a of the topmost polymer layer 104 (as shown in FIG. 19J ), and at the topmost interconnection wire metal layer of the TISD101 99 on.

接著,如第19K圖所示,載體基板90經由剝離、研磨或CMP研磨的製程移除,從第19K圖中顯示基底絕緣層91被曝露(圖中未示),接著,基底絕緣層91及聚合物層97底部部分經由研磨或CMP研磨製程移除,從第19K圖中每一TPVS158的背面158a被曝露,其中TPVs158具有銅層的部分被曝露作為複數金屬接墊。或者,在研磨如第19I圖的聚合物層92之後,及在形成TISD101的聚合物層93之前,載體基板90可經由剝離、研磨或CMP研磨的製程移除,從第19K圖所示的結構露出基底絕緣層91,接著,基底絕緣層91及聚合物層97的底部部分可經由研磨或CMP製程移除而露出每一TPVS158的背面158a,其中位在背面158a的TPVs158具有銅層的部分被曝露作為複數金屬接墊。之後,如第18D圖至第18N圖中的TISD101可經由晶圓或面板製程形成在聚合物層92的正面上或上方,及在微型凸塊或金屬柱34及在TPVS158正面上或上方。接著,如第18O圖至第18R圖中的金屬柱或凸塊122形成在如第19K圖最頂端聚合物層104複數開口104a底部且在TISD101最頂端交互連接線金屬層99上。Next, as shown in FIG. 19K, the carrier substrate 90 is removed through a process of lift-off, grinding or CMP grinding, and the base insulating layer 91 is exposed (not shown) from FIG. 19K. Next, the base insulating layer 91 and The bottom portion of the polymer layer 97 is removed through a grinding or CMP grinding process, and is exposed from the backside 158a of each TPVS 158 in Figure 19K, where the portion of the TPVs 158 with the copper layer is exposed as a plurality of metal pads. Alternatively, after grinding the polymer layer 92 as shown in FIG. 19I, and before forming the polymer layer 93 of the TISD 101, the carrier substrate 90 may be removed by a lift-off, grinding, or CMP grinding process from the structure shown in FIG. 19K. The base insulating layer 91 is exposed. Then, the bottom portion of the base insulating layer 91 and the polymer layer 97 can be removed by a grinding or CMP process to expose the backside 158a of each TPVS 158, wherein the portion of the TPVs 158 on the backside 158a with the copper layer is removed. exposed as multiple metal pads. Thereafter, TISD 101 as in Figures 18D-18N can be formed on or over the front side of polymer layer 92, and on or over microbumps or metal pillars 34 and on the front side of TPVS 158 via wafer or panel processing. Next, metal pillars or bumps 122 as shown in FIGS. 18O to 18R are formed at the bottom of the plurality of openings 104a in the topmost polymer layer 104 and on the topmost interconnect metal layer 99 of the TISD101 as shown in FIG. 19K .

在載體基板90之後,如第19k圖基底絕緣層91及聚合物層97的底部部分被移除,第19K圖中的封裝結構可經由雷射切割製程或機械切割製程切割分離成複數單獨晶片封裝結構(也就是單層封裝邏輯驅動器300),如第19L圖所示。After the carrier substrate 90, the bottom portion of the insulating base layer 91 and the polymer layer 97 in Fig. 19k are removed, and the package structure in Fig. 19K can be diced and separated into individual chip packages through a laser dicing process or a mechanical dicing process The structure (ie, the single-level encapsulation logic driver 300) is shown in FIG. 19L.

具有TPVS的晶片封裝的第二實施例Second Embodiment of Chip Package with TPVS

第19S圖至第19Z圖為本發明第二實施例中形成具有TPVS晶片封裝的製程示意圖,第19S圖至第19Z圖所示的第二實施例與第19A圖至第19L圖所示的第一實施例的不同點為聚合物層97被完全的移除,對於在第19A圖至第19L圖及第19S圖至第19Z圖中所示的相同元件號碼表示相同的元件,所以在第19S圖至第19Z圖相同的元件號碼的元件的製程及說明可參照第19A圖至第19L圖所揭露的說明。FIGS. 19S to 19Z are schematic diagrams of a process for forming a chip package with TPVS according to the second embodiment of the present invention, the second embodiment shown in FIGS. 19S to 19Z and the first embodiment shown in FIGS. 19A to 19L The difference of one embodiment is that the polymer layer 97 is completely removed. For the same element numbers shown in Fig. 19A to Fig. 19L and Fig. 19S to Fig. 19Z, the same element is represented, so in Fig. 19S For the manufacturing process and description of components with the same component numbers in FIGS. 19Z to 19Z, reference may be made to the descriptions disclosed in FIGS. 19A to 19L.

對於第二實施例,如第19S圖所示,聚合物層97經由旋塗、網版印刷、滴注或灌模的方法形成在基底絕緣層91上,但沒有如第19B圖的複數開口97a形成在聚合物層97內,在此情況下,除了第19B圖的材質外,聚合物層97可以是非光感性材質。For the second embodiment, as shown in FIG. 19S, the polymer layer 97 is formed on the base insulating layer 91 by spin coating, screen printing, dripping or molding, but does not have the plurality of openings 97a as shown in FIG. 19B Formed within the polymer layer 97, in this case, the polymer layer 97 may be a non-photosensitive material in addition to the material of FIG. 19B.

接著,複數金屬柱或凸塊可形成在如第19T圖至第19W圖中的聚合物層97上,第19T圖至第19W圖為本發明實施例中形成複數TPVs在載體基板上方的製程剖面示意圖。Next, a plurality of metal pillars or bumps may be formed on the polymer layer 97 as shown in FIGS. 19T to 19W. FIGS. 19T to 19W are process cross-sections of forming a plurality of TPVs above the carrier substrate in accordance with an embodiment of the present invention. Schematic.

如第19T圖所示,黏著/種子層140形成在聚合物層97上。Adhesion/seed layer 140 is formed on polymer layer 97 as shown in FIG. 19T.

接著,如第19U圖所示,厚度介於5μm至500μm之間的光阻層142(例如是正型光阻層)經旋轉塗佈或壓合方式形成在黏著/種子層140的電鍍用種子層上,光阻層142經由曝光、顯影等製程形成複數開口142a在光阻層142內並曝露黏著/種子層140的電鍍用種子層,複數開口142a的這些位置位在半導體晶片100之間的複數間隙,在後續製程中將裝設至聚合物層97上,並且在後續製程中可排列在複數獨立晶片封裝300的周邊區域,其中每一周邊區域環繞半導體晶片100,形成放置獨立晶片封裝300的一中心區域。Next, as shown in FIG. 19U, a photoresist layer 142 (eg, a positive-type photoresist layer) having a thickness between 5 μm and 500 μm is spin-coated or pressed to form a seed layer for electroplating on the adhesion/seed layer 140 On the photoresist layer 142, a plurality of openings 142a are formed in the photoresist layer 142 through processes such as exposure and development, and the seed layer for electroplating of the adhesion/seed layer 140 is exposed. These positions of the plurality of openings 142a are located between the plurality of semiconductor wafers 100 The gaps will be installed on the polymer layer 97 in the subsequent process, and may be arranged in the peripheral regions of the plurality of individual chip packages 300 in the subsequent process, wherein each peripheral region surrounds the semiconductor chip 100 to form a place where the individual chip packages 300 are placed. a central area.

接著,如第19V圖所示,厚度介於5µm至300µm之間、介於5µm至200µm之間、介於5µm至150µm之間、介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間、的銅層144電鍍形成在複數開口142a在黏著/種子層140的電鍍用種子層上。Then, as shown in Figure 19V, the thicknesses range from 5µm to 300µm, 5µm to 200µm, 5µm to 150µm, 5µm to 120µm, 10µm to 100µm, A copper layer 144 of between 10µm and 60µm, between 10µm and 40µm, or between 10µm and 30µm is electroplated on the seed layer for electroplating of the plurality of openings 142a on the adhesion/seed layer 140 .

接著,如第19W圖所示,形成銅層144之後,大部分的光阻層142可被移除,接著沒有在銅層144下方的黏著/種子層140被蝕刻去除,其中移除及蝕刻的製程可分別參考如第15F圖中所揭露之移除光阻層30及蝕刻電鍍用種子層28及黏著層26的製程,因此,黏著/種子層140及電鍍的銅層144可被圖案化以形成複數TPVs158在聚合物層97上,每一TPVs158從聚合物層97的上表面凸出一高度介於5µm至300µm之間、介於5µm至200µm之間、介於5µm至150µm之間、介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間,或是高度大於或等於50µm、30µm、20µm、15µm或5µm,剖面圖中具有一最大尺寸(例如圓形的直徑、正方形或長方形的對角線)介於5µm至300µm之間、介於5µm至200µm之間、介於5µm至150µm之間、介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間,或尺寸是大於或等於150µm、100µm、60µm、50µm、40µm、30µm、20µm、15µm或10µm,二相鄰之TPVs158具有一空間(間距)尺寸介於5µm至300µm之間、介於5µm至200µm之間、介於5µm至150µm之間、介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間,或尺寸是大於或等於150µm、100µm、60µm、50µm、40µm、30µm、20µm、15µm或10µm。Next, as shown in FIG. 19W, after the copper layer 144 is formed, most of the photoresist layer 142 can be removed, and then the adhesion/seed layer 140 not under the copper layer 144 is etched away, wherein the removed and etched The process may refer to the process of removing the photoresist layer 30 and etching the plating seed layer 28 and the adhesion layer 26 as disclosed in FIG. 15F, respectively, so that the adhesion/seed layer 140 and the electroplated copper layer 144 may be patterned to A plurality of TPVs 158 are formed on the polymer layer 97, and each TPVs 158 protrudes from the upper surface of the polymer layer 97 by a height between 5 μm and 300 μm, between 5 μm and 200 μm, between 5 μm and 150 μm, and between 5 μm and 150 μm. 5µm to 120µm, 10µm to 100µm, 10µm to 60µm, 10µm to 40µm, or 10µm to 30µm, or heights greater than or equal to 50µm, 30µm, 20µm , 15µm or 5µm with a maximum dimension in cross section (e.g. diameter of a circle, diagonal of a square or rectangle) between 5µm and 300µm, between 5µm and 200µm, between 5µm and 150µm , 5µm to 120µm, 10µm to 100µm, 10µm to 60µm, 10µm to 40µm, or 10µm to 30µm, or dimensions greater than or equal to 150µm, 100µm , 60µm, 50µm, 40µm, 30µm, 20µm, 15µm or 10µm, two adjacent TPVs158 have a space (spacing) dimension between 5µm to 300µm, 5µm to 200µm, 5µm to 150µm , 5µm to 120µm, 10µm to 100µm, 10µm to 60µm, 10µm to 40µm, or 10µm to 30µm, or dimensions greater than or equal to 150µm, 100µm , 60µm, 50µm, 40µm, 30µm, 20µm, 15µm or 10µm.

接著,如第19X圖中用於FOIT的步驟可參照第19G圖至第19J圖及第18A圖至第18R圖中的FOIT步驟。Next, the steps for FOIT as shown in Fig. 19X may refer to the FOIT steps in Figs. 19G to 19J and Figs. 18A to 18R.

接著,如第19Y圖所示,載體基板90經由剝離、研磨或CMP研磨的製程移除,從第19X圖中顯示基底絕緣層91被曝露(圖中未示),接著,基底絕緣層91及聚合物層97底部部分經由研磨或CMP研磨製程移除,從第19K圖中每一TPVS158的背面158a被曝露,其中TPVs158具有銅層的部分被曝露作為複數金屬接墊。或者,在研磨如第19I圖的聚合物層92之後,及在形成TISD101的聚合物層93之前,載體基板90可經由剝離、研磨或CMP研磨的製程移除,從第19X圖所示的結構露出基底絕緣層91,接著,基底絕緣層91及聚合物層97的底部部分可經由研磨或CMP製程移除而露出每一TPVS158的背面158a,其中位在背面158a的TPVs158具有銅層的部分被曝露作為複數金屬接墊。之後,如第18D圖至第18N圖中的TISD101可經由晶圓或面板製程形成在聚合物層92的正面上或上方,及在微型凸塊或金屬柱34及在TPVS158正面上或上方。接著,如第18O圖至第18R圖中的金屬柱或凸塊122形成在如第19Y圖最頂端聚合物層104複數開口104a底部且在TISD101最頂端交互連接線金屬層99上。Next, as shown in FIG. 19Y, the carrier substrate 90 is removed through a process of lift-off, grinding, or CMP grinding, and the base insulating layer 91 is exposed (not shown) from FIG. 19X. Next, the base insulating layer 91 and The bottom portion of the polymer layer 97 is removed through a grinding or CMP grinding process, and is exposed from the backside 158a of each TPVS 158 in Figure 19K, where the portion of the TPVs 158 with the copper layer is exposed as a plurality of metal pads. Alternatively, after grinding the polymer layer 92 as shown in FIG. 19I, and before forming the polymer layer 93 of the TISD 101, the carrier substrate 90 may be removed by a lift-off, grinding, or CMP grinding process from the structure shown in FIG. 19X. The base insulating layer 91 is exposed. Then, the bottom portion of the base insulating layer 91 and the polymer layer 97 can be removed by a grinding or CMP process to expose the backside 158a of each TPVS 158, wherein the portion of the TPVs 158 on the backside 158a with the copper layer is removed. exposed as multiple metal pads. Thereafter, TISD 101 as in Figures 18D-18N can be formed on or over the front side of polymer layer 92, and on or over microbumps or metal pillars 34 and on the front side of TPVS 158 via wafer or panel processing. Next, metal pillars or bumps 122 as shown in FIGS. 18O to 18R are formed at the bottom of the plurality of openings 104a in the topmost polymer layer 104 as shown in FIG. 19Y and on the topmost interconnect metal layer 99 of the TISD 101 .

第19Y圖中的聚合物層97底部、基底絕緣層91及載體基板90移除之後,第19Y圖中封裝結構可經由雷射切割程序或機械切割程序切割分離成複數單獨晶片封裝(也就是單層封裝邏輯驅動器300),如第19Z圖所示。After the bottom of the polymer layer 97, the base insulating layer 91, and the carrier substrate 90 in FIG. 19Y are removed, the package structure in FIG. 19Y can be cut and separated into a plurality of individual chip packages (ie, single chip packages) through a laser dicing process or a mechanical dicing process. Layer encapsulates logical driver 300), as shown in Figure 19Z.

具有TISD驅動器的POP封裝POP package with TISD driver

第19M圖至第19O圖為本發明實施例製造一POP封裝製程示意圖,如第19M圖至第19O圖所示,當如第19L圖的最頂端單層封裝邏輯驅動器300裝置在一單層封裝邏輯驅動器300的底部,在一單層封裝邏輯驅動器300的底部具有TPVS158在聚合物層92內以連接至在一單層封裝邏輯驅動器300底部背面上面的複數電路、交互連接線金屬結構、複數金屬接墊、複數金屬柱或凸塊及(或)複數元件,POP封裝的製程如下所示:FIGS. 19M to 19O are schematic diagrams of the manufacturing process of a POP package according to an embodiment of the present invention. As shown in FIGS. 19M to 19O, when the topmost single-level package logic driver 300 shown in FIG. 19L is installed in a single-level package Bottom of logic driver 300 with TPVS 158 in polymer layer 92 to connect to circuits, interconnect metal structures, metal structures above the bottom back of a single level package logic driver 300 Pads, multiple metal pillars or bumps and/or multiple components, the process of POP packaging is as follows:

首先,如第19M圖所示,複數單層封裝邏輯驅動器300的底部(在圖中只顯示一個)具有金屬柱或凸塊122裝設接合至位在上面電路載體或基板110的複數金屬接墊109上,電路載體或基板110例如是PCB板、BGA板、軟性基板或薄膜、或陶瓷基板,底部填充材料114可填入電路載體或基板110之間的間隙及與單層封裝邏輯驅動器300底部之間的間隙,或者,電路載體或基板110之間的間隙及與單層封裝邏輯驅動器300底部之間的間隙可以被跳過。接著,表面貼裝技術(surface-mounttechnology,SMT)可分別地用於裝設接合複數上面的單層封裝邏輯驅動器300(圖中只顯示一個)裝設接合至下面的單層封裝邏輯驅動器300。First, as shown in FIG. 19M, the bottom (only one of which is shown in the figure) of a plurality of single-level package logic drivers 300 has metal posts or bumps 122 mounted to a plurality of metal pads on the upper circuit carrier or substrate 110 On 109, the circuit carrier or substrate 110 is, for example, a PCB board, a BGA board, a flexible substrate or film, or a ceramic substrate, and an underfill material 114 can fill the gap between the circuit carrier or substrate 110 and the bottom of the single-layer package logic driver 300 The gap between, alternatively, the gap between the circuit carrier or substrate 110 and the gap with the bottom of the single level package logic driver 300 can be skipped. Next, surface-mount technology (SMT) can be used to mount and bond a plurality of upper single-level package logic drivers 300 (only one shown in the figure) to the underlying single-level package logic drivers 300, respectively.

對於SMT製程,銲錫、銲膏或助銲劑112可先印刷在單層封裝邏輯驅動器300底部之TPVS158的複數金屬接墊158a上,接著,如第19N圖所示,在上面單層封裝邏輯驅動器300可具有金屬柱或凸塊122設置在銲錫、銲膏或助銲劑112上。接著,一迴銲或加熱製程使上面的單層封裝邏輯驅動器300固定在下面的單層封裝邏輯驅動器300上,接著,底部填充材料114可填入上面的及下面的單層封裝邏輯驅動器300之間的間隙,或者,可跳過底部填充材料114填入上面的及下面的單層封裝邏輯驅動器300之間的間隙。For the SMT process, solder, solder paste or flux 112 may be first printed on the plurality of metal pads 158a of the TPVS 158 at the bottom of the single-level package logic driver 300, and then, as shown in FIG. 19N, the single-level package logic driver 300 on top There may be metal posts or bumps 122 disposed on the solder, solder paste or flux 112 . Next, a reflow or heating process enables the upper single-level package logic driver 300 to be fixed on the lower single-level package logic driver 300 , and then the underfill material 114 may be filled between the upper and lower single-level package logic drivers 300 Alternatively, the underfill material 114 may be skipped to fill the gap between the upper and lower single-level package logic drivers 300 .

下一個可選擇的步驟中,如第19N圖所示,其它如第19L圖中的複數單層封裝邏輯驅動器300的金屬柱或凸塊122使用SMT製程裝設接合在複數上面的單層封裝邏輯驅動器300的TPVs158上,或接合在最上面的複數單層封裝邏輯驅動器300的TPVs158上,然後底部填充材料114可選擇性地形成在二者之間的間隙中,該步驟可以重複多次以形成三個或三個以上的單層封裝邏輯驅動器300堆疊在電路載體或基板110上。In the next optional step, as shown in FIG. 19N, other metal pillars or bumps 122 of the plurality of single-level package logic drivers 300 as shown in FIG. 19L are mounted on the plurality of single-level package logic using the SMT process. On the TPVs 158 of the driver 300, or bonded to the TPVs 158 of the uppermost plurality of single-level package logic drivers 300, then the underfill material 114 may optionally be formed in the gap between the two, and this step may be repeated multiple times to form Three or more single-level packaged logic drivers 300 are stacked on the circuit carrier or substrate 110 .

接著,如第19N圖所示,複數銲錫球325植球在電路載體或基板110的背面,接著,如第19O圖所示,電路載體或基板110l經由雷射切割或機械切割的方式被切割分離成複數單獨基板單元113,其中單獨基板單元113例如是PCB板、BGA板、軟性電路基板或薄膜,或陶瓷基板,因此可將i個數目的單層封裝邏輯驅動器300堆疊在單獨基板單元113上,其中i數目係大於或等於2個、3個、4個、5個、6個、7個或8個。Next, as shown in FIG. 19N, a plurality of solder balls 325 are mounted on the back surface of the circuit carrier or substrate 110, and then, as shown in FIG. 19O, the circuit carrier or substrate 110l is cut and separated by laser cutting or mechanical cutting into a plurality of individual substrate units 113, wherein the individual substrate units 113 are, for example, PCB boards, BGA boards, flexible circuit substrates or thin films, or ceramic substrates, so that i number of single-layer package logic drivers 300 can be stacked on the individual substrate units 113 , where the number of i is greater than or equal to 2, 3, 4, 5, 6, 7 or 8.

或者,如第19P圖至第19R圖為本發明實施例製造POP封裝的製程示意圖,如第19P圖及第19Q圖所示,在分離成複數下面的單層封裝邏輯驅動器300之前,複數上面的單層封裝邏輯驅動器300的金屬柱或凸塊122可經由SMT製程固定或裝設接合至在晶圓或面板結構(型式)的TPVS158(如第19K圖所示)上。Alternatively, as shown in FIGS. 19P to 19R are schematic diagrams of a process for manufacturing a POP package according to an embodiment of the present invention, as shown in FIGS. 19P and 19Q, before being separated into a plurality of lower single-layer package logic drivers 300, a plurality of upper The metal studs or bumps 122 of the single-level package logic driver 300 can be fixed or mounted via SMT processes to the TPVS 158 (shown in FIG. 19K ) on a wafer or panel structure (pattern).

接著,如第19Q圖所示,底部填充材料114可填入每一上面的單層封裝邏輯驅動器300與晶圓或面板結構(型式)的TPVS158(如第19K圖所示)之間的間隙中,其中填入底部填充材料114的步驟可被跳過(忽略)。Next, as shown in FIG. 19Q, underfill material 114 may be filled in the gaps between each overlying single-level package logic driver 300 and the TPVS 158 of the wafer or panel structure (type) (shown in FIG. 19K ). , where the step of filling the underfill material 114 can be skipped (ignored).

在下個可選擇的步驟中,如第19Q圖所示,其它如第19L圖中的複數單層封裝邏輯驅動器300的金屬柱或凸塊122使用SMT裝設接合在上面的單層封裝邏輯驅動器300的TPVs158上,然後底部填充材料114可選擇地形成在之間的間隙中,此步驟可重覆數次形成二個或二個以上的單層封裝邏輯驅動器300堆疊在晶圓或面板的結構(型式)的TPVS158(如第19K圖所示)上。In the next optional step, as shown in FIG. 19Q, other metal pillars or bumps 122 of the plurality of single-level package logic drivers 300 as shown in FIG. 19L are bonded to the single-level package logic drivers 300 on top using SMT mounting. On the TPVs 158, and then the underfill material 114 is optionally formed in the gap between, this step can be repeated several times to form a structure in which two or more single-level package logic drivers 300 are stacked on a wafer or panel ( type) on the TPVS158 (shown in Figure 19K).

接著,如第19R圖所示,晶圓或面板的結構(型式)的TPVS158(如第19K圖所示)經由雷射切割或機械切割分離成複數下面的單層封裝邏輯驅動器300,由此,將i個數目的單層封裝邏輯驅動器300堆疊在一起,其中i數目係大於或等於2個、3個、4個、5個、6個、7個或8個,接著,堆疊在一起的單層封裝邏輯驅動器300的最底部的單層封裝邏輯驅動器300的金屬柱或凸塊122可裝設接合在如第19M圖中電路載體或基板110上面的的複數金屬接墊109,電路載體或基板110例如是BGA基板,接著,底部填充材料114可填入電路載體或基板110與最底部的單層封裝邏輯驅動器300之間的間隙中,或者填入電路載體或基板110的步驟可跳過省略。接著,複數銲錫球325可植球在電路載體或基板110的背面,接著,電路載體或基板110可如第19O圖所示,被雷射切割或機械切割分離成複數單獨基板單元113(例如是PCB板、BGA板、軟性電路基板或薄膜,或陶瓷基板),因此可將i個數目的單層封裝邏輯驅動器300堆疊在一單獨基板單元113上,其中i數目係大於或等於2個、3個、4個、5個、6個、7個或8個。Next, as shown in FIG. 19R, the TPVS 158 of the structure (type) of the wafer or panel (as shown in FIG. 19K ) is separated into a plurality of lower single-layer package logic drivers 300 by laser dicing or mechanical dicing, thereby, A number i of single-level package logic drives 300 are stacked together, where the number i is greater than or equal to 2, 3, 4, 5, 6, 7, or 8, and then the stacked single-level package logic drives 300 are stacked together. The metal pillars or bumps 122 of the bottom-most single-level package logic driver 300 of the layer-package logic driver 300 may be mounted on a plurality of metal pads 109 bonded to the circuit carrier or substrate 110 as shown in FIG. 19M, the circuit carrier or substrate 110 is, for example, a BGA substrate, then, underfill material 114 may be filled in the gap between the circuit carrier or substrate 110 and the bottommost single-level package logic driver 300, or the step of filling the circuit carrier or substrate 110 may be skipped and omitted . Next, a plurality of solder balls 325 can be mounted on the backside of the circuit carrier or substrate 110, and then the circuit carrier or substrate 110 can be laser-cut or mechanically cut as shown in FIG. PCB boards, BGA boards, flexible circuit substrates or films, or ceramic substrates), so that i number of single-level package logic drivers 300 can be stacked on a single substrate unit 113, where i number is greater than or equal to 2, 3 1, 4, 5, 6, 7 or 8.

具有TPVS158的單層封裝邏輯驅動器300可在垂直方向堆疊以形成標準型式或標準尺寸的POP封裝,例如,單層封裝邏輯驅動器300可以是正方形或長方形,其具有一定的寬度、長度及厚度,單層封裝邏輯驅動器300的形狀及尺寸具有一工業標準,例如單層封裝邏輯驅動器300的標準形狀為正方形時,其寬度係大於或等於4mm、7mm、10mm、12mm、15mm、20mm、25mm、30mm、35mm或40mm,且其具有的厚度係大於或等於0.03mm、0.05mm、0.1mm、0.3mm、0.5mm、1mm、2mm、3mm、4mm或5mm,或者,單層封裝邏輯驅動器300的標準形狀為長方形時,其寬度係大於或等於3mm、5mm、7mm、10mm、12mm、15mm、20mm、25mm、30mm、35mm或40mm,其長度係大於或等於5mm、7mm、10mm、12mm、15mm、20mm、25mm、30mm、35mm、40mm、40mm或50mm,且其具有的厚度係大於或等於0.03mm、0.05mm、0.1mm、0.3mm、0.5mm、1mm、2mm、3mm、4mm或5mm。The single-level package logic driver 300 with TPVS158 can be stacked vertically to form a standard type or standard size POP package. For example, the single-level package logic driver 300 may be square or rectangular with a certain width, length, and thickness, and a single level package logic driver 300 may be a The shape and size of the LLP logic driver 300 has an industry standard. For example, when the standard shape of the LLP logic driver 300 is a square, its width is greater than or equal to 4mm, 7mm, 10mm, 12mm, 15mm, 20mm, 25mm, 30mm, 35mm or 40mm with a thickness greater than or equal to 0.03mm, 0.05mm, 0.1mm, 0.3mm, 0.5mm, 1mm, 2mm, 3mm, 4mm, or 5mm, or, the standard form factor for single-package logic driver 300 is When rectangular, its width is greater than or equal to 3mm, 5mm, 7mm, 10mm, 12mm, 15mm, 20mm, 25mm, 30mm, 35mm or 40mm, and its length is greater than or equal to 5mm, 7mm, 10mm, 12mm, 15mm, 20mm, 25mm , 30mm, 35mm, 40mm, 40mm or 50mm, and it has a thickness greater than or equal to 0.03mm, 0.05mm, 0.1mm, 0.3mm, 0.5mm, 1mm, 2mm, 3mm, 4mm or 5mm.

具有TPVS的晶片封裝的第三實施例Third Embodiment of Chip Package with TPVS

第28A圖至第28G圖為本發明形成具有TPVS的晶片封裝結構的第三實施例之製程示意圖,如第28A圖所示,提供一暫時基板590(例如是玻璃基板或矽基板)及一犧牲接合層591形成在暫時基板590上,具有該犠牲接合層591的暫時基板590更容易去接合(debonded)或剝離,例如犠牲接合層591可以是光至熱轉換(Light-To-HeatConversion)材質,且經由絲網印刷方式、旋塗方式或膠合黏貼方式形成在暫時基板590上,該LTHC的材質可以是在溶劑混合物中包含炭黑和粘合劑的液體墨水。接著一黏著/阻障層26可經由濺鍍或化學氣相沉積的方式形成在犠牲接合層591上,厚度介於1nm至50nm之間的黏著層26a(例如是鈦或氮化鈦層)位在犠牲接合層591上及一種子層26b(例如是銅)位在黏著層26a上,接著,一光阻層15可形成在黏著/阻障層26之種子層26b上,其中在光阻層15中可形成多個開口15a且每一開口15a可曝露出黏著/阻障層26之種子層26b,接著,可電鍍一銅層158在開口15中且在黏著/阻障層26之種子層26b上,以圖案化成具有圓形的多個銅柱。FIGS. 28A to 28G are schematic diagrams of the process of forming the chip package structure with TPVS according to the third embodiment of the present invention. As shown in FIG. 28A, a temporary substrate 590 (eg, a glass substrate or a silicon substrate) and a sacrificial substrate are provided. The bonding layer 591 is formed on the temporary substrate 590, and the temporary substrate 590 with the bonding layer 591 is easier to be debonded or peeled off. For example, the bonding layer 591 can be made of Light-To-Heat Conversion material, And formed on the temporary substrate 590 by screen printing, spin coating or gluing, the material of the LTHC may be a liquid ink containing carbon black and a binder in a solvent mixture. Next, an adhesion/barrier layer 26 may be formed on the sacrificial bonding layer 591 by sputtering or chemical vapor deposition. The adhesion layer 26a (eg, a titanium or titanium nitride layer) having a thickness between 1 nm and 50 nm is located A photoresist layer 15 may be formed on the seed layer 26b of the adhesion/barrier layer 26 on the adhesive bonding layer 591 and a seed layer 26b (eg, copper) on the adhesion layer 26a, wherein the photoresist layer A plurality of openings 15a may be formed in 15 and each opening 15a may expose the seed layer 26b of the adhesion/barrier layer 26, then a copper layer 158 may be electroplated in the opening 15 and on the seed layer of the adhesion/barrier layer 26 26b, to pattern a plurality of copper pillars having a circular shape.

接著,將光阻層15從黏著/阻障層26之種子層26b上移除,如第28B圖所示。Next, the photoresist layer 15 is removed from the seed layer 26b of the adhesion/barrier layer 26, as shown in FIG. 28B.

接著,如第28C圖所示,提供多個半導體晶片100(圖中僅繪示一個),其中半導體晶片100具有一聚合物層136位在半導體晶片100之主動側上(即位在底部),以及多個金屬接墊、金屬線或連接線108位在聚合物層136中的開口中,其中每一金屬接墊、金屬線或連接線108的底部表面與聚合物層136的底部表面共平面,每一金屬接墊、金屬線或連接線108可包括厚度介於1至10微米的一銅或鋁層,在每一半導體晶片100中,一黏膠層138可形成在聚合物層的底部表面上及在每一金屬接墊、金屬線或連接線108的底部表面上,每一半導體晶片100可經由黏膠層138裝設接合在暫時基板590上,使每一半導體晶片100黏貼在犠牲接合層591上。接著,一聚合物層92可經由旋塗、網版印刷、滴注或灌模方式形成在犠牲接合層591的上表面上、形成在每一半導體晶片100的背面上及形成在每一銅柱158的頂端上,以及形成在介於每二相鄰銅柱158之間的多個間隙及位在每一半導體晶片100與銅柱158之間的多個間隙中,聚合物層92例如是包括聚醯亞胺、苯基環丁烯(BenzoCycloButene(BCB))、聚對二甲苯、以環氧樹脂為基底之材質或化合物、光感性環氧樹脂SU-8、彈性體或矽膠(silicone)。Next, as shown in FIG. 28C, a plurality of semiconductor wafers 100 (only one is shown in the figure) are provided, wherein the semiconductor wafer 100 has a polymer layer 136 on the active side (ie, on the bottom) of the semiconductor wafer 100, and A plurality of metal pads, wires, or connections 108 are located in openings in the polymer layer 136, wherein the bottom surface of each metal pad, wire, or connection 108 is coplanar with the bottom surface of the polymer layer 136, Each metal pad, wire or connection 108 may include a layer of copper or aluminum having a thickness between 1 and 10 microns, and in each semiconductor wafer 100, an adhesive layer 138 may be formed on the bottom surface of the polymer layer On and on the bottom surface of each metal pad, wire or connection line 108, each semiconductor chip 100 can be mounted and bonded to the temporary substrate 590 via the adhesive layer 138, so that each semiconductor chip 100 is adhered to the bonding on layer 591. Next, a polymer layer 92 may be formed on the upper surface of the sacrificial bonding layer 591, on the backside of each semiconductor wafer 100, and on each copper pillar by spin coating, screen printing, dripping, or casting. On top of 158, and formed in gaps between every two adjacent copper pillars 158 and in gaps between each semiconductor wafer 100 and copper pillars 158, polymer layer 92 includes, for example, Polyimide, phenylcyclobutene (BenzoCycloButene (BCB)), parylene, epoxy resin-based material or compound, photosensitive epoxy resin SU-8, elastomer or silicone.

接著,執行CMP、研磨或拋光製程將聚合物層92的一頂部部分及每一半導體晶片100的頂部部分去除,使每一半導體晶片100的背面、每一銅柱158的頂部及聚合物層92的頂部部分平坦化,如第28D圖所示,進而使每一銅柱158的頂端曝露。Next, a CMP, grinding or polishing process is performed to remove a top portion of the polymer layer 92 and a top portion of each semiconductor wafer 100 , so that the backside of each semiconductor wafer 100 , the top of each copper pillar 158 and the polymer layer 92 are removed. The top portion of each copper pillar 158 is planarized, as shown in FIG. 28D , thereby exposing the top of each copper pillar 158 .

接著,暫時基板590可從半導體晶片100、聚合物層92及銅柱158上剝離,例如在此案例中,該犠牲接合層591為LTHC材質而暫時基板590為玻璃材質,產生一雷射光593(例如是具有波長1064nm及輸出功率介於20至50W,且焦點處的光斑直徑為0.3mm之YAG雷射)從暫時基板590的背面穿過暫時基板590至犠牲接合層591,並且以例如8.0m/s的速度掃描該犠牲接合層591,如此該犠牲接合層591可被分解且暫時基板590可以很容易的從犠牲接合層591上分離,接著一黏著剝離帶(未示出)可以貼到犧牲接合層591的保留的底部表面,接著,黏著剝離帶可從垂直穿孔通道(vertical-through-via,VTV)晶圓的正面拉出,以曝露出位在每一銅柱158、每一半導體晶片100下方的黏膠層138及聚合物層92底部表面下方的黏著/種子層26的黏著層26a,接著執行CMP、研磨或拋光製程將黏著/種子層26、黏膠層138及聚合物層92的底部部分移除,以平坦化每一銅柱158的底部、每一半導體晶片100的聚合物層36的底部表面、每一半導體晶片100的每一金屬接墊、金屬線或連接線108的底部及聚合物層92的底部表面,進行使每一銅柱158的底部及每一半導體晶片100的每一金屬接墊、金屬線或連接線108的底部被曝露。Next, the temporary substrate 590 can be peeled off from the semiconductor wafer 100, the polymer layer 92, and the copper pillars 158, eg, in this case, the sacrifice bonding layer 591 is LTHC and the temporary substrate 590 is glass, generating a laser beam 593 ( For example, a YAG laser with a wavelength of 1064 nm, an output power of 20 to 50 W, and a spot diameter of 0.3 mm at the focal point) passes through the temporary substrate 590 from the back of the temporary substrate 590 to the sacrificial bonding layer 591 , and takes, for example, 8.0 m The sacrificial bonding layer 591 is scanned at a speed of /s, so that the sacrificial bonding layer 591 can be disassembled and the temporary substrate 590 can be easily separated from the sacrificial bonding layer 591, and then an adhesive release tape (not shown) can be attached to the sacrificial bonding layer 591 The remaining bottom surface of the bonding layer 591, then, the adhesive release tape can be pulled from the front side of the vertical-through-via (VTV) wafer to expose each semiconductor die on each copper pillar 158. Adhesion layer 26a of adhesive layer 138 below 100 and adhesive/seed layer 26 below the bottom surface of polymer layer 92, followed by a CMP, grinding or polishing process to attach/seed layer 26, adhesive layer 138 and polymer layer 92 The bottom portion of each of the copper pillars 158 is removed to planarize the bottom of each copper pillar 158 , the bottom surface of the polymer layer 36 of each semiconductor die 100 , and the The bottom and bottom surfaces of polymer layer 92 are performed such that the bottom of each copper pillar 158 and the bottom of each metal pad, metal line or connection line 108 of each semiconductor wafer 100 are exposed.

接著,如第28F圖所示,將第28E圖中的封裝結構翻轉,用於邏輯驅動器或裝置的正面/頂部交互連接線結構(toporfrontsideinterconnectionschemeforalogicdriveordevice(TISDorFISD))可被形成在半導體晶片100、銅柱158及聚合物層92的上方,TISD或FISD101可具有一層(或多層)交互連接線金屬層27耦接至每一半導體晶片100的每一金屬接墊、金屬線或連接線108及每一銅柱158,且介於每二相鄰交互連接線金屬層27之間具有一層(或多層)聚合物層42,該聚合物層42位在最底層交互連接線金屬層27的下方或是在最頂層交互連接線金屬層27的上方,其中上面的交互連接線金屬層27可經由聚合物層42(介於上面的交互連接線金屬層27與下面的交互連接線金屬層27之間)中的一開口耦接至下面的交互連接線金屬層27,最底層聚合物層42可介於最底層下面的交互連接線金屬層27與聚合物層92之間及位在最底層交互連接線金屬層27與每一半導體晶片100正面之間,其中在最底層聚合物層42中的每一開口可位在其中之一半導體晶片100的金屬接墊、金屬線或連接線108的上方或位在其中之一銅柱158的上方,每一交互連接線金屬層27可水平延伸橫跨每一半導體晶片100的邊界,最上層交互連接線金屬層27可具有多個金屬接墊分別位在最頂層聚合物層42之對應的開口42a的底部。Next, as shown in FIG. 28F, the package structure in FIG. 28E is turned over, and a front/top interconnect structure (TISDorFISD) for a logical driver or device can be formed on the semiconductor wafer 100, the copper pillars 158 and above polymer layer 92 , TISD or FISD 101 may have one (or more) interconnect metal layer 27 coupled to each metal pad, metal line or connection line 108 and each copper pillar of each semiconductor die 100 158, and there is one (or more) polymer layer 42 between every two adjacent interconnection wire metal layers 27, and the polymer layer 42 is located below the bottommost interconnection wire metal layer 27 or on the topmost layer Above the interconnect wire metal layer 27, wherein the upper interconnect wire metal layer 27 can pass through one of the polymer layers 42 (between the upper interconnect wire metal layer 27 and the lower interconnect wire metal layer 27) The opening is coupled to the underlying interconnect wire metal layer 27, and the bottommost polymer layer 42 may be interposed between the bottommost interconnect wire metal layer 27 and the polymer layer 92 and at the bottommost interconnect wire metal layer 27 and the front side of each semiconductor die 100 , wherein each opening in the bottommost polymer layer 42 may be located over or within a metal pad, wire, or connection line 108 of one of the semiconductor die 100 Above a copper pillar 158 , each interconnect metal layer 27 may extend horizontally across the boundary of each semiconductor chip 100 , and the uppermost interconnect metal layer 27 may have a plurality of metal pads located on the uppermost polymer layer, respectively The bottom of the corresponding opening 42a of the layer 42.

如第28F圖所示,在TISD或FISD101中,每一聚合物層42可以是聚酰亞胺、苯並環丁烯(BCB)、聚對二甲苯、環氧基材料或化合物、光環氧SU-8、彈性體或矽樹脂,此聚合物層42的厚度例如介於0.3µm至30µm之間、介於0.5µm至20µm之間、介於1µm至10µm之間或介於0.5µm至5µm之間,或厚度大於0.3µm,0.5µm,0.7µm,1µm,1.5µm,2µm,3µm或5µm,每一交互連接線金屬層27具有多個金屬線或連接線,每一金屬線或連接線包括:(1)一銅層40,其具有一(或多個)低的部分在聚合物層42中的開口中,其厚度介於0.3µm至20µm之間,及銅層40具有一高的部分在聚合物層42上方,其厚度介於0.3µm至20µm之間,(2)一黏著層28a(例如是厚度介於1nm至50nm之間的鈦層或氮化鈦層)位在每一金屬線或連接線的銅層40之一個(或多個)低的部分的底部及側壁上,以及位在每一屬線或連接線的頂部銅層40的底部上,及(3)一種子層28b(例如銅)介於每一金屬線或連接線之銅層40與黏著層28a之間,其中每一金屬線或連接線之頂部銅層40的側壁沒有被每一金屬線或連接線的黏著層28a所覆蓋,每一交互連接線金屬層27具有多個金屬線或連接線,其厚度例如介於0.3µm至30µm之間、介於0.5µm至20µm之間、介於1µm至10µm之間或介於0.5µm至5µm之間,或厚度大於0.3µm,0.5µm,0.7µm,1µm,1.5µm,2µm,3µm或5µm,及寬度介於0.3µm至30µm之間、介於0.5µm至20µm之間、介於1µm至10µm之間或介於0.5µm至5µm之間,或寬度大於0.3µm,0.5µm,0.7µm,1µm,1.5µm,2µm,3µm或5µm。As shown in Figure 28F, in TISD or FISD 101, each polymer layer 42 may be polyimide, benzocyclobutene (BCB), parylene, epoxy-based materials or compounds, photoepoxy SU-8, elastomer or silicone, the thickness of the polymer layer 42 is, for example, between 0.3 µm and 30 µm, between 0.5 µm and 20 µm, between 1 µm and 10 µm, or between 0.5 µm and 5 µm between, or the thickness is greater than 0.3µm, 0.5µm, 0.7µm, 1µm, 1.5µm, 2µm, 3µm or 5µm, each interconnecting wire metal layer 27 has a plurality of metal wires or connecting wires, each metal wire or connecting wire Including: (1) a copper layer 40 having one (or more) low portions in the openings in the polymer layer 42, the thickness of which is between 0.3µm and 20µm, and the copper layer 40 having a high Partly over the polymer layer 42, which has a thickness between 0.3 µm and 20 µm, (2) an adhesive layer 28a (eg, a titanium layer or a titanium nitride layer having a thickness between 1 nm and 50 nm) is located on each on the bottom and sidewalls of the lower portion(s) of the copper layer(s) 40 of the metal line or connection line, and on the bottom of the top copper layer 40 of each line or connection line, and (3) a seed A layer 28b (eg, copper) is interposed between the copper layer 40 of each wire or connection and the adhesive layer 28a, wherein the sidewalls of the top copper layer 40 of each wire or connection are not covered by each wire or connection. Covered by the adhesive layer 28a, each interconnecting metal layer 27 has a plurality of metal lines or connecting lines, the thickness of which is, for example, between 0.3µm and 30µm, between 0.5µm and 20µm, and between 1µm and 10µm. between 0.5µm and 5µm, or thicknesses greater than 0.3µm, 0.5µm, 0.7µm, 1µm, 1.5µm, 2µm, 3µm or 5µm, and widths between 0.3µm and 30µm and 0.5µm Between 20µm, 1µm to 10µm or 0.5µm to 5µm, or widths greater than 0.3µm, 0.5µm, 0.7µm, 1µm, 1.5µm, 2µm, 3µm or 5µm.

接著,多個金屬凸塊、柱或接墊570以矩陣排列方式形成在TISD或FISD101之最頂層交互連接線金屬層27的金屬接墊上,且位在TISD或FISD101之最頂層聚合物層42中所對應的開口42a中,每一金屬凸塊、柱或接墊570具有數種型式,第一型金屬凸塊、柱或接墊570可包括:(1)具有厚度介於1nm至50nm之間的一黏著層26a(例如是鈦或氮化鈦層)形成在TISD或FISD101之最頂層交互連接線金屬層27上,(2)一種子層26b(例如銅)形成在黏著層26a上,及(3)厚度介於20µm至100µm之間的一銅層32形成在種子層26b上。或者,第二型金屬凸塊、柱或接墊570可包括上述揭露之黏著層26a、種子層26b及銅層32,更可包括一含錫銲料層33(由厚度介於20µm至100µm之間的錫或錫銀合金)在銅層32上。或者,第三型金屬凸塊、柱或接墊570可包括厚度介於3至15µm之間的一金層。Next, a plurality of metal bumps, pillars or pads 570 are formed in a matrix arrangement on the metal pads of the topmost interconnect metal layer 27 of the TISD or FISD101 and located in the topmost polymer layer 42 of the TISD or FISD101 In the corresponding opening 42a, each metal bump, post or pad 570 has several types, and the first type metal bump, post or pad 570 may include: (1) having a thickness between 1 nm and 50 nm An adhesive layer 26a (eg, a titanium or titanium nitride layer) is formed on the topmost interconnect metal layer 27 of the TISD or FISD 101, (2) a sub-layer 26b (eg, copper) is formed on the adhesive layer 26a, and (3) A copper layer 32 having a thickness between 20 µm and 100 µm is formed on the seed layer 26b. Alternatively, the second type metal bumps, pillars or pads 570 may include the above disclosed adhesive layer 26a, seed layer 26b and copper layer 32, and may further include a tin-containing solder layer 33 (with a thickness ranging from 20µm to 100µm) tin or tin-silver alloy) on the copper layer 32. Alternatively, the type 3 metal bumps, pillars or pads 570 may include a gold layer having a thickness between 3 and 15 μm.

接著,第28F圖中的結構可經由雷射切割程序或機械切割的方式切割或分割產生多個如第28G圖中所示單獨的晶片封裝結構550,如第28G圖所示,在晶片封裝結構550中,多個半導體晶片100可經由TISD或FISD101的交互連接線金屬層27相互耦接,在晶片封裝結構550中,每一銅柱158可用作為一封裝穿孔通道(throughpackagevia(TPV)),即聚合物穿孔通道,提供作為垂直連接至TISD或FISD101的交互連接線金屬層27。Next, the structure in FIG. 28F can be diced or diced through a laser dicing process or mechanical dicing to produce a plurality of individual chip package structures 550 as shown in FIG. 28G. As shown in FIG. 28G, the chip package structure In 550, a plurality of semiconductor chips 100 can be coupled to each other through the interconnecting metal layers 27 of TISD or FISD 101. In the chip package structure 550, each copper pillar 158 can be used as a through package via (TPV), that is, Polymer perforated vias, provided as vertical connections to the TISD or FISD 101 interconnect metal layer 27 .

在每一晶片封裝結構550中,每一半導體晶片100可以是標準商業化FPGAIC晶片200、DPIIC晶片410、NVMIC晶片250(例如是NAND快閃晶片或NOR快閃晶片)、專用I/O晶片265、PCIC晶片269(例如是DSP晶片、CPU晶片、GPU晶片、TPU晶片或APU晶片),HBMIC晶片(例如是DRAM晶片321、SRAM晶片、MRAM晶片或RRAM晶片)、專用控制晶片260、專用控制及I/O晶片266、IAC晶片402(例如類比IC晶片、混合模組IC晶片或射頻(RF)IC晶片)、DCIAC晶片267或DCDI/OIAC晶片268排列設置在第11A圖至第11N圖中其中之一種標準商業化邏輯驅動器300中,TISD或FISD101的交互連接線金屬層27可建構如第11A圖至第11N圖中晶片間交互連接線371中的可編程交互連接線361及固定交互連接線364。In each chip package structure 550, each semiconductor chip 100 may be a standard commercial FPGAIC chip 200, a DPIIC chip 410, an NVMIC chip 250 (eg, a NAND flash chip or a NOR flash chip), a dedicated I/O chip 265 , PCIC chip 269 (such as DSP chip, CPU chip, GPU chip, TPU chip or APU chip), HBMIC chip (such as DRAM chip 321, SRAM chip, MRAM chip or RRAM chip), dedicated control chip 260, dedicated control and I/O die 266, IAC die 402 (eg, analog IC die, hybrid module IC die, or radio frequency (RF) IC die), DCIAC die 267, or DCDI/OIAC die 268 are arranged in the arrangement of FIGS. 11A to 11N. In one of the standard commercialized logic drivers 300, the interconnect metal layer 27 of the TISD or FISD 101 can construct programmable interconnect lines 361 and fixed interconnect lines such as the inter-die interconnect lines 371 in FIGS. 11A to 11N. 364.

或者,在每一晶片封裝結構550中,其可以是一單晶片封裝結構或小晶片,即僅一個如第28C圖中的半導體晶片100可提供於其中,其中僅一個半導體晶片100可以是標準商業化FPGAIC晶片200、DPIIC晶片410、NVMIC晶片250(例如是NAND快閃晶片或NOR快閃晶片)、專用I/O晶片265、PCIC晶片269(例如是DSP晶片、CPU晶片、GPU晶片、TPU晶片或APU晶片),HBMIC晶片(例如是DRAM晶片321、SRAM晶片、MRAM晶片或RRAM晶片)、專用控制晶片260、專用控制及I/O晶片266、IAC晶片402(例如類比IC晶片、混合模組IC晶片或射頻(RF)IC晶片)、DCIAC晶片267或DCDI/OIAC晶片268。Alternatively, in each chip package structure 550, it may be a single chip package structure or chiplet, ie only one semiconductor die 100 as in Figure 28C may be provided therein, where only one semiconductor die 100 may be a standard commercial FPGAIC chip 200, DPIIC chip 410, NVMIC chip 250 (eg NAND flash chip or NOR flash chip), dedicated I/O chip 265, PCIC chip 269 (eg DSP chip, CPU chip, GPU chip, TPU chip or APU chip), HBMIC chip (such as DRAM chip 321, SRAM chip, MRAM chip or RRAM chip), dedicated control chip 260, dedicated control and I/O chip 266, IAC chip 402 (such as analog IC chip, hybrid module IC die or radio frequency (RF) IC die), DCIAC die 267 or DCDI/OIAC die 268.

具有TPVS的晶片封裝的第四實施例Fourth Embodiment of Chip Package with TPVS

第28H圖至第28I圖為本發明形成具有TPVS的晶片封裝結構的第四實施例之製程示意圖,如第28H圖所示,在執行第28C圖中CMP、研磨或拋光製程後,一絕緣介電層93可形成在每一半導體晶片100及聚合物層92的背面,在絕緣介電層93中的每一開口93a可垂直地位在銅柱158的上方,即是每一銅柱158的頂部可位在絕緣介電層93中的開口93a之底部,該絕緣介電層93可以是聚酰亞胺、苯並環丁烯(BCB)、聚對二甲苯、環氧基材料或化合物、光環氧SU-8、彈性體或矽樹脂,此聚合物層93的厚度例如介於0.3µm至30µm之間或介於5µm至15µm之間。FIGS. 28H to 28I are schematic diagrams of the process of forming the chip package structure with TPVS according to the fourth embodiment of the present invention. As shown in FIG. 28H, after the CMP, grinding or polishing process in FIG. 28C is performed, an insulating dielectric The electrical layer 93 can be formed on the backside of each semiconductor wafer 100 and the polymer layer 92 , and each opening 93a in the insulating dielectric layer 93 can be positioned vertically above the copper pillars 158 , that is, on top of each copper pillar 158 may be located at the bottom of the opening 93a in the insulating dielectric layer 93, which may be polyimide, benzocyclobutene (BCB), parylene, epoxy-based materials or compounds, light Epoxy SU-8, elastomer or silicone, the thickness of the polymer layer 93 is, for example, between 0.3 μm and 30 μm or between 5 μm and 15 μm.

接著,多個厚度介於1µm至10µm之間金屬接墊或凸塊583可以矩陣型式形成在銅柱158的頂端或在絕緣介電層93的上表面上,每一金屬接墊或凸塊583可以有數種的型式,第一型金屬接墊或凸塊583的厚度介於1µm至10µm之間,該第一型金屬接墊或凸塊583包括:(1)具有厚度介於1nm至50nm之間的一黏著層26a(例如是鈦或氮化鈦層)形成在銅柱158的頂端或在絕緣介電層93的上表面上,(2)一種子層26b(例如銅)形成在黏著層26a上,及(3)厚度介於1µm至10µm之間的一銅層32形成在種子層26b上。或者,第二型金屬凸塊、柱或接墊570可包括上述揭露之黏著層26a、種子層26b及銅層32,更可包括一含錫銲料層33(由厚度介於1µm至10µm之間的錫或錫銀合金)在銅層32上。Next, a plurality of metal pads or bumps 583 with a thickness between 1 μm and 10 μm can be formed on top of the copper pillars 158 or on the upper surface of the insulating dielectric layer 93 in a matrix pattern, each metal pad or bump 583 There can be several types. The thickness of the first type metal pad or bump 583 is between 1 μm and 10 μm. The first type metal pad or bump 583 includes: (1) It has a thickness between 1 nm and 50 nm. An adhesive layer 26a (eg, a titanium or titanium nitride layer) is formed on the top of the copper pillar 158 or on the upper surface of the insulating dielectric layer 93, and (2) a sublayer 26b (eg, copper) is formed on the adhesive layer 26a, and (3) a copper layer 32 having a thickness between 1 µm and 10 µm is formed on the seed layer 26b. Alternatively, the second-type metal bumps, pillars or pads 570 may include the above-disclosed adhesive layer 26a, seed layer 26b and copper layer 32, and may further include a tin-containing solder layer 33 (with a thickness ranging from 1µm to 10µm) tin or tin-silver alloy) on the copper layer 32.

接著,可執行第28E圖至第28G圖中的步驟,以形成如第28I圖中的多個晶片封裝結構550,每一銅柱158作為一封裝穿孔通道(throughpackagevia(TPV)),即聚合物穿孔通道,提供作為TISD或FISD101的交互連接線金屬層27與金屬接墊或凸塊583之間的垂直連接,金屬接墊或凸塊583可排列為矩陣型式,其可包括多個假的金屬接墊或凸塊583a,其沒有連接至任一半導體晶片100,但其具有機械性功能用於後續POP封裝結構,該些假的金屬接墊或凸塊583a形成在絕緣介電層93的底部表面及垂直地位在半導體晶片100的下方,每一假的金屬接墊或凸塊583a可以不連接至任一銅柱158。Next, the steps in FIGS. 28E to 28G are performed to form a plurality of chip package structures 550 as shown in FIG. 28I, each copper pillar 158 serving as a through package via (TPV), that is, a polymer Perforated vias to provide vertical connections between metal layers 27 as interconnects for TISD or FISD 101 and metal pads or bumps 583, which may be arranged in a matrix pattern, which may include multiple dummy metals Pads or bumps 583a, which are not connected to either semiconductor die 100, but have a mechanical function for subsequent POP packaging structures, these dummy metal pads or bumps 583a are formed on the bottom of the insulating dielectric layer 93 Surface and vertical locations are below the semiconductor wafer 100 , and each dummy metal pad or bump 583 a may not be connected to any copper pillar 158 .

具有邏輯驅動器內(或上)的底層交互連接線結構(BottomInterconnectionSchemein,onorofthelogicdrive(BISD))及TPVS的晶片封裝結構實施例Embodiment of chip package structure with bottom layer interconnection structure (Bottom Interconnection Scheme, onor of the logic drive (BISD)) and TPVS in (or on) logical drive

或著,扇出交互連接線技術(FOIT)更可在載體基板90上方進行以製造一底部金屬交互連接線結構在多晶片封裝之單層封裝邏輯驅動器300的背面(BISD),用於如第20A圖至第20X圖中的第一實施例,BISD的說明如以下所示:Alternatively, fan-out interconnect technology (FOIT) may be performed over the carrier substrate 90 to fabricate a bottom metal interconnect structure on the backside (BISD) of a single-level package logic driver 300 in a multi-chip package for use as described in Section 1. The first embodiment in Figures 20A to 20X, the description of BISD is as follows:

第20A圖至第20M圖為本發明實施例形成BISD在載體基板上的製程示意意圖,如第20A所示,一基底絕緣層91包括一氧化矽層、氮化矽層、聚合物層或其組合的絕緣層91可以形成在第18A圖所示的載體基板90上。FIGS. 20A to 20M are schematic diagrams of processes for forming BISD on a carrier substrate according to an embodiment of the present invention. As shown in FIG. 20A, a base insulating layer 91 includes a silicon monoxide layer, a silicon nitride layer, a polymer layer or the like. The combined insulating layer 91 may be formed on the carrier substrate 90 shown in FIG. 18A.

接著,如第20B圖所示,聚合物層97(也就是絕緣介電層)經由旋塗、網版印刷、滴注或灌模的方法形成在基底絕緣層91上,在基底絕緣層91上形成聚合物層97,形成複數開口97a在聚合物層97內曝露基底絕緣層91,聚合物層97可例如可包括聚酰亞胺、苯並環丁烯(BenzoCycloButene(BCB))、聚對二甲苯、環氧樹脂基底材質或化合物、光感性環氧樹脂SU-8、彈性體或矽膠(silicone),聚合物層97可包括有機材質,例如一聚合物或含碳的化合物材質,聚合物層97可係是光感性材質,且可用作光阻層,用於圖案化複數開口97a在其中,且通過後續執行的製程形成複數金屬栓塞的端點部分,聚合物層97可塗佈,通過光罩曝光,接著顯影形成複數開口97a在其中,在聚合物層97中的複數開口97a曝露基底絕緣層91的複數上表面區域,接著聚合物層97(也就是絕緣介電層)在一溫度下固化(硬化),例如溫度係高於或等於100o C、125o C、150o C、175o C、200o C、225o C、250o C、275o C或300o C,聚合物層97在固化後的厚度例如介於2µm至50µm之間、介於3µm至50µm之間、介於3µm至30µm之間、介於3µm至20µm之間或介於3µm至15µm之間,或是厚度大於或等於2µm、3µm、5µm、10µm、20µm或30µm,聚合物層97可添加一些電介質顆粒或玻璃纖維,聚合物層97的材料及其形成方法可以參考聚合物層36的材料及其形成方法,如圖15H所示。Next, as shown in FIG. 20B, a polymer layer 97 (that is, an insulating dielectric layer) is formed on the insulating base layer 91 by spin coating, screen printing, dripping or molding, on the insulating base layer 91 A polymer layer 97 is formed, and a plurality of openings 97a are formed to expose the base insulating layer 91 in the polymer layer 97. The polymer layer 97 may include, for example, polyimide, benzocyclobutene (BenzoCycloButene (BCB)), parylene Toluene, epoxy resin base material or compound, photosensitive epoxy resin SU-8, elastomer or silicone, the polymer layer 97 may include an organic material, such as a polymer or carbon-containing compound material, the polymer layer 97 can be a photosensitive material, and can be used as a photoresist layer for patterning a plurality of openings 97a therein, and forming the end portions of a plurality of metal plugs through subsequent processes, the polymer layer 97 can be coated, through Photomask exposure followed by development to form openings 97a therein, openings 97a in polymer layer 97 exposing upper surface regions of base insulating layer 91, followed by polymer layer 97 (ie, insulating dielectric layer) at a temperature Under curing (hardening), e.g. at a temperature greater than or equal to 100 o C, 125 o C, 150 o C, 175 o C, 200 o C, 225 o C, 250 o C, 275 o C or 300 o C, polymerization The thickness of the object layer 97 after curing is, for example, between 2 μm and 50 μm, between 3 μm and 50 μm, between 3 μm and 30 μm, between 3 μm and 20 μm, or between 3 μm and 15 μm, or The thickness is greater than or equal to 2µm, 3µm, 5µm, 10µm, 20µm or 30µm. Some dielectric particles or glass fibers can be added to the polymer layer 97. The material of the polymer layer 97 and its forming method can refer to the material of the polymer layer 36 and its method. The formation method is shown in Figure 15H.

接著,在聚合物層97上及基底絕緣層91的曝露的複數上表面區域上進行浮凸製程以形成如第20C圖至第20M圖的BISD79,如第20C圖所示,厚度介於0.001μm至0.7μm之間、介於0.01μm至0.5μm之間或介於0.03μm至0.35μm之間的黏著層81可濺鍍在聚合物層97上及在基底絕緣層91上,黏著層81的材質可包括鈦、鈦-鎢合金、氮化鈦、鉻、鈦-鎢合金層、氮化鉭或上述材質的複合物,黏著層81可經由ALD製程、CVD製程或蒸鍍製程形成,例如,黏著層可經由CVD沉積方式形成Ti層或TiN層(其厚度例如係介於1nm至200nm之間或介於5nm至50nm之間)在聚合物層97上及在基底絕緣層91的曝露的複數上表面區域上。Next, a relief process is performed on the polymer layer 97 and the exposed upper surface regions of the base insulating layer 91 to form a BISD 79 as shown in FIG. 20C to FIG. 20M, as shown in FIG. 20C, with a thickness of 0.001 μm Adhesion layer 81 between 0.7 μm, 0.01 μm and 0.5 μm, or between 0.03 μm and 0.35 μm may be sputtered on polymer layer 97 and on base insulating layer 91 . The material can include titanium, titanium-tungsten alloy, titanium nitride, chromium, titanium-tungsten alloy layer, tantalum nitride or a composite of the above materials. The adhesive layer 81 can be formed by an ALD process, a CVD process or an evaporation process, for example, The adhesion layer can be deposited by CVD to form a Ti layer or a TiN layer (the thickness of which is, for example, between 1 nm and 200 nm or between 5 nm and 50 nm) on the polymer layer 97 and the exposed pluralities of the base insulating layer 91 on the upper surface area.

接著,如第20C圖所示,厚度介於0.001μm至1μm之間、介於0.03μm至2μm之間或介於0.05μm至0.5μm之間的電鍍用種子層83濺鍍在黏著層81的整個上表面,或者,電鍍用種子層83可經由原子層(ATOMIC-LAYER-DEPOSITION(ALD))沉積製程、化學氣相沉積(CHEMICALVAPORDEPOSITION(CVD))製程、蒸鍍製程、無電電鍍或物理氣相沉積方式形成。電鍍用種子層83有益於在表面上電鍍形成一金屬層,因此,電鍍用種子層83的材質種類隨著電鍍用種子層83上電鍍的金屬層材質而變化,當一銅層被電鍍在電鍍用種子層83上時,銅金屬則為電鍍用種子層83優先選擇的材質,例如電鍍用種子層83形成在黏著層81上或上方,例如可經由濺鍍或CVD化學沉積一銅種子層(其厚度例如介於3nm至300nm之間或介於10nm至120nm之間)在黏著層81上。Next, as shown in FIG. 20C , a seed layer 83 for electroplating with a thickness between 0.001 μm and 1 μm, between 0.03 μm and 2 μm, or between 0.05 μm and 0.5 μm is sputtered on the adhesive layer 81 . The entire upper surface, alternatively, the seed layer 83 for electroplating can be deposited by atomic layer (ATOMIC-LAYER-DEPOSITION (ALD)) process, chemical vapor deposition (CHEMICAL VAPORDEPOSITION (CVD)) process, evaporation process, electroless plating or physical vapor deposition process formed by deposition. The seed layer 83 for electroplating is beneficial to form a metal layer by electroplating on the surface. Therefore, the material type of the seed layer 83 for electroplating varies with the material of the metal layer electroplated on the seed layer 83 for electroplating. When using the seed layer 83, copper metal is the preferred material for the seed layer 83 for electroplating. For example, the seed layer 83 for electroplating is formed on or above the adhesive layer 81. For example, a copper seed layer can be chemically deposited by sputtering or CVD ( Its thickness is, for example, between 3 nm and 300 nm or between 10 nm and 120 nm) on the adhesive layer 81 .

如第20D圖所示,厚度介於5μm至50μm之間的光阻層75(例如是正型光阻層)經旋轉塗佈或壓合方式形成在電鍍用種子層83上,光阻層75經由曝光、顯影等製程形成複數溝槽或複數開孔75A在光阻層75內並曝露電鍍用種子層83,用1X步進器,具有波長範圍介於434至438nm的G-Line、波長範圍介於403至407nm的H-Line及波長範圍介於363至367nm的I-Line的其中至少二種光線的1X接觸式對準器或雷射掃描器可用於照光在光阻層75上而曝光光阻層75,也就是G-Line及H-Line、G-Line及I-Line、H-Line及I-Line或G-Line、H-Line及I-Line照在光阻層75上,然後顯影曝露的光阻層75,然後使用氧氣離子(O2 plasma)或含氟離子在2000PPM及氧,並移除殘留在電鍍用種子層83的聚合物材質或其它污染物,使得光阻層75可被圖案化而形成複數溝槽或複數開孔75a,在光阻層96內並曝露黏著/種子層94的電鍍用種子層,經由後續要執行的步驟(製程)以形成金屬接墊、金屬線或連接線在溝槽或複數開孔75a內及在電鍍用種子層83上,位在光阻層75內其中之一溝槽或複數開孔75a可與聚合物層97內複數溝槽或複數開孔75a的面積重疊。As shown in FIG. 20D, a photoresist layer 75 (for example, a positive type photoresist layer) having a thickness between 5 μm and 50 μm is formed on the electroplating seed layer 83 by spin coating or pressing. Processes such as exposure, development, etc., form a plurality of trenches or a plurality of openings 75A in the photoresist layer 75 and expose the seed layer 83 for electroplating, using a 1X stepper, with a G-Line with a wavelength range of 434 to 438nm, and a wavelength range of intermediate A 1X contact aligner or a laser scanner with at least two of the H-Line at 403 to 407 nm and the I-Line at the wavelength range of 363 to 367 nm can be used to illuminate the photoresist layer 75 to expose the light The photoresist layer 75, that is, G-Line and H-Line, G-Line and I-Line, H-Line and I-Line or G-Line, H-Line and I-Line are illuminated on the photoresist layer 75, and then The exposed photoresist layer 75 is developed, and then oxygen ions (O 2 plasma) or fluoride ions are used at 2000 PPM and oxygen, and the polymer material or other contaminants remaining in the plating seed layer 83 are removed, so that the photoresist layer 75 is Can be patterned to form a plurality of trenches or a plurality of openings 75a, in the photoresist layer 96 and exposing the seed layer for electroplating of the adhesion/seed layer 94, through subsequent steps (processes) to be performed to form metal pads, metal Lines or connecting lines are in the trenches or openings 75a and on the plating seed layer 83, and one of the trenches or openings 75a in the photoresist layer 75 may be connected with the trenches or openings 75a in the polymer layer 97. The areas of the plurality of openings 75a overlap.

接著,如第20E圖所示,金屬層85(例如銅)電鍍形成在溝槽或複數開孔75A曝露的電鍍用種子層83(由銅材質所製成)上,例如,金屬層85可經由電鍍厚度介於5µm至80µm之間、介於5µm至50µm之間、介於5µm至40µm之間、介於5µm至30µm之間、介於3µm至20µm之間、介於3µm至15µm之間或介於3µm至10µm之間。Next, as shown in FIG. 20E, a metal layer 85 (eg, copper) is electroplated on the plating seed layer 83 (made of copper) exposed by the trenches or the plurality of openings 75A. Plating thickness between 5µm to 80µm, 5µm to 50µm, 5µm to 40µm, 5µm to 30µm, 3µm to 20µm, 3µm to 15µm or Between 3µm and 10µm.

接著,如第20F圖所示,形成金屬層85之後,大部分的光阻層75可被移除,接著沒有在金屬層85下方的黏著層81及電鍍用種子層83被蝕刻去除,其中移除及蝕刻的製程可分別參考如第15F圖中所揭露之移除光阻層30及蝕刻電鍍用種子層28及黏著層26的製程,因此,黏著層81、電鍍用種子層83及電鍍的金屬層85可被圖案化以形成交互連接線金屬層77在聚合物層97上及在聚合物層97內的複數開口94a內,交互連接線金屬層77形成具有複數金屬栓塞77a內聚合物層97的複數絕緣層a內及複數金屬接墊、金屬線或連接線77b在聚合物層97上。Next, as shown in FIG. 20F, after the metal layer 85 is formed, most of the photoresist layer 75 can be removed, and then the adhesive layer 81 and the electroplating seed layer 83 that are not under the metal layer 85 are etched and removed. The process of removing and etching can refer to the process of removing the photoresist layer 30 and etching the plating seed layer 28 and the adhesion layer 26 as disclosed in FIG. 15F, respectively. Therefore, the adhesion layer 81, the plating seed layer 83 and the electroplating Metal layer 85 may be patterned to form interconnect metal layer 77 on polymer layer 97 and within openings 94a in polymer layer 97, interconnect metal layer 77 forming metal plugs 77a within polymer layer The plurality of insulating layers a of 97 and the plurality of metal pads, metal lines or connecting lines 77b are on the polymer layer 97 .

接著,如第20G圖所示,聚合物層87(也就是絕緣或金屬間介電層層)形成在聚合物層97、金屬層85及在聚合物層87的複數開口87a內交互連接線金屬層77的連接點上,聚合物層87的厚度介於3μm至30μm之間或介於5μm至15μm之間,聚合物層87可添加一些電介質顆粒或玻璃纖維,聚合物層87的材質及其形成方法可以參考第18D圖或第15H圖中所示的聚合物層97或聚合物層36的材質及其形成方法。Next, as shown in FIG. 20G , a polymer layer 87 (ie, an insulating or intermetal dielectric layer) is formed on the polymer layer 97 , the metal layer 85 , and the interconnecting wire metal in the plurality of openings 87 a of the polymer layer 87 . On the connection point of the layer 77, the thickness of the polymer layer 87 is between 3 μm and 30 μm or between 5 μm and 15 μm, and some dielectric particles or glass fibers can be added to the polymer layer 87. For the formation method, reference may be made to the material of the polymer layer 97 or the polymer layer 36 and the formation method thereof shown in Fig. 18D or Fig. 15H.

第20C圖至第20F圖揭露交互連接線金屬層77形成的製程,與聚合物層104形成的製程可多次交替的執行以製造形成如第20H圖至第20L圖中的BISD79,如第20L圖所示,BISD79包括一上層複數交互連接線金屬層77,此上層複數交互連接線金屬層77具有在聚合物層87的複數開口87a內的複數金屬栓塞77a及在聚合物層87上的複數金屬接墊、金屬線或連接線77b,上層複數交互連接線金屬層77可通過在聚合物層87複數開口87a內的上層光阻層118中的金屬栓塞77a連接至下層複數交互連接線金屬層77,289可包括最底端的複數交互連接線金屬層77,其中複數交互連接線金屬層77具有在聚合物層97複數開口97a內的金屬栓塞77a及在聚合物層97上複數金屬接墊、金屬線或連接線77b。FIGS. 20C to 20F disclose the process of forming the interconnect metal layer 77, and the process of forming the polymer layer 104 can be alternately performed multiple times to manufacture the BISD 79 as shown in FIGS. 20H to 20L, such as the BISD 79 in FIGS. 20H to 20L. As shown, the BISD 79 includes an upper multiple interconnect metal layer 77 having multiple metal plugs 77 a in the plurality of openings 87 a of the polymer layer 87 and a plurality of metal plugs 77 a on the polymer layer 87 Metal pads, metal lines or connecting lines 77b, the upper multiple interconnecting line metal layer 77 can be connected to the lower multiple interconnecting line metal layer through metal plugs 77a in the upper photoresist layer 118 within the plurality of openings 87a of the polymer layer 87 77, 289 may include a bottommost plurality of interconnection wire metal layers 77, wherein the plurality of interconnection wire metal layers 77 have metal plugs 77a within the plurality of openings 97a of the polymer layer 97 and a plurality of metal pads on the polymer layer 97, Metal wire or connecting wire 77b.

如20L圖所示,一最頂端複數交互連接線金屬層77可被一最頂端的聚合物層87覆蓋在最頂端的聚合物層87內的複數開口87a位在半導體晶片100之間的間隙,且在接續的製程裝設接合在聚合物層87上,其中聚合物層87排列位在單獨單層封裝邏輯驅動器300的周邊區域以接續的製程完成設置排列,其中環繞半導體晶片100的每一周邊區域係裝設接合在一單層封裝邏輯驅動器300的中間區域,最頂端的聚合物層87在固化之後且在後續研磨製程之前的厚度t9係介於3µm至30µm之間或介於5µm至15µm之間。As shown in FIG. 20L, a topmost metal layer 77 of interconnecting lines can be covered by a topmost polymer layer 87. A plurality of openings 87a in the topmost polymer layer 87 are located in the gaps between the semiconductor wafers 100, And in a subsequent process, the polymer layer 87 is arranged on the polymer layer 87, wherein the polymer layer 87 is arranged in the peripheral area of the single-layer packaged logic driver 300 in a subsequent process to complete the arrangement and arrangement, wherein each periphery of the semiconductor chip 100 is surrounded. The region is mounted and bonded to the middle region of a single-layer packaged logic driver 300, and the topmost polymer layer 87 after curing and before the subsequent polishing process has a thickness t9 between 3µm and 30µm or between 5µm and 15µm between.

接著,如第20M圖所示,進行一CMP製程、機械研磨製程平坦化最頂端的聚合物層87的上表面及最頂端BISD79的上表面,最頂端的聚合物層87平坦化後的厚度t10介於3µm至30µm之間或介於5µm至15µm之間,因此,BISD79可包括1層至6層或2層至5層的複數交互連接線金屬層77。Next, as shown in FIG. 20M, a CMP process and a mechanical polishing process are performed to planarize the upper surface of the topmost polymer layer 87 and the top surface of the topmost BISD 79, and the planarized thickness t10 of the topmost polymer layer 87 Between 3 µm and 30 µm or between 5 µm and 15 µm, the BISD 79 can therefore include a plurality of interconnecting wire metal layers 77 from 1 to 6 or 2 to 5 layers.

如第20M圖所示,BISD79的每一複數交互連接線金屬層77在聚合物層87及聚合物層97上,每一複數交互連接線金屬層77的厚度例如係介於0.3µm至40µm之間、介於0.5µm至30µm之間、介於1µm至20µm之間、介於1µm至15µm之間、介於1µm至10µm之間或介於0.5µm至5µm之間,或厚度大於或等於0.3µm、0.7µm、1µm、2µm、3µm、5µm、7µm或10µm,BISD79的複數交互連接線金屬層77的線寬例如係介於0.3µm至40µm之間、介於0.5µm至30µm之間、介於1µm至20µm之間、介於1µm至15µm之間、介於1µm至10µm之間或介於0.5µm至5µm之間,或厚度大於或等於0.3µm、0.7µm、1µm、2µm、3µm、5µm、7µm或10µm,在二相鄰複數交互連接線金屬層77之間的每一聚合物層87厚度介於0.3µm介於50µm之間、介於0.5µm至30µm之間、介於1µm至20µm之間、介於1µm至15µm之間、介於1µm至10µm之間或介於0.5µm至5µm之間,或厚度大於或等於0.3µm、0.7µm、1µm、1.5µm、2µm、3µm或5µm,在聚合物層87一開口87a內的複數交互連接線金屬層77的金屬栓塞77A的厚度或高度介於3µm至50µm之間、3µm至30µm之間、3µm至20µm之間、3µm至15µm之間或厚度高於或等於3µm、5µm、10µm、20µm或30µm。As shown in FIG. 20M, each of the plurality of interconnecting wire metal layers 77 of the BISD 79 is on the polymer layer 87 and the polymer layer 97, and the thickness of each plurality of interconnecting wire metal layers 77 is, for example, between 0.3 μm and 40 μm. , 0.5µm to 30µm, 1µm to 20µm, 1µm to 15µm, 1µm to 10µm, or 0.5µm to 5µm, or thickness greater than or equal to 0.3 µm, 0.7µm, 1µm, 2µm, 3µm, 5µm, 7µm or 10µm, the line width of the metal layer 77 of the multiple interconnection lines of the BISD79 is, for example, between 0.3µm and 40µm, between 0.5µm and 30µm, and between 0.5µm and 30µm. 1µm to 20µm, 1µm to 15µm, 1µm to 10µm, or 0.5µm to 5µm, or thicknesses greater than or equal to 0.3µm, 0.7µm, 1µm, 2µm, 3µm, 5µm , 7µm or 10µm, the thickness of each polymer layer 87 between two adjacent plural interconnecting metal layers 77 is between 0.3µm and 50µm, between 0.5µm and 30µm, between 1µm and 20µm between, 1µm to 15µm, 1µm to 10µm, or 0.5µm to 5µm, or thickness greater than or equal to 0.3µm, 0.7µm, 1µm, 1.5µm, 2µm, 3µm or 5µm, The thickness or height of the metal plugs 77A of the metal layer 77 of the plurality of interconnecting lines in the polymer layer 87 and an opening 87a is between 3 μm and 50 μm, between 3 μm and 30 μm, between 3 μm and 20 μm, and between 3 μm and 15 μm. or thickness greater than or equal to 3µm, 5µm, 10µm, 20µm or 30µm.

如第20N圖為本發明實施例一金屬平面之上視圖,如第20M圖及20N圖所示,複數交互連接線金屬層77可包括金屬平面77c及金屬平面77d分別用作為電源供應的電源平面或接地平面,其中金屬平面77c及金屬平面77d的厚度例如係介於5µm介於50µm之間、介於5µm至30µm之間、介於5µm至20µm之間或介於5µm至15µm之間,或厚度大於或等於5µm、10µm、20µm或30µm,每一金屬平面77c及金屬平面77d可被佈置設計成交錯或交叉型式,例如可佈置設計成叉形(forkshape)的型式,也就是每一金屬平面77c及金屬平面77d具有複數平行延伸部分及一橫向連接部分連接該些水平延伸部分,一金屬平面77c及一金屬平面77d的水平延伸部分可排列在二相鄰其它一金屬平面77c及一金屬平面77d的水平延伸部分之間,或者,一複數交互連接線金屬層77可包含一金屬平面用作為散熱器,其厚度例如5µm介於50µm之間、介於5µm至30µm之間、介於5µm至20µm之間或介於5µm至15µm之間,或厚度大於或等於5µm、10µm、20µm或30µm。FIG. 20N is a top view of a metal plane according to an embodiment of the present invention. As shown in FIGS. 20M and 20N, the metal layers 77 of the plurality of interconnecting lines may include a metal plane 77c and a metal plane 77d respectively used as power planes for power supply. or a ground plane, wherein the thickness of metal plane 77c and metal plane 77d is, for example, between 5µm and 50µm, between 5µm and 30µm, between 5µm and 20µm, or between 5µm and 15µm, or The thickness is greater than or equal to 5µm, 10µm, 20µm or 30µm, and each metal plane 77c and metal plane 77d can be arranged in a staggered or crossed pattern, for example, can be arranged in a fork shape, that is, each metal plane 77c and the metal plane 77d have a plurality of parallel extending portions and a horizontal connecting portion connecting the horizontally extending portions, and the horizontally extending portions of a metal plane 77c and a metal plane 77d can be arranged on two adjacent other metal planes 77c and a metal plane Between the horizontally extending portions of 77d, alternatively, a plurality of interconnecting wire metal layers 77 may include a metal plane used as a heat sink, the thickness of which is, for example, between 5 µm and 50 µm, between 5 µm and 30 µm, between 5 µm and 5 µm. Between 20µm or 5µm to 15µm, or thickness greater than or equal to 5µm, 10µm, 20µm or 30µm.

接著,如第20O圖至第20R圖所示,在BISD79上進行如第19O圖至第19F圖之浮凸製程以形成TPV,如第20O圖至第20R圖為本發明實施例形成複數TPV在BISD上的製程剖面示意圖,如第20O圖所示,厚度介於0.001μm至0.7μm之間、介於0.01μm至0.5μm之間或介於0.03μm至0.35μm之間的黏著層140a濺鍍在最頂端聚合物層87上及位在最頂端聚合物層87複數開口87a底部最頂端的複數交互連接線金屬層77上,黏著層140a的材質可包含鈦、鈦-鎢合金、氮化鈦、鉻、鈦-鎢合金層、氮化鉭或上述材質的複合物,黏著層140a可經由ALD製程、CVD製程或蒸鍍製程形成,例如,黏著層140a可經由濺鍍或CVD沉積一Ti層或TiN層在最頂端聚合物層87上及位在最頂端聚合物層87複數開口87a底部最頂端的複數交互連接線金屬層77(厚度例如介於1nm至200nm或介於5nm至50nm之間)上。Next, as shown in FIGS. 20O to 20R, the embossing process as shown in FIGS. 19O to 19F is performed on the BISD79 to form TPV. A schematic cross-sectional view of the process on the BISD, as shown in FIG. 200, the adhesion layer 140a with a thickness between 0.001 μm and 0.7 μm, between 0.01 μm and 0.5 μm, or between 0.03 μm and 0.35 μm is sputtered On the topmost polymer layer 87 and on the multiple interconnecting wire metal layers 77 located at the topmost bottom of the plurality of openings 87a in the topmost polymer layer 87, the material of the adhesive layer 140a may include titanium, titanium-tungsten alloy, titanium nitride , chromium, titanium-tungsten alloy layer, tantalum nitride or a composite of the above materials, the adhesion layer 140a can be formed by ALD process, CVD process or evaporation process, for example, the adhesion layer 140a can be deposited by sputtering or CVD A Ti layer Or the TiN layer is on the topmost polymer layer 87 and the plurality of interconnecting wire metal layers 77 (thickness, for example, between 1 nm and 200 nm or between 5 nm and 50 nm) located at the top of the topmost polymer layer 87 at the bottom of the plurality of openings 87a. )superior.

接著,如第20O圖所示,厚度介於0.001μm至1μm之間、介於0.03μm至2μm之間或介於0.05μm至0.5μm之間的電鍍用種子層140b濺鍍在電鍍用種子層140b的整個上表面,或者,電鍍用種子層140b可經由原子層(ATOMIC-LAYER-DEPOSITION(ALD))沉積製程、化學氣相沉積(CHEMICALVAPORDEPOSITION(CVD))製程、蒸鍍製程、無電電鍍或物理氣相沉積方式形成。電鍍用種子層140b有益於在表面上電鍍形成一金屬層,因此,電鍍用種子層140b的材質種類隨著電鍍用種子層140b上電鍍的金屬層材質而變化,當一銅層被電鍍在電鍍用種子層140b上時,銅金屬則為電鍍用種子層140b優先選擇的材質,例如當電鍍用種子層140b形成在黏著層140a上或上方時,可經由濺鍍或CVD化學沉積一銅種子層(其厚度例如介於3nm至300nm之間或介於10nm至120nm之間)在黏著層140a上,黏著層140a及電鍍用種子層140b可組成黏著/種子層140。Next, as shown in FIG. 200 , a plating seed layer 140b having a thickness between 0.001 μm and 1 μm, between 0.03 μm and 2 μm, or between 0.05 μm and 0.5 μm is sputtered on the plating seed layer. The entire upper surface of 140b, alternatively, the seed layer 140b for electroplating can be deposited through atomic layer (ATOMIC-LAYER-DEPOSITION (ALD)) process, chemical vapor deposition (CHEMICAL VAPORDEPOSITION (CVD)) process, evaporation process, electroless plating or physical formed by vapor deposition. The electroplating seed layer 140b is beneficial to form a metal layer by electroplating on the surface. Therefore, the material type of the electroplating seed layer 140b varies with the material of the metal layer electroplated on the electroplating seed layer 140b. When a copper layer is electroplated in the electroplating When using the seed layer 140b, copper metal is the preferred material for the electroplating seed layer 140b. For example, when the electroplating seed layer 140b is formed on or above the adhesion layer 140a, a copper seed layer can be chemically deposited by sputtering or CVD. (The thickness is, for example, between 3 nm and 300 nm or between 10 nm and 120 nm) On the adhesive layer 140 a, the adhesive layer 140 a and the seed layer 140 b for electroplating can constitute the adhesive/seed layer 140 .

接著,如第24P圖所示,厚度介於5μm至500μm之間的光阻層142(例如是正型光阻層)經旋轉塗佈或壓合方式形成在黏著/種子層140的電鍍用種子層140b上,光阻層142經由曝光、顯影等製程形成複數開口142a在光阻層142內並曝露黏著/種子層140的電鍍用種子層140b,用1X步進器,具有波長範圍介於434至438nm的G-Line、波長範圍介於403至407nm的H-Line及波長範圍介於363至367nm的I-Line的其中至少二種光線的1X接觸式對準器或雷射掃描器可用於照光在光阻層142上而曝光光阻層142,也就是波長範圍介於434至438nm的G-Line、波長範圍介於403至407nm的H-Line及波長範圍介於363至367nm的I-Line的其中至少二種光線照在光阻層142上,然後顯影曝露的光阻層142,然後使用氧氣離子(O2 plasma)或含氟離子在2000PPM及氧,並移除殘留在光阻層142的聚合物材質或其它污染物,使得光阻層142可被圖案化而形成複數開口142a在電鍍用種子層140b內並曝露黏著/種子層140的電鍍用種子層140b,在光阻層142內的每一開口142a與最頂端聚合物層87內開口87A重疊,及延伸在最頂端聚合物層87內一開口87A至環繞在最頂端聚合物層87內一開口87A的一區域或環形區域,其中聚合物層87的環形區域具有一寬度介於1µm至15µm之間、介於1µm至10µm之間或介於1µm至5µm之間。Next, as shown in FIG. 24P, a photoresist layer 142 (eg, a positive-type photoresist layer) having a thickness between 5 μm and 500 μm is spin-coated or pressed to form a seed layer for electroplating on the adhesion/seed layer 140 On the photoresist layer 140b, the photoresist layer 142 is exposed, developed and other processes to form a plurality of openings 142a in the photoresist layer 142 and expose the seed layer 140b for electroplating of the adhesion/seed layer 140, using a 1X stepper, with a wavelength range of 434 to 1X contact aligner or laser scanner for at least two of the G-Line at 438nm, H-Line with wavelengths ranging from 403 to 407nm, and I-Line with wavelengths ranging from 363 to 367nm can be used for illumination The photoresist layer 142 is exposed on the photoresist layer 142, that is, the G-Line with a wavelength range of 434-438 nm, an H-Line with a wavelength range of 403-407 nm, and an I-Line with a wavelength range of 363-367 nm wherein at least two kinds of light are irradiated on the photoresist layer 142, then the exposed photoresist layer 142 is developed, and then oxygen ions (O 2 plasma) or fluorine-containing ions are used at 2000PPM and oxygen, and the remaining photoresist layer 142 is removed of polymer material or other contaminants such that the photoresist layer 142 can be patterned to form a plurality of openings 142a in the electroplating seed layer 140b and exposing the electroplating seed layer 140b of the adhesion/seed layer 140 in the photoresist layer 142 Each of the openings 142a overlaps with an opening 87A in the topmost polymer layer 87 and extends from an opening 87A in the topmost polymer layer 87 to a region or annular region surrounding an opening 87A in the topmost polymer layer 87, The annular region of the polymer layer 87 has a width between 1 μm and 15 μm, between 1 μm and 10 μm, or between 1 μm and 5 μm.

如第20P圖所示,開口142A位在半導體晶片100之間的複數間隙,且在後續的製程以裝設接合在BISD79的最頂端聚合物層87上,其中聚合物層87排列位在單層封裝邏輯驅動器300的周邊區域以接續的製程完成設置排列,其中環繞半導體晶片100的每一周邊區域係裝設接合在一單層封裝邏輯驅動器300的中間區域。As shown in FIG. 20P, the openings 142A are located in the plurality of gaps between the semiconductor wafers 100, and are mounted and bonded on the topmost polymer layer 87 of the BISD 79 in a subsequent process, wherein the polymer layer 87 is arranged in a single layer The peripheral regions of the packaged logic driver 300 are arranged and arranged in successive processes, wherein each peripheral region surrounding the semiconductor chip 100 is mounted and bonded to the middle region of a single-layer packaged logic driver 300 .

如第20Q圖所示,厚度介於5µm至300µm之間、介於5µm至300之間、介於5µm至200µm之間、介於5µm至150µm之間、介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間的一銅層144電鍍在開口142A曝露的黏著/種子層140的電鍍用種子層140b上。,As shown in Figure 20Q, thicknesses are between 5µm and 300µm, between 5µm and 300µm, between 5µm and 200µm, between 5µm and 150µm, between 5µm and 120µm, between A copper layer 144 between 10 µm and 100 µm, between 10 µm and 60 µm, between 10 µm and 40 µm, or between 10 µm and 30 µm electroplating seed layer for electroplating of adhesion/seed layer 140 exposed in opening 142A 140b. ,

如第20R圖所示,銅層144形成之後,大部分的光阻層142可被移除,接著沒有在銅層144下方的電鍍用種子層140b及黏著層140a被蝕刻去除,其中移除及蝕刻的製程可分別參考如第15F圖中所揭露之移除光阻層30及蝕刻電鍍用種子層28及黏著層26的製程,因此,黏著/種子層140及電鍍的銅層144可被圖案化以形成複數TPVS158在最頂端的複數交互連接線金屬層77上及環繞在最頂端聚合物層87內開口87A的最頂端聚合物層87上。As shown in FIG. 20R, after the copper layer 144 is formed, most of the photoresist layer 142 can be removed, and then the plating seed layer 140b and the adhesion layer 140a that are not under the copper layer 144 are etched away, wherein the removal and The etching process can refer to the process of removing the photoresist layer 30 and etching the plating seed layer 28 and the adhesion layer 26 as disclosed in FIG. 15F, respectively, so that the adhesion/seed layer 140 and the electroplated copper layer 144 can be patterned Processed to form a plurality of TPVS 158 on the topmost plurality of interconnecting wire metal layers 77 and on the topmost polymer layer 87 surrounding the opening 87A in the topmost polymer layer 87 .

如第21A圖為本發明實施例TPVS的上視圖,由虛線包圍的區域53具有半導體晶片100可裝設接合,如第21A圖所示,TPVS158位在半導體晶片100之間的複數間隙,且在後續的製程以裝設接合在BISD79的最頂端聚合物層87上,其中聚合物層87排列位在單層封裝邏輯驅動器300的周邊區域以接續的製程完成設置排列,其中環繞半導體晶片100的每一周邊區域係裝設接合在一單層封裝邏輯驅動器300的中間區域。As shown in FIG. 21A, which is a top view of the TPVS according to the embodiment of the present invention, the area 53 surrounded by the dotted line has semiconductor wafers 100 that can be mounted and bonded. As shown in FIG. 21A, the TPVS 158 is located in the plural gaps between the semiconductor wafers 100, and is The subsequent process is to install and bond on the topmost polymer layer 87 of the BISD 79 , wherein the polymer layer 87 is arranged in the peripheral area of the single-layer package logic driver 300 to complete the arrangement and arrangement in the subsequent process, wherein each surrounding the semiconductor chip 100 is arranged and arranged. A peripheral area is mounted and bonded to the middle area of a single-level package logic driver 300 .

如第20R圖所示,每一TPVs158從BISD79的聚合物層87的上表面凸出一高度介於5µm至300µm之間、介於5µm至200µm之間、介於5µm至150µm之間、介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間,或是高度大於或等於50µm、30µm、20µm、15µm或5µm,剖面圖中具有一最大尺寸(例如圓形的直徑、正方形或長方形的對角線)介於5µm至300µm之間、介於5µm至200µm之間、介於5µm至150µm之間、介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間,或尺寸是大於或等於150µm、100µm、60µm、50µm、40µm、30µm、20µm、15µm或10µm,二相鄰之TPVs158具有一空間(間距)尺寸介於5µm至300µm之間、介於5µm至200µm之間、介於5µm至150µm之間、介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間,或尺寸是大於或等於150µm、100µm、60µm、50µm、40µm、30µm、20µm、15µm或10µm。As shown in Figure 20R, each TPVs 158 protrudes from the upper surface of the polymer layer 87 of the BISD 79 by a height between 5µm and 300µm, between 5µm and 200µm, between 5µm and 150µm, and between 5µm to 120µm, 10µm to 100µm, 10µm to 60µm, 10µm to 40µm, or 10µm to 30µm, or heights greater than or equal to 50µm, 30µm, 20µm, 15µm or 5µm, with a maximum dimension in cross-section (e.g. diameter of a circle, diagonal of a square or rectangle) between 5µm and 300µm, between 5µm and 200µm, between 5µm and 150µm, 5µm to 120µm, 10µm to 100µm, 10µm to 60µm, 10µm to 40µm, or 10µm to 30µm, or dimensions greater than or equal to 150µm, 100µm, 60µm, 50µm, 40µm, 30µm, 20µm, 15µm or 10µm, two adjacent TPVs158 have a space (spacing) dimension between 5µm to 300µm, 5µm to 200µm, 5µm to 150µm, 5µm to 120µm, 10µm to 100µm, 10µm to 60µm, 10µm to 40µm, or 10µm to 30µm, or dimensions greater than or equal to 150µm, 100µm, 60µm, 50µm, 40µm, 30µm, 20µm, 15µm or 10µm.

接著,接續的FOIT的步驟如第20S圖至第20V圖所示,可參考如第18A圖所至第18R圖所示的FOIT的步驟,對於在第18A圖至第18R圖及第20S圖至第20V圖中所示的相同元件號碼表示相同的元件,所以在第20S圖至第20V圖相同的元件號碼的元件的製程及說明可參照第18A圖至第18R圖所揭露的說明。Next, the subsequent FOIT steps are shown in Figures 20S to 20V. You can refer to the FOIT steps shown in Figures 18A to 18R. For the steps in Figures 18A to 18R and Figure 20S to The same component numbers shown in Figure 20V represent the same components, so the process and description of the components with the same component numbers in Figures 20S to 20V can refer to the descriptions disclosed in Figures 18A to 18R.

如第20S圖所示,黏著材料88形成在最頂端聚合物層97的複數區域上,接著如第15G圖、第15H圖、第16I圖至第16L圖及第17圖中所示的半導體晶片100的背面黏著黏著材料88而接合在聚合物層97上。As shown in FIG. 20S, an adhesive material 88 is formed on the plurality of regions of the topmost polymer layer 97, followed by the semiconductor wafer shown in FIGS. 15G, 15H, 16I to 16L, and 17. The backside of 100 is bonded to polymer layer 97 by adhesive material 88 .

如第20T圖所示,厚度t7介於250μm至1000μm之間的聚合物層92設置(經由塗佈、印刷及灌模的方式)在一聚合物層87上或上方及在半導體晶片100上或上方至至一水平:(i)填入半導體晶片100之間的間隙;(ii)覆蓋半導體晶片100的上表面;(iii)填入半導體晶片100的微型凸塊或金屬柱34之間的間隙;(iv)覆蓋半導體晶片100的微型凸塊或金屬柱34的上表面;(v)填入TPVs158之間的間隙;及(vi)覆蓋TPVs158。As shown in FIG. 20T, a polymer layer 92 having a thickness t7 between 250 μm and 1000 μm is disposed (by coating, printing and molding) on or over a polymer layer 87 and on the semiconductor wafer 100 or From above to a level: (i) filling the gaps between the semiconductor wafers 100 ; (ii) covering the upper surface of the semiconductor wafers 100 ; (iii) filling the gaps between the micro bumps or metal pillars 34 of the semiconductor wafer 100 (iv) covering the upper surface of the micro bumps or metal pillars 34 of the semiconductor wafer 100; (v) filling the gaps between the TPVs 158; and (vi) covering the TPVs 158.

如第20UI圖所示,聚合物層92例如經由機械研磨的方式從正面研磨至露出每一微型凸塊或金屬柱34的正面(上表面)及TPVS158的正面(上表面),及平坦化聚合物層92的正面,或者,聚合物層92可經由CMP製程研磨,當聚合物層92進行研磨時,每一微型凸塊或金屬柱34都有一前端部分被允許移除,而在研磨後,聚合物層92的厚度t8係介於250μm至800μm之間。As shown in FIG. 20UI, the polymer layer 92 is ground from the front side to expose the front side (upper surface) of each micro-bump or metal post 34 and the front side (upper surface) of the TPVS 158 by, for example, mechanical polishing, and planarization polymerization The front side of the material layer 92, alternatively, the polymer layer 92 may be polished by a CMP process. When the polymer layer 92 is polished, a front end portion of each microbump or metal post 34 is allowed to be removed, and after polishing, The thickness t8 of the polymer layer 92 is between 250 μm and 800 μm.

接著,如第20V圖所示,如第18D圖至第18N圖所示的TISD101可經由晶圓或面板的製程形成在聚合物層92的正面上或上方,及在微型凸塊或金屬柱34及在TPVS158的正面上或上方,由此,交互連接線金屬層99及聚合物層93及聚合物層104位在聚合物層92的正面上或上方及在微型凸塊或金屬柱34及在TPVS158的正面上或上方,每一交互連接線金屬層99包含組成黏著/種子層94的黏著層(在此可參考光阻層142)及種子層(在此可參考電路載體或基板110),每一交互連接線金屬層99包含金屬層98在黏著/種子層94上,接著如第18O圖至第18R圖所示的金屬柱或凸塊122可形成在最頂端聚合物層104複數開口104a底部TISD101的最頂端交互連接線金屬層99上。Next, as shown in FIG. 20V, the TISD 101 shown in FIGS. 18D-18N may be formed on or over the front side of the polymer layer 92, and on the micro bumps or metal pillars 34 through wafer or panel processing, as shown in FIG. 20V. and on or over the front side of TPVS 158, whereby interconnect metal layer 99 and polymer layer 93 and polymer layer 104 are on or over the front side of polymer layer 92 and on microbumps or metal pillars 34 and on On or over the front side of TPVS 158, each interconnect metal layer 99 includes an adhesion layer (refer to photoresist layer 142 herein) and a seed layer (refer to circuit carrier or substrate 110 herein) that make up adhesion/seed layer 94, Each interconnect metal layer 99 includes a metal layer 98 on the attach/seed layer 94, and then metal pillars or bumps 122 as shown in FIGS. 18O-18R can be formed in the topmost polymer layer 104 with a plurality of openings 104a The topmost interconnection wire metal layer 99 of the bottom TISD101.

接著,如第20W圖所示,載體基板90、基底絕緣層91及聚合物層97的底部經由機械研磨或CMP製程移除,形成如第20W圖的結構使BISD79最底端的聚合物層87及聚合物層97複數開口97a內的BISD79之最底端的複數交互連接線金屬層77的金屬栓塞77a露出,其中BISD79最底端一複數交互連接線金屬層77的金屬栓塞77a具有一銅層曝露在其背面77e,或者,如第20U圖中研磨聚合物層92之後及在形成TISD101的聚合物層93、載體基板90、基底絕緣層91及聚合物層97的底部之前經由械研磨或CMP製程移除,以使BISD79最底端的聚合物層87及聚合物層97複數開口97a內的BISD79之最底端的複數交互連接線金屬層77的金屬栓塞77a露出,其中BISD79最底端一複數交互連接線金屬層77的金屬栓塞77a具有一銅層曝露在其背面77e,且佈局作為複數金屬接墊在一矩陣中。Next, as shown in FIG. 20W, the bottoms of the carrier substrate 90, the base insulating layer 91 and the polymer layer 97 are removed by mechanical polishing or CMP process to form the structure as shown in FIG. 20W so that the bottommost polymer layer 87 and In the plurality of openings 97a of the polymer layer 97, the metal plugs 77a of the plurality of interconnecting wire metal layers 77 at the bottom of the BISD 79 are exposed, wherein the metal plug 77a of the plurality of interconnecting wire metal layers 77 at the bottommost end of the BISD 79 has a copper layer exposed. Its back side 77e, alternatively, after grinding the polymer layer 92 in FIG. 20U and before forming the polymer layer 93 of the TISD 101, the carrier substrate 90, the base insulating layer 91 and the bottom of the polymer layer 97, is removed by a mechanical grinding or CMP process. Divide, so that the polymer layer 87 at the bottom of the BISD 79 and the metal plugs 77 a of the metal layers 77 at the bottom of the BISD 79 in the polymer layer 87 and the plurality of openings 97 a of the polymer layer 97 are exposed, wherein the bottom of the BISD 79 is a plurality of interconnect lines. The metal plug 77a of the metal layer 77 has a copper layer exposed on its backside 77e and is arranged as a plurality of metal pads in a matrix.

如第20W圖所示,在移除載體基板90、基底絕緣層91及聚合物層97底部之後,第20W圖的封裝結構可經由雷射切割或機械切割製程切割分離成複數單獨的晶片封裝(也就是單層封裝邏輯驅動器300)如第20X圖所示。As shown in FIG. 20W, after removing the carrier substrate 90, the base insulating layer 91 and the bottom of the polymer layer 97, the package structure of FIG. 20W can be cut and separated into a plurality of individual chip packages ( That is, the single-level encapsulation logic driver 300) is shown in FIG. 20X.

或者,在第20W圖的步驟後,可以網版印刷或植球接合的方式形成複數銲錫凸塊583在第20W圖所揭露的封裝結構中BISD79的複數連接接墊77e上,然後經由如第20Y圖的一迴銲製程形成銲錫凸塊583。銲錫凸塊583的材質可以是無铅銲錫,其包括含錫合金、銅金屬、銀金屬、鉍金屬、銦金屬、鋅金屬、銻金屬或其他金屬,例如此無铅銲錫可包括錫-銀-銅(SAC)銲錫、錫-銀銲錫或錫-銀-銅-鋅銲錫,其中之一銲錫凸塊583可用作連接或耦接單層封裝邏輯驅動器300的一半導體晶片100(如第11A圖至第11N圖中的專用I/O晶片265)依序經由TISD101的交互連接線金屬層99之一微型凸塊54連接至單層封裝邏輯驅動器300以外的複數外界電路或元件,每一銲錫凸塊583具有從BISD79背部表面起一高度,其高度介於5µm至150µm之間、介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間、介於10µm至30µm之間,或大於、高於或等於75µm、50µm、30µm、20µm、15µm或10µm,每一銲錫凸塊583具有剖面視圖中最大直徑(例如是圓形的直徑或方形或長方形的對角線)例如係介於5µm至200µm之間、介於5µm至150µm之間、介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間、介於10µm至30µm之間,或大於或等於100µm、60µm、50µm、40µm、30µm、20µm、15µm或10µm,最相近銲錫凸塊583之間的最小空間(間隙)例如係介於5µm至150µm之間、介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間,或大於或等於60µm、50µm、40µm、30µm、20µm、15µm或10µm,複數銲錫凸塊的剖面視圖中最大直徑(例如是圓形的直徑或方形或長方形的對角線)例如係介於5µm至200µm之間、介於5µm至150µm之間、介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間、介於10µm至30µm之間,或大於或等於100µm、60µm、50µm、40µm、30µm、20µm、15µm或10µm,最相近銲錫凸塊之間的最小空間(間隙)例如係介於5µm至150µm之間、介於5µm至120µm之間、介於10µm至100µm之間、介於10µm至60µm之間、介於10µm至40µm之間或介於10µm至30µm之間,或大於或等於60µm、50µm、40µm、30µm、20µm、15µm或10µm。Alternatively, after the step of FIG. 20W, a plurality of solder bumps 583 may be formed on the plurality of connection pads 77e of the BISD 79 in the package structure disclosed in FIG. 20W by screen printing or ball bonding, and then through the process as shown in FIG. The solder bumps 583 are formed in a reflow process of FIG. The material of the solder bumps 583 can be lead-free solder, which includes tin-containing alloy, copper metal, silver metal, bismuth metal, indium metal, zinc metal, antimony metal or other metals. For example, the lead-free solder can include tin-silver- Copper (SAC) solder, tin-silver solder, or tin-silver-copper-zinc solder, one of the solder bumps 583 may be used to connect or couple a semiconductor chip 100 of the single-level package logic driver 300 (as shown in FIG. 11A ). The dedicated I/O chip 265 in Figure 11N) is sequentially connected to a plurality of external circuits or components other than the single-level package logic driver 300 through one of the micro-bumps 54 of the interconnect metal layer 99 of the TISD101, each solder bump Block 583 has a height from the back surface of BISD79 between 5µm to 150µm, 5µm to 120µm, 10µm to 100µm, 10µm to 60µm, 10µm to 40µm Between, between 10µm and 30µm, or greater than, greater than or equal to 75µm, 50µm, 30µm, 20µm, 15µm, or 10µm, each solder bump 583 has the largest diameter in cross-section view (eg, the diameter of a circle or diagonal of a square or rectangle) e.g. between 5µm and 200µm, between 5µm and 150µm, between 5µm and 120µm, between 10µm and 100µm, between 10µm and 60µm, Between 10µm and 40µm, between 10µm and 30µm, or greater than or equal to 100µm, 60µm, 50µm, 40µm, 30µm, 20µm, 15µm or 10µm, the minimum space (gap) between the closest solder bumps 583 For example, between 5µm and 150µm, between 5µm and 120µm, between 10µm and 100µm, between 10µm and 60µm, between 10µm and 40µm, or between 10µm and 30µm, or greater than or equal to 60µm, 50µm, 40µm, 30µm, 20µm, 15µm or 10µm, the maximum diameter of the cross-sectional view of the plurality of solder bumps (for example, the diameter of a circle or the diagonal of a square or rectangle), for example, between 5µm to 200µm, 5µm to 150µm, 5µm to 120µm, 10µm to 100µm, 10µm to 60µm, 10µm to 40µm, 10µm to 30µm , or greater than or equal to 100µm, 60µm, 50µm, 40µm, 30µm, 20µm, 15µm or 10µm, the minimum space (gap) between the nearest solder bumps is, for example, between 5µm and 150µm, between 5µm and 120µm between, 10µm to 100µm, 10µm to 60µm, 10µm to 40µm, or 10µm to 30µm between µm, or greater than or equal to 60µm, 50µm, 40µm, 30µm, 20µm, 15µm or 10µm.

接著,如第20Y圖中的封裝結構經由雷射或機械切割製程切割分離成如第20Z圖所示的複數單獨晶片封裝結構(也就是單層封裝邏輯驅動器300)。Next, the package structure shown in FIG. 20Y is cut and separated into a plurality of individual chip package structures (ie, the single-layer packaged logic driver 300 ) as shown in FIG. 20Z through a laser or mechanical dicing process.

可編程的TPVs、金屬接墊及複數金屬柱或凸塊Programmable TPVs, metal pads and multiple metal pillars or bumps

如第20X圖及第19L圖所示,一TPVS158可經在一或複數DPIIC晶片410內的一或複數記憶體單元379編程,其中一或複數記憶體單元379可控制如第3A圖至第3C圖及第9圖中分布在一或複數DPIIC晶片410中的一或複數交叉點開關379的開啓或關閉(或通過或不通過),以形成從其中之一TPVS158至第11A圖至第11N圖中單層封裝邏輯驅動器300內任一複數商業化標準FPGAIC晶片200、複數專用I/O晶片265、複數DRAM晶片321、複數處理IC晶片及複數計算IC晶片269、專用控制晶片260、專用控制晶片及專用I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268的訊號通道,通過由TISD101及(或)BISD79提供的晶片內交互連接線371之一或複數可編程交互連接線361,因此,TPVS158可被編程。As shown in Figures 20X and 19L, a TPVS 158 can be programmed by one or more memory cells 379 within one or more DPIIC chips 410, wherein one or more memory cells 379 can be controlled as shown in Figures 3A-3C Fig. 9 and Fig. 9 turn on or off (or pass or fail) of one or more cross point switches 379 distributed in one or more DPIIC wafers 410 to form one of TPVS 158 through Fig. 11A through Fig. 11N Any of a plurality of commercial standard FPGA IC chips 200 , a plurality of dedicated I/O chips 265 , a plurality of DRAM chips 321 , a plurality of processing IC chips and a plurality of computing IC chips 269 , a dedicated control chip 260 , a dedicated control chip in the single-layer package logic driver 300 and dedicated I/O chip 266, DCIAC chip 267 or DCDI/OIAC chip 268 signal channel, through one of the on-chip interconnect lines 371 provided by TISD101 and/or BISD79 or a plurality of programmable interconnect lines 361, therefore, TPVS158 can be programmed.

另外,如第20X圖及第19L圖所示,其中之一金屬柱或凸塊122可經由在一或複數的複數DPIIC晶片410內的一或複數記憶體單元379編程,其中一或複數記憶體單元379可控制如第8A圖至第8C圖及第9圖中分布在一或複數DPIIC晶片410中的一或複數交叉點開關379的開啓或關閉(或通過或不通過),以形成從其中之一金屬柱或凸塊122至第11A圖至第11N圖中單層封裝邏輯驅動器300內任一複數商業化標準FPGAIC晶片200、複數專用I/O晶片265、複數DRAM晶片321、複數處理IC晶片及複數計算IC晶片269、專用控制晶片260、專用控制晶片及專用I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268的訊號通道,通過由TISD101及(或)BISD79提供的晶片內交互連接線371之一或複數可編程交互連接線361,因此,金屬柱或凸塊122可被編程。In addition, as shown in FIGS. 20X and 19L, one of the metal pillars or bumps 122 may be programmed through one or more memory cells 379 in one or more DPIIC chips 410, wherein one or more memory cells 379 The unit 379 can control the opening or closing (or pass or fail) of one or more cross-point switches 379 distributed in one or more DPIIC chips 410 as shown in FIGS. 8A-8C and 9 to form a A metal pillar or bump 122 to any of a plurality of commercial standard FPGA IC chips 200, a plurality of dedicated I/O chips 265, a plurality of DRAM chips 321, a plurality of processing ICs within the single-level package logic driver 300 in Figures 11A to 11N Signal channels of chip and complex computing IC chip 269, dedicated control chip 260, dedicated control chip and dedicated I/O chip 266, DCIAC chip 267 or DCDI/OIAC chip 268, through intra-chip interaction provided by TISD101 and/or BISD79 One of the connecting lines 371 or a plurality of programmable interconnecting connecting lines 361, so that the metal pillars or bumps 122 can be programmed.

如第20X圖所示,一金屬接墊77e可經在一或複數DPIIC晶片410內的一或複數記憶體單元379編程,其中一或複數記憶體單元379可控制如第8A圖至第8C圖及第9圖中分布在一或複數DPIIC晶片410中的一或複數交叉點開關379的開啓或關閉(或通過或不通過),以形成從其中之一金屬接墊77e至第11A圖至第11N圖中單層封裝邏輯驅動器300內任一複數商業化標準FPGAIC晶片200、複數專用I/O晶片265、複數DRAM晶片321、複數處理IC晶片及複數計算IC晶片269、專用控制晶片260、專用控制晶片及專用I/O晶片266、DCIAC晶片267或DCDI/OIAC晶片268的訊號通道,通過由TISD101及(或)BISD79提供的晶片內交互連接線371之一或複數可編程交互連接線361,因此,金屬接墊77e可被編程。As shown in FIG. 20X, a metal pad 77e can be programmed by one or more memory cells 379 within one or more DPIIC chips 410, wherein one or more memory cells 379 can be controlled as shown in FIGS. 8A-8C and the opening or closing (or pass or fail) of one or more cross-point switches 379 distributed in one or more DPIIC chips 410 in FIG. 9 to form a metal pad 77e from one of them to FIG. 11A to the first Any of a plurality of commercial standard FPGA IC chips 200, a plurality of special-purpose I/O chips 265, a plurality of DRAM chips 321, a plurality of processing IC chips and a plurality of computing IC chips 269, a special-purpose control chip 260, a special-purpose control chip 260, a plurality of special-purpose control chips The signal channel of the control chip and the dedicated I/O chip 266, the DCIAC chip 267 or the DCDI/OIAC chip 268, through one of the on-chip interconnection lines 371 provided by TISD101 and/or BISD79 or a plurality of programmable interconnection lines 361, Therefore, the metal pads 77e can be programmed.

具有TISD及BISD的邏輯驅動器之交互連接線Interconnect cables for logical drives with TISD and BISD

第21B圖至第21G圖為本發明實施例各種在單層封裝邏輯驅動器內的交互連接線網之剖面示意圖。21B to 21G are schematic cross-sectional views of various interconnection nets in a single-level package logic driver according to an embodiment of the present invention.

如第21D圖所示,TISD101的交互連接線金屬層99可連接一或複數金屬柱或凸塊122至一半導體晶片100,及連接半導體晶片100至另一半導體晶片100,對於第一種情況,TISD101的交互連接線金屬層99及交互連接線金屬層77、BISD79及TPVS158可組成一第一交互連接線網411並連接複數金屬柱或凸塊122至每一金屬柱或凸塊122或是其它的一金屬柱或凸塊122,及連接複數半導體晶片100至每一半導體晶片100或是其它的一半導體晶片100,及連接複數金屬接墊77e至每一金屬接墊77e或是其它的一金屬接墊77e,該些複數金屬柱或凸塊122、該些半導體晶片100及該些金屬接墊77e可經由第一交互連接線網411連接在一起,第一交互連接線網411可以是訊號匯流排(bus)用於傳送複數訊號、或是電源或接地平面或匯流排用於傳送電源或接地電源。As shown in FIG. 21D, the interconnect metal layer 99 of the TISD 101 can connect one or more metal posts or bumps 122 to one semiconductor chip 100 and connect the semiconductor chip 100 to another semiconductor chip 100. For the first case, The interconnecting wire metal layer 99 and interconnecting wire metal layer 77, BISD79 and TPVS158 of TISD101 can form a first interconnecting wire net 411 and connect a plurality of metal pillars or bumps 122 to each metal pillar or bump 122 or other a metal post or bump 122, and connect the plurality of semiconductor chips 100 to each semiconductor chip 100 or another one of the semiconductor chips 100, and connect the plurality of metal pads 77e to each metal pad 77e or another metal The pads 77e, the plurality of metal pillars or bumps 122, the semiconductor chips 100 and the metal pads 77e can be connected together via the first interconnection net 411, which can be a signal bus A bus is used to transmit multiple signals, or a power or ground plane or a bus is used to transmit power or ground power.

如第21B圖所示,對於第二種情況,TISD101的交互連接線金屬層99可組成第二交互連接線網412連接複數金屬柱或凸塊122至每一金屬柱或凸塊122或是其它的一金屬柱或凸塊122,及連接一半導體晶片100的複數微金屬柱或凸塊34至每一微金屬柱或凸塊34或是其它的一微金屬柱或凸塊34,該些金屬柱或凸塊122及該些微金屬柱或凸塊34可經由第二交互連接線網412連接在一起,第二交互連接線網412可以是訊號匯流排(bus)用於傳送複數訊號、或是電源或接地平面或匯流排用於傳送電源或接地電源。As shown in FIG. 21B, for the second case, the interconnection wire metal layer 99 of the TISD101 can form a second interconnection wire mesh 412 to connect a plurality of metal pillars or bumps 122 to each metal pillar or bump 122 or other a metal stud or bump 122, and a plurality of micro-metal studs or bumps 34 of a semiconductor chip 100 are connected to each micro-metal stud or bump 34 or another micro-metal stud or bump 34, the metal The pillars or bumps 122 and the micro-metal pillars or bumps 34 can be connected together via a second interconnecting wire net 412, which can be a signal bus for transmitting multiple signals, or Power or ground planes or bus bars are used to carry power or ground power.

如第21B圖及第21C圖,對於第三種情況,TISD101的交互連接線金屬層99可組成第三交互連接線網413連接其中之一金屬柱或凸塊122至一半導體晶片100中的一微金屬柱或凸塊34,第三交互連接線網413可以是訊號匯流排(bus)用於傳送複數訊號、或是電源或接地平面或匯流排用於傳送電源或接地電源。As shown in FIGS. 21B and 21C , for the third case, the interconnecting wire metal layer 99 of the TISD 101 can form a third interconnecting wire mesh 413 to connect one of the metal posts or bumps 122 to one of the semiconductor chips 100 The micro-metal pillars or bumps 34 and the third interconnecting wire mesh 413 can be signal buses for transmitting multiple signals, or power or ground planes or bus bars for transmitting power or ground power.

如第21C圖所示,對於第四種情況,TISD101的交互連接線金屬層99可組成第四交互連接線網414不連接至單層封裝邏輯驅動器300的任一金屬柱或凸塊122,但連接至複數半導體晶片100至每一半導體晶片100或是其它的一半導體晶片100,第四交互連接線網414可以是用於訊號傳輸的晶片內交互連接線371的一可編程交互連接線361。As shown in FIG. 21C, for the fourth case, the interconnect metal layer 99 of the TISD 101 may form the fourth interconnect interconnect network 414 that is not connected to any metal post or bump 122 of the single-level package logic driver 300, but Connected to the plurality of semiconductor chips 100 to each semiconductor chip 100 or to another semiconductor chip 100, the fourth interconnection net 414 may be a programmable interconnection line 361 of the in-chip interconnection lines 371 for signal transmission.

如第21F圖所示,對於第五種情況,TISD101的交互連接線金屬層99可組成第五交互連接線網415不連接至單層封裝邏輯驅動器300的任一金屬柱或凸塊122,但連接一半導體元件4的複數微金屬柱或凸塊34至每一微金屬柱或凸塊34或是其它的一微金屬柱或凸塊34,第五交互連接線網415可以是訊號匯流排(bus)或連接線用於傳送複數訊號、或是電源或接地匯流排用於傳送電源或接地電源。As shown in FIG. 21F, for the fifth case, the interconnect metal layer 99 of the TISD 101 may form a fifth interconnect interconnect network 415 that is not connected to any metal post or bump 122 of the single-level package logic driver 300, but A plurality of micro-metal posts or bumps 34 of a semiconductor device 4 are connected to each micro-metal post or bump 34 or another micro-metal post or bump 34, and the fifth interconnecting wire net 415 may be a signal bus bar ( bus) or connecting lines are used to transmit multiple signals, or power or ground bus bars are used to transmit power or ground power.

如第21C圖、第21D圖及第21F圖所示,BISD79的複數交互連接線金屬層77可通過TPVS158連接至TISD101的交互連接線金屬層99,例如,在一第一群組中BISD79的每一金屬接墊77e可依序通過BISD79的複數交互連接線金屬層77、一或複數TPVS158及TISD101的交互連接線金屬層99連接至一半導體晶片100,此連接方式由第21C圖中一第六交互連接線網416提供,及由如第21D圖中一第七交互連接線網417提供,及由第21F圖中第八交互連接線網418或第九交互連接線網419提供。另外在第一群組內的其中一金屬接墊77e更依序通過BISD79的複數交互連接線金屬層77、一或複數TPVS158及TISD101的交互連接線金屬層99連接至一或複數金屬柱或凸塊122,此連接方式由第一交互連接線網411、第六交互連接線網416、第七交互連接線網417及第八交互連接線網418提供,或者,在第一群組內的複數金屬接墊77e可通過BISD79的複數交互連接線金屬層77及一或複數金屬柱或凸塊122連接至一或其它的金屬接墊77e,並依序通過BISD79的複數交互連接線金屬層77、一或複數TPVs158及TISD101的交互連接線金屬層99進行連接,其中在第一群組內的複數金屬接墊77e可被分成一或複數第一次群組在一半導體晶片100的背面下方,及一或複數第二次群組在另一半導體晶片100的背面下方,此連接方式由第一交互連接線網411及第八交互連接線網418提供,或者,在第一群組內的一或複數金屬接墊77e不連接至單層封裝邏輯驅動器300的任一金屬柱或凸塊122,此連接由第九交互連接線網419提供。As shown in Figures 21C, 21D, and 21F, the plurality of interconnect metal layers 77 of BISD 79 can be connected to the interconnect metal layers 99 of TISD 101 through TPVS 158, eg, each of the BISD 79 in a first group A metal pad 77e can be sequentially connected to a semiconductor chip 100 through a plurality of interconnection wire metal layers 77 of BISD79, one or a plurality of interconnection wire metal layers 99 of TPVS158 and TISD101. Interconnection net 416 is provided, and is provided by a seventh interconnection net 417 as shown in Fig. 21D, and is provided by an eighth interconnection net 418 or a ninth interconnection net 419 in Fig. 21F. In addition, one of the metal pads 77e in the first group is further sequentially connected to one or more metal pillars or bumps through the plurality of interconnecting wire metal layers 77 of BISD79, one or more interconnecting wire metal layers 99 of TPVS158 and TISD101 Block 122, the connection is provided by the first cross-connection net 411, the sixth cross-connection net 416, the seventh cross-connection net 417, and the eighth cross-connection net 418, or a plurality of numbers within the first group The metal pads 77e can be connected to one or other metal pads 77e through the plurality of interconnecting wire metal layers 77 and one or more metal pillars or bumps 122 of the BISD79, and are sequentially passed through the plurality of interconnecting wire metal layers 77, One or more TPVs 158 and TISD 101 are interconnected by interconnecting wire metal layers 99, wherein the plurality of metal pads 77e in the first group can be divided into one or more first subgroups under the backside of a semiconductor chip 100, and One or more second subgroups are under the backside of the other semiconductor chip 100, and the connection is provided by the first interconnection net 411 and the eighth interconnection net 418, or, one or more interconnected nets in the first group. The plurality of metal pads 77e are not connected to any of the metal pillars or bumps 122 of the single level package logic driver 300 , the connection being provided by the ninth interconnection net 419 .

如第21B圖、第21D圖及第21E圖所示,在第二群組的BISD79的每一金屬接墊77e可不連接至單層封裝邏輯驅動器300的任一複數交互連接線金屬層77,但依序通過BISD79的複數交互連接線金屬層77、一或複數TPVs158及TISD101的交互連接線金屬層99連接至一或複數金屬柱或凸塊122,此連接方式由第21B圖中一第十交互連接線420提供、由第21D圖中第十一交互連接線421提供及由第21E圖中第十二交互連接線422提供,或者,在第二群組內BISD79的複數金屬接墊77E可不連接單層封裝邏輯驅動器300中任一半導體晶片100,但通過BISD79的複數交互連接線金屬層77連接至一或其它的金屬接墊77e,及依序通過BISD79的複數交互連接線金屬層77、一或複數TPVs158及TISD101的交互連接線金屬層99連接至一或複數金屬柱或凸塊122,其中在第二群組的該些複數金屬接墊77e可分成一第一次群組在一半導體晶片100背面下方及一第二次群組在另一半導體晶片100背面下方,此連接方式由第21E圖中第十二交互連接線422提供。As shown in FIGS. 21B, 21D, and 21E, each metal pad 77e of the BISD 79 in the second group may not be connected to any of the multiple interconnect metal layers 77 of the single-level package logic driver 300, but The plurality of interconnecting metal layers 77 of BISD79, one or more TPVs158, and the interconnecting metal layers 99 of TISD101 are sequentially connected to one or more metal pillars or bumps 122. This connection method is defined by a tenth interconnection in FIG. 21B. The connection line 420 is provided by the eleventh interconnection line 421 in FIG. 21D and the twelfth interconnection line 422 in FIG. 21E. Alternatively, the plurality of metal pads 77E of the BISD 79 in the second group may not be connected Any one of the semiconductor chips 100 in the single-layer package logic driver 300, but connected to one or other metal pads 77e through the plurality of interconnection wire metal layers 77 of BISD79, and sequentially through the plurality of interconnection wire metal layers 77 of BISD79, a The interconnecting wire metal layers 99 of or TPVs 158 and TISD 101 are connected to one or more metal pillars or bumps 122, wherein the plurality of metal pads 77e in the second group can be divided into a first subgroup on a semiconductor chip Below the backside of the semiconductor chip 100 and a second subgroup is below the backside of the other semiconductor chip 100, and this connection is provided by the twelfth interconnection line 422 in FIG. 21E.

如第21G圖所示,在BISD79內一複數交互連接線金屬層77可包括如第20N圖中電源供應的電源平面77c及接地平面77d,第21H圖為第21G圖的底視圖,顯示本發明實施例內邏輯驅動器的複數金屬接墊的佈局,如第21H圖所示,金屬接墊77E可佈局成一矩陣型式在單層封裝邏輯驅動器300的背面,一些金屬接墊77E可與半導體晶片100垂直對齊,第一群組金屬接墊77E排列成矩陣在晶片封裝(也就是單層封裝邏輯驅動器300)的背部表面的中間區域,及一第二群組金屬接墊77E排列成矩陣在晶片封裝(也就是單層封裝邏輯驅動器300)背部表面的周邊區域環繞著中間區域。在第一群組內超過90%或80%的金屬接墊77E可用於電源提供或接地參考,在第二群組內超過50%或60%的金屬接墊77E可用於訊號傳輸,第二群組的金屬接墊77E可沿著晶片封裝(也就是單層封裝邏輯驅動器300)的邊緣排列一或複數環,例如是1、2、3、4、5或6個環,其中在第二群組金屬接墊77E的間距可小於在第一群組金屬接墊77E的間距。As shown in FIG. 21G, a plurality of interconnecting wire metal layers 77 in the BISD 79 may include a power plane 77c and a ground plane 77d for power supply as shown in FIG. 20N, and FIG. 21H is a bottom view of FIG. 21G, showing the present invention The layout of the plurality of metal pads of the logic driver in the embodiment, as shown in FIG. 21H, the metal pads 77E can be laid out in a matrix pattern on the backside of the single-level package logic driver 300, and some metal pads 77E can be perpendicular to the semiconductor chip 100 Aligned, a first group of metal pads 77E are arranged in a matrix in the middle area of the back surface of the chip package (ie, the single-level package logic driver 300 ), and a second group of metal pads 77E are arranged in a matrix in the chip package ( That is, the peripheral area of the back surface of the single-level package logic driver 300) surrounds the middle area. More than 90% or 80% of the metal pads 77E in the first group can be used for power supply or ground reference, and more than 50% or 60% of the metal pads 77E in the second group can be used for signal transmission. The set of metal pads 77E may be arranged in one or more rings, such as 1, 2, 3, 4, 5, or 6 rings, along the edge of the chip package (ie, the single-level package logic driver 300), with the second group of The pitch of the set of metal pads 77E may be smaller than the pitch of the first set of metal pads 77E.

或者,如第21G圖所示,例如在最底端的一BISD79的複數交互連接線金屬層77可包括一散熱平面用於散熱及一或複數TPVS158可作為散熱金屬栓塞形成在該散熱平面上。Alternatively, as shown in FIG. 21G, a plurality of interconnect metal layers 77 such as a BISD 79 at the bottom end may include a heat dissipation plane for heat dissipation and one or more TPVS 158 may be formed on the heat dissipation plane as heat dissipation metal plugs.

具有TISD及BISD的驅動器之POP封裝POP packaging for drives with TISD and BISD

第22A圖至第22F圖為本發明實施例製造一POP封裝製程示意圖,如第22A圖所示,當上面的單層封裝邏輯驅動器300(如第20X圖所示)裝設接合至在下面的單層封裝邏輯驅動器300(如第20X圖所示),下面的單層封裝邏輯驅動器300b具有的BISD79通過由上面的單層封裝邏輯驅動器300提供的金屬柱或凸塊122耦接至上面的單層封裝邏輯驅動器300的TISD101,POP封裝製造的製程如以下所示:FIGS. 22A to 22F are schematic diagrams of a manufacturing process of a POP package according to an embodiment of the present invention. As shown in FIG. 22A, when the upper single-level package logic driver 300 (as shown in FIG. 20X ) is assembled and bonded to the lower Single-level package logic driver 300 (shown in FIG. 20X ), the lower single-level package logic driver 300b has BISD 79 coupled to the upper single-level package logic driver 300 via metal posts or bumps 122 provided by the upper single-level package logic driver 300 . The TISD101 of the layer-packaged logic driver 300, the manufacturing process of the POP package is as follows:

首先,如第22A圖所示,複數下面的單層封裝邏輯驅動器300(圖中只顯示1個)本身的金屬柱或凸塊122裝設接合至電路載體或基板110位在頂端的複數金屬接墊109,例如PCB基板、BGA基板、軟性電路基板(或薄膜)或陶瓷電路基板,底部填充材料114可填入電路載體或基板110之間的間隙及與單層封裝邏輯驅動器300底部之間的間隙,或者,填入底部填充材料114的步驟可以被跳過。接著,表面貼裝技術(surface-mounttechnology,SMT)可分別地用於裝設接合複數上面的單層封裝邏輯驅動器300(圖中只顯示一個)裝設接合至下面的單層封裝邏輯驅動器300,銲錫、銲膏或助銲劑112可以係先印刷在下面單層封裝邏輯驅動器300的BISD79之金屬接墊77E上。First, as shown in FIG. 22A, the metal posts or bumps 122 of a plurality of lower single-level package logic drivers 300 (only one is shown in the figure) themselves are mounted and bonded to the plurality of metal contacts on the top of the circuit carrier or substrate 110. Pads 109, such as PCB substrates, BGA substrates, flexible circuit substrates (or thin films), or ceramic circuit substrates, underfill material 114 may fill the gaps between circuit carriers or substrates 110 and between the bottom of the single-level package logic driver 300. The gap, or alternatively, the step of filling the underfill material 114 can be skipped. Next, surface-mount technology (SMT) can be used to install and bond a plurality of upper single-level package logic drivers 300 (only one is shown in the figure) to the lower single-level package logic driver 300, respectively. Solder, solder paste or flux 112 may be first printed on the metal pads 77E of the BISD 79 of the underlying single level package logic driver 300 .

接著,如第22A圖至第22B圖所示,上面的一單層封裝邏輯驅動器300本身的金屬柱或凸塊122設置在銲錫、銲膏或助銲劑112,接著如第22B圖所示,可進行一迴銲或加熱製程使上面的那一單層封裝邏輯驅動器300的金屬柱或凸塊122固定接合在下面的單層封裝邏輯驅動器300的BISD79之金屬接墊77E上,接著,底部填充材料114可填入上面單層封裝邏輯驅動器300與下面單層封裝邏輯驅動器300之間的間隙中,或者,填入底部填充材料114的步驟可以被跳過。Next, as shown in FIGS. 22A to 22B , the metal pillars or bumps 122 of the single-layer package logic driver 300 itself are disposed on the solder, solder paste or flux 112 , and then, as shown in FIG. 22B , the A reflow or heating process is performed so that the metal pillars or bumps 122 of the upper single-level package logic driver 300 are fixedly bonded to the metal pads 77E of the BISD 79 of the lower single-level package logic driver 300 , and then, underfill material 114 may be filled in the gap between the upper single-level package logic driver 300 and the lower single-level package logic driver 300, or the step of filling the underfill material 114 may be skipped.

在接著可選擇的步驟中,如第22B圖所示,其它複數單層封裝邏輯驅動器300(如第20X圖中所示)本身的金屬柱或凸塊122可使用表面貼裝技術(surface-mounttechnology,SMT)裝設接合至上面的複數個單層封裝邏輯驅動器300其中之一單層封裝邏輯驅動器300中BISD79的金屬接墊77E,然後底部填充材料114可選性地形成在其間,此步驟可重覆數次以形成單層封裝邏輯驅動器300堆疊在三層型式或超過三層型式的結構在電路載體或基板110上。In a subsequent optional step, as shown in FIG. 22B, the metal studs or bumps 122 of the other multiple single-level package logic drivers 300 (as shown in FIG. 20X ) themselves may use surface-mount technology , SMT) bonding to the metal pads 77E of the BISD 79 in the single-level package logic driver 300 of one of the plurality of single-level package logic drivers 300 above, and then the underfill material 114 is optionally formed therebetween, this step may This is repeated several times to form a single-level packaged logic driver 300 stacked on a circuit carrier or substrate 110 in a three-layer version or more.

接著,如第22B圖所示,複數銲錫球325以植球方式形成在電路載體或基板110的背面,接著,如第22C圖所示,電路載體或基板110被雷射切割或機械切割分離成複數單獨基板單元113(例如是PCB板、BGA板、軟性電路基板或薄膜,或陶瓷基板),因此可將i個數目的單層封裝邏輯驅動器300堆疊在一單獨基板單元113上,其中i數目係大於或等於2個、3個、4個、5個、6個、7個或8個。Next, as shown in FIG. 22B, a plurality of solder balls 325 are formed on the backside of the circuit carrier or substrate 110 by ball mounting, and then, as shown in FIG. 22C, the circuit carrier or substrate 110 is separated by laser cutting or mechanical cutting into A plurality of individual substrate units 113 (eg, PCB boards, BGA boards, flexible circuit substrates or films, or ceramic substrates), so that i number of single-level package logic drivers 300 can be stacked on a single substrate unit 113, where i number of More than or equal to 2, 3, 4, 5, 6, 7 or 8.

或者,第22D圖至第22F圖為本發明實施例製造POP封裝的製程示意圖,如第22D圖及第22E圖所示,複數的單層封裝邏輯驅動器300的其中之一單層封裝邏輯驅動器300本身的金屬柱或凸塊122使用SMT技術固定或裝設接合在晶圓或面板層級的BISD79之金屬接墊77E上,其中晶圓或面板層級的BISD79如第20W圖中所示,其中晶圓或面板層級的BISD79為切割分離成複數下面單層封裝邏輯驅動器300之前的封裝結構。Alternatively, FIGS. 22D to 22F are schematic diagrams of a process for manufacturing a POP package according to an embodiment of the present invention. As shown in FIGS. 22D and 22E, one of the plurality of single-level package logic drivers 300 is single-level package logic driver 300 The metal pillars or bumps 122 themselves are fixed or mounted on the metal pads 77E of the BISD79 at the wafer or panel level using SMT technology, wherein the BISD79 at the wafer or panel level is shown in Figure 20W, wherein the wafer Or the BISD 79 at the panel level is the package structure before cutting and separating into a plurality of lower single-layer package logic drivers 300 .

接著,如第22E圖所示,底部填充材料114可填入在上面單層封裝邏輯驅動器300與第20W圖中晶圓或面板層級封裝結構之間的間隙中,或者,填入底部填充材料114的步驟可以被跳過。Next, as shown in FIG. 22E, the underfill material 114 may be filled in the gap between the single-level package logic driver 300 above and the wafer or panel level packaging structure in FIG. 20W, or the underfill material 114 may be filled steps can be skipped.

在接著可選擇的步驟中,如第22E圖所示,其它複數單層封裝邏輯驅動器300(如第20X圖中所示)本身的金屬柱或凸塊122可使用表面貼裝技術(surface-mounttechnology,SMT)裝設接合至上面的複數個單層封裝邏輯驅動器300其中之一單層封裝邏輯驅動器300中BISD79的金屬接墊77E,然後底部填充材料114可選性地形成在其間,此步驟可重覆數次以形成單層封裝邏輯驅動器300堆疊在二層型式或超過二層型式的第20W圖中晶圓或面板層級封裝結構上。In a subsequent optional step, as shown in FIG. 22E, the metal studs or bumps 122 of the other multiple single-level package logic drivers 300 (as shown in FIG. 20X ) themselves may use surface-mount technology , SMT) is attached to the metal pad 77E of the BISD 79 in one of the above single-level package logic drivers 300, and then the underfill material 114 is optionally formed therebetween. This step may This is repeated several times to form the single-level package logic driver 300 stacked on the wafer or panel level package structure in Figure 20W of the two-layer type or more.

接著,如第22F圖所示,晶圓或面板的結構(型式)的TPVS158(如第20X圖所示)經由雷射切割或機械切割分離成複數下面的單層封裝邏輯驅動器300,由此,將i個數目的單層封裝邏輯驅動器300堆疊在一起,其中i數目係大於或等於2個、3個、4個、5個、6個、7個或8個,接著,堆疊在一起的單層封裝邏輯驅動器300的最底部的單層封裝邏輯驅動器300的金屬柱或凸塊122可裝設接合在如第22A圖中電路載體或基板110上面的的複數金屬接墊109,電路載體或基板110例如是BGA基板,接著,底部填充材料114可填入電路載體或基板110與最底部的單層封裝邏輯驅動器300之間的間隙中,或者填入電路載體或基板110的步驟可跳過省略。接著,複數銲錫球325可植球在電路載體或基板110的背面,接著,電路載體或基板110可如第22C圖所示,被雷射切割或機械切割分離成複數單獨基板單元113(例如是PCB板、BGA板、軟性電路基板或薄膜,或陶瓷基板),因此可將i個數目的單層封裝邏輯驅動器300堆疊在一單獨基板單元113上,其中i數目係大於或等於2個、3個、4個、5個、6個、7個或8個。Next, as shown in FIG. 22F, the TPVS 158 of the structure (type) of the wafer or panel (as shown in FIG. 20X ) is separated into a plurality of lower single-layer package logic drivers 300 by laser dicing or mechanical dicing, thereby, i number of single-level package logic drives 300 are stacked together, where i number is greater than or equal to 2, 3, 4, 5, 6, 7, or 8, and then the stacked single-level package logic drives 300 are stacked together. The metal pillars or bumps 122 of the bottom-most single-level package logic driver 300 of the layer-package logic driver 300 may be mounted on a plurality of metal pads 109 bonded to the circuit carrier or substrate 110 as shown in FIG. 22A, the circuit carrier or substrate 110 is, for example, a BGA substrate, then the underfill material 114 may be filled in the gap between the circuit carrier or substrate 110 and the bottommost single-level package logic driver 300, or the step of filling the circuit carrier or substrate 110 may be skipped and omitted . Next, a plurality of solder balls 325 may be mounted on the backside of the circuit carrier or substrate 110, and then the circuit carrier or substrate 110 may be separated into individual substrate units 113 (eg, by laser cutting or mechanical cutting) as shown in FIG. 22C. PCB boards, BGA boards, flexible circuit substrates or films, or ceramic substrates), so that i number of single-level package logic drivers 300 can be stacked on a single substrate unit 113, where i number is greater than or equal to 2, 3 1, 4, 5, 6, 7 or 8.

具有TPVS158的單層封裝邏輯驅動器300可在垂直方向堆疊以形成標準型式或標準尺寸的POP封裝,例如,單層封裝邏輯驅動器300可以是正方形或長方形,其具有一定的寬度、長度及厚度,單層封裝邏輯驅動器300的形狀及尺寸具有一工業標準,例如單層封裝邏輯驅動器300的標準形狀為正方形時,其寬度係大於或等於4mm、7mm、10mm、12mm、15mm、20mm、25mm、30mm、35mm或40mm,且其具有的厚度係大於或等於0.03mm、0.05mm、0.1mm、0.3mm、0.5mm、1mm、2mm、3mm、4mm或5mm,或者,單層封裝邏輯驅動器300的標準形狀為長方形時,其寬度係大於或等於3mm、5mm、7mm、10mm、12mm、15mm、20mm、25mm、30mm、35mm或40mm,其長度係大於或等於5mm、7mm、10mm、12mm、15mm、20mm、25mm、30mm、35mm、40mm、40mm或50mm,且其具有的厚度係大於或等於0.03mm、0.05mm、0.1mm、0.3mm、0.5mm、1mm、2mm、3mm、4mm或5mm。The single level package logic driver 300 with TPVS158 can be stacked vertically to form a standard type or standard size POP package. For example, the single level package logic driver 300 may be square or rectangular with a certain width, length and thickness, and a single level package logic driver 300 may be a The shape and size of the layer-packaged logic driver 300 has an industry standard. For example, when the standard shape of the single-layer-packaged logic driver 300 is a square, its width is greater than or equal to 4mm, 7mm, 10mm, 12mm, 15mm, 20mm, 25mm, 30mm, 35mm or 40mm with a thickness greater than or equal to 0.03mm, 0.05mm, 0.1mm, 0.3mm, 0.5mm, 1mm, 2mm, 3mm, 4mm, or 5mm, or, the standard form factor for single-package logic driver 300 is When rectangular, its width is greater than or equal to 3mm, 5mm, 7mm, 10mm, 12mm, 15mm, 20mm, 25mm, 30mm, 35mm or 40mm, and its length is greater than or equal to 5mm, 7mm, 10mm, 12mm, 15mm, 20mm, 25mm , 30mm, 35mm, 40mm, 40mm or 50mm, and it has a thickness greater than or equal to 0.03mm, 0.05mm, 0.1mm, 0.3mm, 0.5mm, 1mm, 2mm, 3mm, 4mm or 5mm.

用於具有TISD及BISD的複數驅動器的交互連接線Interconnect cable for complex drivers with TISD and BISD

第22G圖至第22I圖為本發明實施例在POP封裝中複數邏輯驅動器的各種連接型式剖面示意圖,如第22G圖所示,在POP封裝中,每一單層封裝邏輯驅動器300包括一或複數TPVS158用於作為第一內部驅動交互連接線(firstinter-driveinterconnects)461堆疊及連接至其它或另一位在上面的一單層封裝邏輯驅動器300及(或)位在下面的一個單層封裝邏輯驅動器300,而不連接或耦接至在POP封裝結構內的任一半導體晶片100,在每一單層封裝邏輯驅動器300中每一第一內部驅動交互連接線461的形成,從底端至頂端分別為(i)BISD79的一金屬接墊77e;(ii)BISD79的複數交互連接線金屬層77之一堆疊部分;(iii)一TPVs158;(iv)TISD100的交互連接線金屬層99的一堆疊部分;及(v)一堆疊的一金屬柱或凸塊122。FIGS. 22G to 22I are schematic cross-sectional views of various connection types of multiple logic drivers in a POP package according to an embodiment of the present invention. As shown in FIG. 22G , in the POP package, each single-layer package logic driver 300 includes one or more TPVS158 is used to stack and connect as first inter-drive interconnects 461 to other or another upper single-level package logical driver 300 and/or a lower single-level package logical driver 300, without being connected or coupled to any semiconductor die 100 within the POP package structure, the formation of each first internal drive interconnection line 461 in each single-level package logic driver 300, from bottom to top, respectively are (i) a metal pad 77e of BISD79; (ii) a stacked portion of a plurality of interconnect metal layers 77 of BISD79; (iii) a TPVs 158; (iv) a stacked portion of interconnect metal layers 99 of TISD100 ; and (v) a stack of a metal stud or bump 122 .

或者,如第22G圖所示,在POP封裝的一第二內部驅動交互連接線462可提供類似第一內部驅動交互連接線461的功能,但是第二內部驅動交互連接線462可通過TISD101的交互連接線金屬層99連接或耦接至本身的一或複數半導體晶片100。Alternatively, as shown in FIG. 22G, a second internal drive interconnection line 462 in the POP package can provide a similar function to the first internal drive interconnection line 461, but the second internal drive interconnection line 462 can interact through the TISD101. The wire metal layer 99 is connected or coupled to one or more semiconductor chips 100 itself.

或者,如第22H圖所示,每一單層封裝邏輯驅動器300提供類似第二內部驅動交互連接線462的一第三內部驅動交互連接線463,但是第三內部驅動交互連接線463沒有堆疊至一金屬柱或凸塊122,它是垂直排列在第三內部驅動交互連接線463上方,連接每一單層封裝邏輯驅動器300及上面的一個單層封裝邏輯驅動器300或是連接至每一單層封裝邏輯驅動器300及電路載體或基板110,第三內部驅動交互連接線463可耦接至另一或複數金屬柱或凸塊122,它沒有垂直的排列在第三內部驅動交互連接線463上方,但是垂直位在一半導體晶片100的上方,連接至每一單層封裝邏輯驅動器300及一上面的一單層封裝邏輯驅動器300或是連接至每一單層封裝邏輯驅動器300及基板單元113。Alternatively, as shown in FIG. 22H, each single-level package logic driver 300 provides a third inter-drive interconnect 463 similar to the second inter-drive interconnect 462, but the third inter-drive interconnect 463 is not stacked to the A metal pillar or bump 122, which is arranged vertically above the third internal drive interconnection line 463, connects each single-level package logic driver 300 and an upper single-level package logic driver 300 or is connected to each single-level package logic driver 300 After packaging the logic driver 300 and the circuit carrier or substrate 110, the third internal drive interconnection line 463 may be coupled to another or a plurality of metal pillars or bumps 122, which are not vertically arranged above the third internal drive interconnection line 463, But vertically above a semiconductor die 100 , connected to each single-level package logic driver 300 and an upper single-level package logic driver 300 or to each single-level package logic driver 300 and substrate unit 113 .

或者,如第22H圖所示每一單層封裝邏輯驅動器300可提供一第四內部驅動交互連接線464由以下部分組成,分別為(i)BISD79本身的複數交互連接線金屬層77之一第一水平分佈部分;(ii)一本身的TPVs158耦接至第一水平分佈部分的一或複數金屬接墊77e垂直位在一或複數的本身半導體晶片100;(iii)本身的TISD101的交互連接線金屬層99之一第二水平分佈部分連接或耦接一TPVs158至一或複數本身的半導體晶片100,第四內部驅動交互連接線464的第二水平分佈部分可耦接至金屬柱或凸塊122,它沒有垂直排列在本身一TPVs158的上方,但垂直排列在本身的一或複數半導體晶片100上方,連接每一單層封裝邏輯驅動器300及一上面的單層封裝邏輯驅動器300或連接每一單層封裝邏輯驅動器300及基板單元113。Alternatively, as shown in FIG. 22H, each single-level package logic driver 300 may provide a fourth internal drive interconnection line 464 composed of the following parts, respectively (i) one of the multiple interconnection line metal layers 77 of the BISD 79 itself a horizontal distribution part; (ii) a self-TPVs 158 coupled to one or a plurality of metal pads 77e of the first horizontal distribution part vertically positioned on one or more self-contained semiconductor chips 100; (iii) an interconnection line of the self-TISD 101 A second horizontal distribution portion of the metal layer 99 connects or couples a TPVs 158 to one or more of its own semiconductor chips 100 , and the second horizontal distribution portion of the fourth internal drive interconnection line 464 may be coupled to the metal pillars or bumps 122 , it is not vertically arranged above its own TPVs 158, but is vertically arranged above its own one or more semiconductor chips 100, connecting each single-level package logic driver 300 and an upper single-level package logic driver 300 or connecting each single-level package logic driver 300. The layer encapsulates the logic driver 300 and the substrate unit 113 .

或者,如第22I圖所示,每一單層封裝邏輯驅動器300可提供一第五內部驅動交互連接線465,其係由以下組成:(i)本身BISD79的複數交互連接線金屬層77的一第一水平分佈部分;(ii)本身TPVs158連接至第一水平分佈部分的一或複數金屬接墊77e垂直位在一或複數半導體晶片100下方;(iii)本身TISD101的交互連接線金屬層99之一第二水平分佈部分連接或耦接本身一TPVs158至一或複數半導體晶片100,本身第五內部驅動交互連接線465可不耦接任何一金屬柱或凸塊122,包括接合在每一單層封裝邏輯驅動器300上的金屬柱或凸塊122及一上面的單層封裝邏輯驅動器300的金屬柱或凸塊122,或是接合在每一單層封裝邏輯驅動器300上的金屬柱或凸塊122及基板單元113上的金屬柱或凸塊122。Alternatively, as shown in FIG. 22I, each single-level package logic driver 300 may provide a fifth internal drive interconnection line 465, which is composed of: (i) a plurality of interconnection line metal layers 77 of its own BISD79 The first horizontal distribution portion; (ii) one or more metal pads 77e of which TPVs 158 are connected to the first horizontal distribution portion are vertically positioned below one or more semiconductor chips 100; (iii) one or more of the interconnecting metal layers 99 of the TISD101 itself A second horizontal distribution portion connects or couples itself with a TPVs 158 to one or more semiconductor chips 100, and the fifth internal drive interconnection line 465 itself may not be coupled to any one of the metal pillars or bumps 122, including bonding in each single-level package The metal studs or bumps 122 on the logic driver 300 and an overlying metal stud or bump 122 of the single-level package logic driver 300, or the metal studs or bumps 122 bonded to each single-level package logic driver 300 and Metal pillars or bumps 122 on the substrate unit 113 .

用於具有BISDs及TPVs的晶片封裝結構的第二實施例Second Embodiment for Chip Package Structure with BISDs and TPVs

第28J圖及第28K圖為本發明之第二實施例用於具有BISDs及TPVs的晶片封裝結構的製程示意圖,如第28J圖所示,在執行第28C圖中CMP、研磨或拋光製程後,用於邏輯驅動器或裝置的背面交互連接線結構(backsideinterconnectionschemeforalogicdriveordevice(BISD))79可形成在半導體晶片100的背面、形成在聚合物層92的上表面及形成在每一銅柱158的頂部,BISD79可包括一(或多個)交互連接線金屬層27(耦接至每一銅柱158)及/或一(或多個)聚合物層42介於每二相鄰交互連接線金屬層27之間、位在最底部交互連接線金屬層27的下方或位在最上層交互連接線金屬層27的上方,其中上面的交互連接線金屬層27可經由二者之間聚合物層42中的開口耦接至下面的交互連接線金屬層27,最底層聚合物層42可介於最底部交互連接線金屬層27與聚合物層92之間,且位在最底部交互連接線金屬層27與每一半導體晶片100的背面之間,其中在最底部聚合物層42中的每一開口可位在每一銅柱158之頂部的上方,意即是每一銅柱158的頂部可位在最底部聚合物層42中的每一開口的底部,每一交互連接線金屬層27可水平地延伸橫跨每一半導體晶片100的邊界。FIGS. 28J and 28K are schematic diagrams of a process for a chip package structure with BISDs and TPVs according to the second embodiment of the present invention. As shown in FIG. 28J, after performing the CMP, grinding or polishing process in FIG. 28C, A backside interconnections chemical driveor device (BISD) 79 for a logical driver or device may be formed on the backside of the semiconductor wafer 100, on the upper surface of the polymer layer 92, and on top of each copper pillar 158. The BISD 79 may be formed on top of each copper pillar 158. Include one (or more) interconnect metal layers 27 (coupled to each copper pillar 158 ) and/or one (or more) polymer layers 42 between each two adjacent interconnect metal layers 27 , below the bottommost interconnection wire metal layer 27 or above the uppermost interconnection wire metal layer 27, wherein the upper interconnection wire metal layer 27 can be coupled via openings in the polymer layer 42 therebetween Connected to the underlying interconnect metal layer 27, the bottommost polymer layer 42 may be interposed between the bottom most interconnect metal layer 27 and the polymer layer 92, between the bottom most interconnect metal layer 27 and each Between the backsides of the semiconductor wafers 100, where each opening in the bottommost polymer layer 42 may be located above the top of each copper pillar 158, meaning the top of each copper pillar 158 may be located in the bottommost polymer At the bottom of each opening in material layer 42 , each interconnect metal layer 27 may extend horizontally across the boundary of each semiconductor wafer 100 .

如第28J圖所示,在BISD79中,每一聚合物層42可以是聚酰亞胺、苯並環丁烯(BCB)、聚對二甲苯、環氧基材料或化合物、光環氧SU-8、彈性體或矽樹脂,此聚合物層93的厚度例如介於0.3µm至30µm之間、介於0.5µm至20µm之間、介於1µm至10µm之間、介於0.5µm至5µm之間或厚度大於或等於0.3µm,0.5µm,0.7µm,1µm,1.5µm,2µm,3µm或5µm,每一交互連接線金屬層27可具有多個金屬線或連接線,每一金屬線或連接線包括:(1)一銅層40,其具有一(或多個)低的部分在聚合物層42中的開口中,其厚度介於0.3µm至20µm之間,及銅層40具有一高的部分在聚合物層42上方,其厚度介於0.3µm至20µm之間,(2)一黏著層28a(例如是厚度介於1nm至50nm之間的鈦層或氮化鈦層)位在每一金屬線或連接線的銅層40之一個(或多個)低的部分的底部及側壁上,以及位在每一屬線或連接線的頂部銅層40的底部上,及(3)一種子層28b(例如銅)介於每一金屬線或連接線之銅層40與黏著層28a之間,其中每一金屬線或連接線之頂部銅層40的側壁沒有被每一金屬線或連接線的黏著層28a所覆蓋,每一交互連接線金屬層27具有多個金屬線或連接線,其厚度例如介於0.3µm至30µm之間、介於0.5µm至20µm之間、介於1µm至10µm之間或介於0.5µm至5µm之間,或厚度大於0.3µm,0.5µm,0.7µm,1µm,1.5µm,2µm,3µm或5µm,及寬度介於0.3µm至30µm之間、介於0.5µm至20µm之間、介於1µm至10µm之間或介於0.5µm至5µm之間,或寬度大於0.3µm,0.5µm,0.7µm,1µm,1.5µm,2µm,3µm或5µm。As shown in Figure 28J, in BISD79, each polymer layer 42 may be polyimide, benzocyclobutene (BCB), parylene, epoxy based materials or compounds, photoepoxy SU- 8. Elastomer or silicone resin, the thickness of the polymer layer 93 is, for example, between 0.3 µm and 30 µm, between 0.5 µm and 20 µm, between 1 µm and 10 µm, between 0.5 µm and 5 µm. or thickness greater than or equal to 0.3µm, 0.5µm, 0.7µm, 1µm, 1.5µm, 2µm, 3µm or 5µm, each interconnecting wire metal layer 27 may have a plurality of metal wires or connecting wires, each metal wire or connecting wire Including: (1) a copper layer 40 having one (or more) low portions in the openings in the polymer layer 42, the thickness of which is between 0.3µm and 20µm, and the copper layer 40 having a high Partly above the polymer layer 42, which has a thickness between 0.3 µm and 20 µm, (2) an adhesive layer 28a (eg, a titanium layer or a titanium nitride layer having a thickness between 1 nm and 50 nm) is located on each on the bottom and sidewalls of the lower portion(s) of the copper layer(s) 40 of the metal line or connection line, and on the bottom of the top copper layer 40 of each line or connection line, and (3) a seed A layer 28b (eg, copper) is interposed between the copper layer 40 of each wire or connection and the adhesive layer 28a, wherein the sidewalls of the top copper layer 40 of each wire or connection are not covered by each wire or connection. Covered by the adhesive layer 28a, each interconnecting wire metal layer 27 has a plurality of metal wires or connecting wires, the thickness of which is, for example, between 0.3 μm and 30 μm, between 0.5 μm and 20 μm, and between 1 μm and 10 μm. between 0.5µm and 5µm, or thicknesses greater than 0.3µm, 0.5µm, 0.7µm, 1µm, 1.5µm, 2µm, 3µm or 5µm, and widths between 0.3µm and 30µm and 0.5µm Between 20µm, 1µm to 10µm or 0.5µm to 5µm, or widths greater than 0.3µm, 0.5µm, 0.7µm, 1µm, 1.5µm, 2µm, 3µm or 5µm.

接著,厚度介於1µm至10µm之間的多個金屬接墊或凸塊583形成在BISD79之最頂層交互連接線金屬層27上,且每一金屬接墊或凸塊583位在BISD79之最頂層聚合物層42中所對應的開口42a中,每一金屬接墊或凸塊583具有數種型式,厚度介於1µm至10µm之間的第一型金屬接墊或凸塊583可包括:(1)具有厚度介於1nm至50nm之間的一黏著層26a(例如是鈦或氮化鈦層)形成在BISD79之最頂層交互連接線金屬層27上,(2)一種子層26b(例如銅)形成在黏著層26a上,及(3)厚度介於1µm至10µm之間的一銅層32形成在種子層26b上。或者,第二型金屬接墊或凸塊583可包括上述揭露之黏著層26a、種子層26b及銅層32,更可包括一含錫銲料層(由厚度介於1µm至10µm之間的錫或錫銀合金)在銅層32上。Next, a plurality of metal pads or bumps 583 with a thickness between 1 µm and 10 µm are formed on the topmost interconnection wire metal layer 27 of BISD79, and each metal pad or bump 583 is located on the topmost layer of BISD79 In the corresponding opening 42a in the polymer layer 42, each metal pad or bump 583 has several types, and the first type metal pad or bump 583 with a thickness between 1 μm and 10 μm may include: (1 ) an adhesive layer 26a (eg, a titanium or titanium nitride layer) having a thickness between 1 nm and 50 nm is formed on the topmost interconnecting wire metal layer 27 of the BISD79, (2) a sub-layer 26b (eg, copper) Formed on the adhesive layer 26a, and (3) a copper layer 32 having a thickness between 1 µm and 10 µm is formed on the seed layer 26b. Alternatively, the second-type metal pads or bumps 583 may include the adhesive layer 26a, the seed layer 26b and the copper layer 32 disclosed above, and may further include a tin-containing solder layer (from tin or tin-silver alloy) on copper layer 32.

接著,在第28E圖至第28G圖中的步驟可被執行,以形成如第28K圖中之多個晶片封裝結構,在每一晶片封裝結構550中,每一銅柱158可作為一封裝穿孔通道(throughpackagevia(TPV)),即聚合物穿孔通道,提供作為TISD或FISD101的交互連接線金屬層27與BISD79的交互連接線金屬層27之間的垂直連接,TISD或FISD101的交互連接線金屬層27、銅柱158及BISD79的交互連接線金屬層27可建構成如第11A圖至第11N圖中標準商業化邏輯驅動器300中的晶片間交互連接線371之可編程交互連接線361及固定交互連接線364。Next, the steps in FIGS. 28E to 28G may be performed to form a plurality of chip package structures as shown in FIG. 28K. In each chip package structure 550, each copper pillar 158 may serve as a package via Via (throughpackage via (TPV)), ie, polymer perforated via, provided as a vertical connection between the interconnecting wire metal layer 27 of TISD or FISD101 and the interconnecting wire metal layer 27 of BISD79, the interconnecting wire metal layer of TISD or FISD101 27. Copper pillar 158 and interconnect metal layer 27 of BISD 79 can be constructed as programmable interconnect 361 and fixed interconnect such as inter-die interconnect 371 in standard commercial logic driver 300 in FIGS. 11A to 11N Connection line 364.

沉浸式IC交互連接線環境(IIIE)Immersive IC Interactive Connectivity Environment (IIIE)

如第22G圖至第22I圖所示,單層封裝邏輯驅動器300可堆疊形成一超級豐富交互連接線結構或環境,其中他們的半導體晶片100代表商業化標準FPGAIC晶片200,而具有邏輯區塊及複數交叉點開關379的商業化標準FPGAIC晶片200係由第8A圖至第8J圖所提供,沉浸在超級豐富交互連接線結構或環境,也就是編程3D沉浸IC交互連接線環境(IIIE),對於在其中之一單層封裝邏輯驅動器300的商業化標準FPGAIC晶片200,包括以下部分用於建構3D交互連接線結構或系統:(1)一商業化標準FPGAIC晶片200的FISC20之複數交互連接線金屬層6、一商業化標準FPGAIC晶片200的SISC29之交互連接線金屬層27、一商業化標準FPGAIC晶片200的微金屬柱或凸塊34、一單層封裝邏輯驅動器300的TISD101之交互連接線金屬層99及在一單層封裝邏輯驅動器300與上面的單層封裝邏輯驅動器300之間的金屬柱或凸塊122在邏輯區塊及一商業化標準FPGAIC晶片200的複數交叉點開關379上方;(2)一單層封裝邏輯驅動器300的BISD79之複數交互連接線金屬層77及一單層封裝邏輯驅動器300的BISD79之金屬接墊77e在一商業化標準FPGAIC晶片200的複數交叉點開關379之邏輯區塊下方;及(3)一單層封裝邏輯驅動器300的TPVs158環繞在一商業化標準FPGAIC晶片200的複數交叉點開關379及邏輯區塊,可編程的3DIIIE所提供超級豐富交互連接線結構或環境包括每一半導體晶片100的微金屬柱或凸塊34、SISC29及FISC20,每一單層封裝邏輯驅動器300的TISD101、BISD79及TPVs158及在每二單層封裝邏輯驅動器300之間的金屬柱或凸塊122,水平方向的交互連接線結構或系統可由每一商業化標準商業化標準FPGAIC晶片200的複數交叉點開關379及每一單層封裝邏輯驅動器300的複數DPIIC晶片410進行編程,此外,在垂直方向的交互連接線結構或系統可由每一商業化標準商業化標準FPGAIC晶片200及每一單層封裝邏輯驅動器300的複數DPIIC晶片410進行編程。As shown in FIGS. 22G to 22I, the single-level package logic drivers 300 can be stacked to form a super-rich interconnect structure or environment, wherein their semiconductor chip 100 represents a commercial standard FPGA IC chip 200 with logic blocks and The commercial standard FPGAIC chip 200 of the complex crosspoint switch 379 is provided by Figures 8A to 8J, immersed in a super rich interactive wire structure or environment, that is, the programming 3D immersive IC interactive wire environment (IIIE), for A commercial standard FPGAIC chip 200 in which the logic driver 300 is single-layered, includes the following components for constructing a 3D interconnect structure or system: (1) a plurality of interconnect wires for the FISC 20 of the commercial standard FPGA IC chip 200 Layer 6, Interconnect metal layer 27 of SISC 29 of a commercial standard FPGAIC chip 200, Micro metal pillars or bumps 34 of a commercial standard FPGA IC chip 200, Interconnect metal of TISD 101 of a single-level package logic driver 300 Layer 99 and metal studs or bumps 122 between a single-level package logic driver 300 and the overlying single-level package logic driver 300 over the logic block and a plurality of crosspoint switches 379 of a commercial standard FPGAIC chip 200; ( 2) The logic of the plurality of cross-connect line metal layers 77 of the BISD 79 of a single-level package logic driver 300 and the metal pads 77e of the BISD 79 of a single-level package logic driver 300 of a plurality of cross-point switches 379 of a commercial standard FPGAIC chip 200 below the block; and (3) TPVs 158 of a single-level package logic driver 300 surround a plurality of cross-point switches 379 and logic blocks on a commercial standard FPGAIC chip 200, the programmable 3DIIIE provides a super-rich interconnect structure or The environment includes micro metal pillars or bumps 34 , SISC 29 and FISC 20 of each semiconductor chip 100 , TISD 101 , BISD 79 and TPVs 158 of each single level package logic driver 300 and metal pillars or between each two single level package logic drivers 300 The bumps 122, the horizontal interconnect structure or system can be programmed by the plurality of cross point switches 379 of each commercial standard commercial standard FPGAIC die 200 and the plurality of DPIIC die 410 of each single level package logic driver 300, in addition, The interconnect structure or system in the vertical direction can be programmed by a plurality of DPIIC chips 410 for each commercial standard commercial standard FPGAIC die 200 and each single level package logic driver 300 .

第23A圖至第23B圖為本發明實施例中複數邏輯區塊之間的交互連接線從人類神經系統中模擬的概念圖。對於第23A圖及第23B圖與上述圖示中相同的元件圖號可參考上述圖示中的說明及規格,如第23A圖所示,可編程的3DIIIE與人類的大腦相似或類似,如第6A圖中的邏輯區塊相似或類似神經元或神經細胞,FISC20的複數交互連接線金屬層6及(或)SISC29的交互連接線金屬層27係相以或類似連接神經元或神經細胞的樹突(dendrites)201,用於一標準化商品商業化標準FPGAIC晶片200中的一邏輯區塊的輸入的一商業化標準商業化標準FPGAIC晶片200的微金屬柱或凸塊34連接至一商業化標準FPGAIC晶片200的複數小型I/O電路203的小型複數接收器375,與樹突末端處的突觸後細胞相似或類似。對於在一商業化標準FPGAIC晶片200內的二邏輯區塊之間的短距離,其FISC20的複數交互連接線金屬層6和其SISC29的交互連接線金屬層27可建構一交互連接線482,如同一個神經元或神經細胞201連接到另一個神經元或神經細胞201的一軸突連接,對於商業化標準FPGAIC晶片200中的兩個之間的長距離,其單層封裝邏輯驅動器300的TISD101之交互連接線金屬層99、單層封裝邏輯驅動器300的BISD79之複數交互連接線金屬層77及單層封裝邏輯驅動器300的TPVS158可建構如同一個神經元或神經細胞201連接到另一個神經元或神經細胞201的一類軸突交互連接線482,一第一商業化標準FPGAIC晶片200的一微金屬柱或凸塊34連接至類軸突交互連接線482可被編程為連接至一第二商業化標準FPGAIC晶片200的複數小型I/O電路203的小型驅動器374相似或類似在軸突482的末端的突觸前細胞。23A to 23B are conceptual diagrams of the interconnection lines between the plurality of logic blocks simulated from the human nervous system according to the embodiment of the present invention. For the same component numbers in Figures 23A and 23B as those in the above figures, please refer to the descriptions and specifications in the above figures. As shown in Figure 23A, the programmable 3DIIIE is similar to or similar to the human brain, as shown in Figure 23A. The logical blocks in Figure 6A are similar or similar to neurons or nerve cells, the plurality of interconnecting wire metal layers 6 of FISC 20 and/or the interconnecting wire metal layers 27 of SISC 29 are related or similar to a tree connecting neurons or nerve cells Dendrites 201, micro metal pillars or bumps 34 of a commercial standard commercial standard FPGAIC chip 200 for input of a logic block in a standard commercial standard FPGAIC chip 200 are connected to a commercial standard The small complex receivers 375 of the complex small I/O circuits 203 of the FPGAIC die 200 are similar or similar to postsynaptic cells at the ends of the dendrites. For a short distance between two logic blocks in a commercial standard FPGAIC chip 200, the interconnection metal layer 6 of the FISC 20 and the interconnection metal layer 27 of the SISC 29 can construct an interconnection 482, as in An axonal connection of one neuron or nerve cell 201 to another neuron or nerve cell 201, for long distances between two of the commercial standard FPGAIC chips 200, the interaction of the TISD101 of its single-layer packaged logic driver 300 The connection wire metal layer 99, the multiple interconnection wire metal layer 77 of the BISD 79 of the single-level package logic driver 300, and the TPVS 158 of the single-level package logic driver 300 can be constructed as one neuron or nerve cell 201 connected to another neuron or nerve cell Axon-like interconnects 482 of 201, a micro-metal post or bump 34 of a first commercial standard FPGAIC chip 200 connected to axon-like interconnects 482 can be programmed to connect to a second commercial standard FPGAIC The miniature drivers 374 of the plurality of miniature I/O circuits 203 of the wafer 200 are similar or similar to the presynaptic cells at the ends of the axons 482 .

為了更詳細的說明,如第23A圖所示,商業化標準FPGAIC晶片200的一第一200-1包括邏輯區塊的第一及第二LB1及LB2像神經元一樣,FISC20和SISC29像樹突481一樣耦接至邏輯區塊的第一和第二個LB1和LB2以及複數交叉點開關379編程用於本身FISC20及SISC29的連接至邏輯區塊的第一和第二個LB1和LB2,商業化標準FPGAIC晶片200的一第二200-2可包括邏輯區塊210的第三及第四個LB3及LB4像神經元一樣,FISC20及SISC29像樹突481耦接至邏輯區塊210的第三及第四LB3及LB4及複數交叉點開關379編程用於本身的FISC20及SISC29的連接至邏輯區塊210的第三及第四個LB3及LB4,單層封裝邏輯驅動器300的一第一300-1可包括商業化標準FPGAIC晶片200的第一及第二200-1及200-2,商業化標準FPGAIC晶片200的一第三200-3可包括邏輯區塊的一第五LB5像是神經元一樣,FISC20及SISC29像是樹突481耦接至邏輯區塊的第五LB5及本身複數交叉點開關379可編程用於本身FISC20及SISC29的連接至邏輯區塊的第五LB5,商業化標準FPGAIC晶片200的一第四200-4可包括邏輯區塊的一第六LB6像神經元一樣,FISC20及SISC29像樹突481耦接至邏輯區塊及複數交叉點開關379的第六LB6編程用於本身FISC20及SISC29的連接至邏輯區塊的第六LB6,單層封裝邏輯驅動器300的一第二300-2可包括商業化標準FPGAIC晶片200的第三及第四200-3及200-4,(1)從邏輯區塊LB1延伸一第一部分由FISC20及SISC29的複數交互連接線金屬層6及交互連接線金屬層27;(2)從第一部分延伸一微型凸塊或金屬柱34;(3)從一微型凸塊或金屬柱34延伸,由TISD101的交互連接線金屬層99及(或)交互連接線金屬層77提供的一第二部分、及(或)單層封裝邏輯驅動器300的第一300-1的BISD79;(4)從第二部分的其它一微型凸塊或金屬柱34延伸;(5)由FISC20及SISC29的複數交互連接線金屬層6及交互連接線金屬層27提供的一第三部分,從其它的一微型凸塊或金屬柱34延伸至邏輯區塊LB2可組成類軸突交互連接線482,類軸突交互連接線482可根據設置在類軸突交互連接線482的複數交叉點開關379之複數通過/不通過開關258的第一258-1至第五258-5的開關編程連接邏輯區塊201的第一個LB1至邏輯區塊的第二個LB2至第六個LB6,複數通過/不通過開關258的第一個258-1可排列在商業化標準FPGAIC晶片200的第一個200-1,複數通過/不通過開關258的第二258-2及第三258-3可排列在單層封裝邏輯驅動器300的第一個300-1的一複數DPIIC晶片410內,複數通過/不通過開關258的第四個258-4可排列在商業化標準FPGAIC晶片200的第三個200-3內,複數通過/不通過開關258的第五個258-5可排列在單層封裝邏輯驅動器300的第二個300-2內的一複數DPIIC晶片410內,單層封裝邏輯驅動器300的第一個300-1可具有金屬接墊77E通過金屬柱或凸塊122耦接至單層封裝邏輯驅動器300的第二個300-2,或者,複數通過/不通過開關258的第一個258-1至第五個258-5設在類軸突交互連接線482上可省略,或者,設在類樹突交互連接線481的複數通過/不通過開關258可略。To illustrate in more detail, as shown in FIG. 23A, a first 200-1 of a commercial standard FPGAIC chip 200 includes first and second LB1 and LB2 logic blocks like neurons, FISC 20 and SISC 29 like dendrites 481 coupled to the first and second LB1 and LB2 of the logic block and complex crosspoint switches 379 to program the first and second LB1 and LB2 of the logic block connected to the FISC20 and SISC29 for itself, commercialized A second 200-2 of standard FPGA IC chip 200 may include third and fourth LB3 and LB4 of logic block 210 like neurons, FISC 20 and SISC 29 like dendrites 481 coupled to the third and fourth logic block 210 The fourth LB3 and LB4 and the complex crosspoint switches 379 are programmed for the third and fourth LB3 and LB4 of the FISC 20 and SISC 29 connected to the logic block 210, a first 300-1 of the single level package logic driver 300 The first and second 200-1 and 200-2 of the commercial standard FPGAIC chip 200 can be included, and a third 200-3 of the commercial standard FPGAIC chip 200 can include a fifth LB5 of logic blocks like neurons , FISC20 and SISC29 like dendrites 481 are coupled to the fifth LB5 of the logic block and themselves plural crosspoint switches 379 can be programmed for their own FISC20 and SISC29 connected to the fifth LB5 of the logic block, commercial standard FPGAIC chip A fourth 200-4 of 200 may include a sixth LB6 of logic blocks like neurons, FISC 20 and SISC 29 coupled to logic blocks like dendrites 481 and a sixth LB6 of complex crosspoint switches 379 programmed for itself The sixth LB6 of the FISC 20 and SISC 29 connected to the logic block, a second 300-2 of the single-level package logic driver 300 may include the third and fourth 200-3 and 200-4 of the commercial standard FPGAIC chip 200, ( 1) Extending a first part from the logic block LB1 by a plurality of interconnecting wire metal layers 6 and interconnecting wire metal layers 27 of FISC20 and SISC29; (2) extending a micro bump or metal post 34 from the first part; (3) Extending from a micro bump or metal post 34, a second portion is provided by interconnect metal layer 99 and/or interconnect metal layer 77 of TISD 101, and/or a first portion of single-level package logic driver 300 BISD79 of 300-1; (4) extending from another micro-bump or metal post 34 of the second part; (5) a plurality of interconnecting wire metal layers 6 and interconnecting wire metal layers 27 provided by FISC20 and SISC29. The third part, extending from another micro bump or metal pillar 34 to the logic block LB2 can form an axon-like interconnecting line 482 , and the axon-like interconnecting line 482 can be arranged on the axon-like interconnecting line 482 according to the The complex pass/fail switch programming of the first 258-1 to the fifth 258-5 of the complex crosspoint switch 379 connects the first LB1 of the logic block 201 to the second LB2 to the sixth of the logic block 258 LB6, the first 258-1 of the plurality of pass/fail switches 258 can be arranged on the first 200-1 of the commercial standard FPGAIC die 200, the second 258-2 and the third of the plurality of pass/fail switches 258 258-3 may be arranged within a plurality of DPIIC die 410 of the first 300-1 of the single level package logic driver 300, and the fourth 258-4 of the plurality of pass/fail switches 258 may be arranged within a commercial standard FPGAIC die 200 In the third 200-3 of the plurality of pass/fail switches 258, the fifth 258-5 of the plurality of pass/fail switches 258 may be arranged in a plurality of DPIIC die 410 in the second 300-2 of the single-level package logic driver 300, a single layer The first 300-1 of packaged logic drivers 300 may have metal pads 77E coupled to the second 300-2 of single-level packaged logic drivers 300 through metal posts or bumps 122, or, a plurality of pass/no pass switches 258 The first 258-1 to the fifth 258-5 set on the axon-like interconnecting line 482 can be omitted, or the plural pass/no-pass switches 258 set on the dendritic-like interconnecting line 481 can be omitted.

另外,如第23B圖所示,類軸突交互連接線482可認定為一樹狀的結構,包括:(i)連接邏輯區塊的第一個LB1的主幹或莖;(ii)從主幹或莖分支的複數分枝用於連接本身的主幹或莖至邏輯區塊的一第二個LB2及第六個LB6;(iii)複數交叉點開關379的第一個379-1設在主幹或莖與本身每一分枝之間用於切換本身主幹或莖與本身一分枝之間的連接;(iv)從一本身的分枝分支出的複數次分枝用於連接一本身的分枝至邏輯區塊的第五個LB5及第六個LB6;及(v)複數交叉點開關379的一第二個379-2設在一本身的分枝及每一本身的次分枝之間,用於切換一本身的分枝與一本身的次分枝之間的連接,複數交叉點開關379的第一個379-1設在一單層封裝邏輯驅動器300的第一個300-1內的複數DPIIC晶片410,及複數交叉點開關379的第二個379-2可設在單層封裝邏輯驅動器300的第二個300-2內的複數DPIIC晶片410內,每一類樹突交互連接線481可包括:(i)一主幹連接至邏輯區塊的第一個LB1至第六個LB6其中之一;(ii)從主幹分支出的複數分枝;(iii)光阻層9601設在本身主幹與本身每一分枝之間用於切換本身主幹與本身一分枝之間的連接,每一邏輯區塊可耦接至複數類樹突交互連接線481組成FISC20的複數交互連接線金屬層6及SISC29的交互連接線金屬層27,每一邏輯區塊可耦接至一或複數的類軸突交互連接線482的遠端之末端,從其它的邏輯區塊延伸,通過類樹突交互連接線481從每一邏輯區塊延伸。In addition, as shown in Figure 23B, the axon-like interconnection line 482 can be identified as a tree-like structure comprising: (i) the trunk or stem connecting the first LB1 of the logical block; (ii) the trunk or stem from the The plural branches of the branches are used to connect their own trunk or stem to a second LB2 and a sixth LB6 of the logic block; (iii) the first 379-1 of the plural cross-point switches 379 is located in the trunk or stem and Each branch of itself is used to switch the connection between its own trunk or stem and its own branch; (iv) a plurality of branches branched from an own branch is used to connect an own branch to logic the fifth LB5 and sixth LB6 of the block; and (v) a second 379-2 of the complex crosspoint switches 379 located between an own branch and each own sub-branch for To switch connections between an own branch and an own sub-branch, the first 379-1 of the plurality of crosspoint switches 379 is provided in the plurality of DPIICs within the first 300-1 of a single-level package logic driver 300 Die 410, and the second 379-2 of the plurality of cross-point switches 379 may be disposed in the plurality of DPIIC die 410 in the second 300-2 of the single-level package logic driver 300, and each type of dendritic interconnection line 481 may include : (i) a trunk is connected to one of the first LB1 to the sixth LB6 of the logic block; (ii) a plurality of branches branching off from the trunk; (iii) the photoresist layer 9601 is provided on the trunk and itself Each branch is used to switch the connection between its own trunk and its own branch, and each logic block can be coupled to a plurality of dendritic interconnection lines 481 to form a plurality of interconnection lines metal layer 6 and SISC29 of FISC20 In the interconnect metal layer 27, each logic block may be coupled to the distal end of one or more axon-like interconnect lines 482, extending from other logic blocks, through dendritic-like interconnect lines 481 Extends from each logical block.

用於邏輯驅動器及記憶體驅動器的POP封裝的組合Combination of POP packages for logical drives and memory drives

如上所述,單層封裝邏輯驅動器300可與如第11A圖至第11N圖中的半導體晶片100一起封裝,複數個單層封裝邏輯驅動器300可與一或複數個記憶體驅動器310併入一模組中,記憶體驅動器310可適用於儲存資料或應用程式,記憶體驅動器310可被分離2個型式(如第24A圖至24K圖所示),一個為非揮發性記憶體驅動器322,另一個為揮發性記憶體驅動器323,第24A圖至第24K圖為本發明實施例用於邏輸驅動器及記憶體驅動器的POP封裝之複數組合示意圖,記憶體驅動器310的結構及製程可參考第14A圖至第22I圖的說明,其記憶體驅動器310的結構及製程與第14A圖至第22I圖的說明及規格相同,但是半導體晶片100是非揮發性記憶體晶片用於非揮發性記憶體驅動器322;而半導體晶片100是揮發性記憶體晶片用於非揮發性記憶體驅動器323。As described above, the single level package logic driver 300 may be packaged with the semiconductor chip 100 as shown in FIGS. 11A to 11N , and a plurality of single level package logic drivers 300 may be incorporated into a mold with one or more memory drivers 310 In the group, the memory driver 310 can be suitable for storing data or applications, and the memory driver 310 can be separated into two types (as shown in Figures 24A to 24K), one is the non-volatile memory driver 322 and the other is the non-volatile memory driver 322. The volatile memory driver 323 is shown in FIG. 24A to FIG. 24K. FIG. 24A to FIG. 24K are schematic diagrams of plural combinations of POP packages for the logic input driver and the memory driver according to the embodiment of the present invention. For the structure and process of the memory driver 310, please refer to FIG. 14A In the description to FIG. 22I, the structure and process of the memory driver 310 are the same as the description and specifications of FIG. 14A to FIG. 22I, but the semiconductor chip 100 is a non-volatile memory chip for the non-volatile memory driver 322; And the semiconductor chip 100 is a volatile memory chip for the non-volatile memory driver 323 .

如第24A圖所示,POP封裝可只與如第14A圖至第22I圖所示的基板單元113上的單層封裝邏輯驅動器300堆疊,一上面的單層封裝邏輯驅動器300的金屬柱或凸塊122裝設接合在其背面下面的單層封裝邏輯驅動器300的金屬接墊77E上,但是最下面的單層封裝邏輯驅動器300的金屬柱或凸塊122裝設接合在其基板單元113上面的金屬接墊109上。As shown in Fig. 24A, the POP package can be stacked only with the single-level package logic driver 300 on the substrate unit 113 as shown in Figs. The block 122 is mounted on the metal pads 77E of the single-level package logic drive 300 below its backside, but the metal studs or bumps 122 of the lowermost single-level package logic drive 300 are mounted on the metal pads or bumps 122 bonded on its substrate unit 113. on the metal pad 109 .

如第24B圖所示,POP封裝可只與如第14A圖至第22I圖製成的基板單元113上的單層封裝非揮發性記憶體驅動器322堆疊,一上面的單層封裝非揮發性記憶體驅動器322的金屬柱或凸塊122裝設接合在其背面下面的單層封裝非揮發性記憶體驅動器322的金屬接墊77E上,但是最下面的單層封裝非揮發性記憶體驅動器322的金屬柱或凸塊122裝設接合在其基板單元113上面的金屬接墊109上。As shown in FIG. 24B, the POP package can be stacked only with the single-level packaged non-volatile memory driver 322 on the substrate unit 113 made as shown in FIGS. 14A-22I, an upper single-level packaged non-volatile memory driver 322 The metal studs or bumps 122 of the bulk driver 322 are mounted and bonded to the metal pads 77E of the single-level package non-volatile memory driver 322 below its backside, but the lowermost single-level package non-volatile memory driver 322 has a metal pad 77E. The metal pillars or bumps 122 are mounted on the metal pads 109 on the substrate unit 113 thereof.

如第24C圖所示,POP封裝可只與如第14A圖至第22I圖製成的基板單元113上的單層封裝揮發性記憶體驅動器323堆疊,一上面的單層封裝揮發性記憶體驅動器323的金屬柱或凸塊122裝設接合在其背面下面的單層封裝揮發性記憶體驅動器323的金屬接墊77E上,但是最下面的單層封裝揮發性記憶體驅動器323的金屬柱或凸塊122裝設接合在其基板單元113上面的金屬接墊109上。As shown in FIG. 24C, the POP package can be stacked only with the single-level packaged volatile memory driver 323 on the substrate unit 113 made as shown in FIGS. 14A to 22I, an upper single-level packaged volatile memory driver The metal studs or bumps 122 of 323 are mounted on the metal pads 77E of the single-level package volatile memory driver 323 under its backside, but the metal studs or bumps of the lowermost single-level package volatile memory driver 323 Block 122 is mounted on metal pads 109 on top of its base unit 113 .

如第24D圖所示,POP封裝可堆疊一群組單層封裝邏輯驅動器300及一群組如第14A圖至第22I圖製成的單層封裝揮發性記憶體驅動器323,此單層封裝邏輯驅動器300群組可排列在基板單元113上方及在單層封裝揮發性記憶體驅動器323群組的下方,例如,該群組中的二個單層封裝邏輯驅動器300可排列在基板單元113的上方及位在該群組的二個單層封裝揮發性記憶體驅動器323下方,一第一個單層封裝邏輯驅動器300的金屬柱或凸塊122裝設接合在其上側(面)基板單元113的金屬接墊109,一第二個單層封裝邏輯驅動器300的金屬柱或凸塊122裝設接合在其背面(下側)第一個單層封裝邏輯驅動器300的金屬接墊77E,一第一個單層封裝揮發性記憶體驅動器323的金屬柱或凸塊122裝設接合在其背面的第二個單層封裝邏輯驅動器300之金屬接墊77E上,及一第二個單層封裝揮發性記憶體驅動器323的金屬柱或凸塊122可裝設接合在其背面的第一個單層封裝揮發性記憶體驅動器323之金屬接墊77E上。As shown in FIG. 24D, the POP package can stack a group of single-level package logic drivers 300 and a group of single-level package volatile memory drivers 323 made as shown in FIGS. 14A to 22I. The group of drivers 300 may be arranged above the substrate unit 113 and below the group of single-level package volatile memory drivers 323 , eg, two single-level package logic drivers 300 in the group may be arranged above the substrate unit 113 And below the two single-level packaged volatile memory drivers 323 of the group, the metal pillars or bumps 122 of a first single-level packaged logic driver 300 are mounted and bonded to the upper side (surface) of the substrate unit 113. Metal pads 109, a metal stud or bump 122 of the second single-level package logic driver 300 are mounted on the backside (underside) of the metal pad 77E of the first single-level package logic driver 300, a first The metal studs or bumps 122 of a single-level package volatile memory driver 323 are mounted on the metal pads 77E of a second single-level package logic driver 300 on its backside, and a second single-level package volatile memory driver 323 The metal studs or bumps 122 of the memory driver 323 can be mounted on the metal pads 77E of the first single-level package volatile memory driver 323 on the backside thereof.

如第24E圖所示,POP封裝可與單層封裝邏輯驅動器300與如第14A圖至第22I圖製成的單層封裝揮發性記憶體驅動器323交替地堆疊,例如,一第一個單層封裝邏輯驅動器300的金屬柱或凸塊122可裝設接合在其上側(面)的基板單元113的金屬接墊109上,一第一個單層封裝揮發性記憶體驅動器323的金屬柱或凸塊122裝設接合在其背面的第一個單層封裝邏輯驅動器300的金屬接墊77E上,一第二個單層封裝邏輯驅動器300的金屬柱或凸塊122裝設接合在其背面的第一個單層封裝揮發性記憶體驅動器323的金屬接墊77E上,及一第二個單層封裝揮發性記憶體驅動器323的金屬柱或凸塊122可裝設接合在其背面的第二個單層封裝邏輯驅動器300的金屬接墊77E上。As shown in FIG. 24E, POP packages may be stacked alternately with single-level package logic drivers 300 and single-level packaged volatile memory drivers 323 made as shown in FIGS. 14A-22I, eg, a first single-level package The metal studs or bumps 122 of the packaged logic driver 300 can be mounted on the metal pads 109 of the substrate unit 113 on the upper side (face) thereof, and the metal studs or bumps of the first single-layer packaged volatile memory driver 323 The block 122 is mounted on the metal pads 77E of the first single-level package logic drive 300 on its backside, and the metal studs or bumps 122 of a second single-level package logic drive 300 are mounted on the metal pads 77E on its backside. On the metal pads 77E of a single-level package volatile memory driver 323, and a second metal stud or bump 122 of the single-level package volatile memory driver 323 can be mounted on the backside of a second On the metal pad 77E of the single-level package logic driver 300 .

如第24F圖所示,POP封裝可堆疊一群組單層封裝非揮發性記憶體驅動器322及一群組如第14A圖至第22I圖製成的單層封裝揮發性記憶體驅動器323,此單層封裝揮發性記憶體驅動器323群組可排列在基板單元113上方及在單層封裝非揮發性記憶體驅動器322群組的下方,例如,該群組中的二個單層封裝揮發性記憶體驅動器323可排列在基板單元113的上方及位在該群組的二個單層封裝非揮發性記憶體驅動器322下方,一第一個單層封裝揮發性記憶體驅動器323的金屬柱或凸塊122裝設接合在其上側(面)基板單元113的金屬接墊109,一第二個單層封裝揮發性記憶體驅動器323的金屬柱或凸塊122裝設接合在其背面的第一個單層封裝揮發性記憶體驅動器323的金屬接墊77E上,一第一個單層封裝非揮發性記憶體驅動器322的金屬柱或凸塊122裝設接合在其背面的第二個單層封裝揮發性記憶體驅動器323的金屬接墊77E上,及一第二個單層封裝非揮發性記憶體驅動器322的金屬柱或凸塊122裝設接合在其背面的第一個單層封裝非揮發性記憶體驅動器322的金屬接墊77E上。As shown in FIG. 24F, the POP package can stack a group of single-level package non-volatile memory drivers 322 and a group of single-level package volatile memory drivers 323 fabricated as shown in FIGS. 14A to 22I. A group of single-level package volatile memory drivers 323 may be arranged above the substrate unit 113 and below the group of single-level package non-volatile memory drivers 322, eg, two single-level package volatile memory drivers in the group The bulk driver 323 can be arranged above the substrate unit 113 and below the two single-level packaged non-volatile memory drivers 322 of the group, a metal stud or bump of the first single-level packaged volatile memory driver 323 Block 122 is fitted with metal pads 109 bonded to its upper (face) substrate unit 113, and a second metal stud or bump 122 of a single-level package volatile memory driver 323 is fitted with a first bonded on its backside On the metal pads 77E of the single-level package volatile memory driver 323, the metal studs or bumps 122 of a first single-level package non-volatile memory driver 322 are mounted on the backside of the second single-level package On the metal pads 77E of the volatile memory driver 323, and the metal pillars or bumps 122 of a second single-level packaging non-volatile memory driver 322 are mounted on the backside of the first single-level packaging non-volatile on metal pad 77E of the memory driver 322.

如第24G圖所示,POP封裝可堆疊一群組單層封裝非揮發性記憶體驅動器322及一群組如第14A圖至第22I圖製成的單層封裝揮發性記憶體驅動器323,此單層封裝非揮發性記憶體驅動器322群組可排列在基板單元113上方及在單層封裝揮發性記憶體驅動器323群組的下方,例如,該群組中的二個單層封裝非揮發性記憶體驅動器322可排列在基板單元113的上方及位在該群組的二個單層封裝揮發性記憶體驅動器323下方,一第一個單層封裝非揮發性記憶體驅動器322的金屬柱或凸塊122裝設接合在其上側(面)基板單元113的金屬接墊109,一第二個單層封裝非揮發性記憶體驅動器322的金屬柱或凸塊122裝設接合在其背面(下側)第一個單層封裝非揮發性記憶體驅動器322的金屬接墊77E,一第一個單層封裝揮發性記憶體驅動器323的金屬柱或凸塊122裝設接合在其背面的第二個單層封裝非揮發性記憶體驅動器322之金屬接墊77E上,及一第二個單層封裝揮發性記憶體驅動器323的金屬柱或凸塊122可裝設接合在其背面的第一個單層封裝揮發性記憶體驅動器323之金屬接墊77E上。As shown in FIG. 24G, the POP package can stack a group of single-level package non-volatile memory drivers 322 and a group of single-level package volatile memory drivers 323 fabricated as shown in FIGS. 14A to 22I. The group of single-level package non-volatile memory drivers 322 can be arranged above the substrate unit 113 and below the group of single-level package volatile memory drivers 323, eg, two single-level package non-volatile memory drivers in the group The memory drivers 322 may be arranged above the substrate unit 113 and below the two single-level packaged volatile memory drivers 323 of the group, a metal pillar of the first single-level packaged non-volatile memory driver 322 or The bumps 122 are mounted on the metal pads 109 of the upper (surface) substrate unit 113, and the metal posts or bumps 122 of a second single-level packaged non-volatile memory driver 322 are mounted on the backside (bottom). side) the metal pads 77E of the first single-level package non-volatile memory driver 322, the metal studs or bumps 122 of the first single-level package volatile memory driver 323 are mounted on the backside of the second On the metal pads 77E of a single-level packaged non-volatile memory driver 322, and a second single-level packaged volatile memory driver 323 metal studs or bumps 122 can be mounted on the backside of the first one On the metal pad 77E of the single-level package volatile memory driver 323 .

如第24H圖所示,POP封裝可與單層封裝非揮發性記憶體驅動器322與如第14A圖至第22I圖製成的單層封裝揮發性記憶體驅動器323交替地堆疊,例如,一第一個單層封裝揮發性記憶體驅動器323的金屬柱或凸塊122可裝設接合在其上側(面)的基板單元113的金屬接墊109上,一第一個單層封裝非揮發性記憶體驅動器322的金屬柱或凸塊122裝設接合在其背面的第一個單層封裝揮發性記憶體驅動器323的金屬接墊77E上,一第二個單層封裝揮發性記憶體驅動器323的金屬柱或凸塊122可裝設接合在其背面的第二個單層封裝揮發性記憶體驅動器323的金屬接墊77E上,一第二個單層封裝揮發性記憶體驅動器323的金屬柱或凸塊122可裝設接合在其背面的第一個單層封裝非揮發性記憶體驅動器322的金屬接墊77E上,及一第二個單層封裝非揮發性記憶體驅動器322的金屬柱或凸塊122可裝設接合在其背面的第二個單層封裝揮發性記憶體驅動器323的金屬接墊77E上。As shown in FIG. 24H, POP packages may be stacked alternately with single-level packaged non-volatile memory drivers 322 and single-level packaged volatile memory drivers 323 made as shown in FIGS. 14A-22I, eg, a first The metal studs or bumps 122 of a single-level package volatile memory driver 323 can be mounted on the metal pads 109 of the substrate unit 113 on the upper side (face) thereof, and a first single-level package non-volatile memory The metal studs or bumps 122 of the bulk driver 322 are mounted on the metal pads 77E of the first single-level package volatile memory driver 323 on its backside, and a second single-level package volatile memory driver The metal pillars or bumps 122 can be mounted on the metal pads 77E of the second single-level package volatile memory driver 323 on its backside, a metal pillar of the second single-level package volatile memory driver 323 or The bumps 122 can be mounted on the metal pads 77E of the first single-level package non-volatile memory driver 322 on its backside, and the metal pillars of a second single-level package non-volatile memory driver 322 or The bumps 122 can be mounted on the metal pads 77E of the second single-level package volatile memory driver 323 on the backside thereof.

如第24I圖所示,POP封裝可堆疊一群組單層封裝邏輯驅動器300、一群組單層封裝非揮發性記憶體驅動器322及一群組如第14A圖至第22I圖製成的單層封裝揮發性記憶體驅動器323,此單層封裝邏輯驅動器300群組可排列在基板單元113上方及在單層封裝揮發性記憶體驅動器323群組的下方,及此單層封裝揮發性記憶體驅動器323群組可排列在單層封裝邏輯驅動器300上方及在單層封裝非揮發性記憶體驅動器322群組的下方,例如,該群組中的二個單層封裝邏輯驅動器300可排列在基板單元113的上方及位在該群組的二個單層封裝揮發性記憶體驅動器323下方,該群組中的二個單層封裝揮發性記憶體驅動器323可排列在單層封裝邏輯驅動器300的上方及位在該群組的二個單層封裝非揮發性記憶體驅動器322下方,一第一個單層封裝邏輯驅動器300的金屬柱或凸塊122裝設接合在其上側(面)基板單元113的金屬接墊109,一第二個單層封裝邏輯驅動器300的金屬柱或凸塊122裝設接合在其背面(下側)第一個COIP單層封裝邏輯驅動器300的金屬接墊77E,一第一個單層封裝揮發性記憶體驅動器323的金屬柱或凸塊122裝設接合在其背面的第二個單層封裝邏輯驅動器300之金屬接墊77E上,一第二個單層封裝揮發性記憶體驅動器323的金屬柱或凸塊122可裝設接合在其背面的第一個單層封裝揮發性記憶體驅動器323之金屬接墊77E上,一第一個單層封裝非揮發性記憶體驅動器322的金屬柱或凸塊122裝設接合在其背面的第二個單層封裝揮發性記憶體驅動器323之金屬接墊77E上,及一第二個單層封裝非揮發性記憶體驅動器322的金屬柱或凸塊122可裝設接合在其背面的第一個單層封裝非揮發性記憶體驅動器322之金屬接墊77E上。As shown in FIG. 24I, the POP package can stack a group of single-level package logic drivers 300, a group of single-level package non-volatile memory drivers 322, and a group of single-level packaged logic drivers 322 as shown in FIGS. 14A to 22I. Layer-encapsulated volatile memory drivers 323, the group of single-level encapsulation logic drivers 300 may be arranged above the substrate unit 113 and below the group of single-level encapsulation volatile memory drivers 323, and the single-level encapsulation volatile memory The group of drivers 323 can be arranged above the single-level package logic driver 300 and below the group of single-level package non-volatile memory drivers 322, eg, two single-level package logic drivers 300 in the group can be arranged on the substrate Above the cell 113 and below the two single-level package volatile memory drivers 323 of the group, the two single-level package volatile memory drivers 323 in the group may be arranged on the side of the single-level package logic driver 300 Above and below the two single-level packaged non-volatile memory drivers 322 of the group, the metal pillars or bumps 122 of a first single-level packaged logic driver 300 are mounted and bonded to its upper (face) substrate unit Metal pads 109 of 113, metal studs or bumps 122 of a second single-level package logic driver 300 are mounted on the backside (underside) of the metal pads 77E of the first COIP single-level package logic driver 300, The metal studs or bumps 122 of a first single-level package volatile memory driver 323 are mounted on the metal pads 77E of the second single-level package logic driver 300 on its backside, a second single-level package The metal studs or bumps 122 of the volatile memory driver 323 can be installed and bonded to the metal pads 77E of the first single-level packaged volatile memory driver 323 on its backside, a first single-level packaged non-volatile memory driver 323 The metal studs or bumps 122 of the memory driver 322 are mounted on the metal pads 77E of the second single-level package volatile memory driver 323 on its backside, and a second single-level package non-volatile memory The metal studs or bumps 122 of the driver 322 can be mounted and bonded to the metal pads 77E of the first single-level package non-volatile memory driver 322 on its backside.

如第24J圖所示,POP封裝可與單層封裝邏輯驅動器300、單層封裝非揮發性記憶體驅動器322與如第14A圖至第22I圖製成的單層封裝揮發性記憶體驅動器323交替地堆疊,例如,一第一個單層封裝邏輯驅動器300的金屬柱或凸塊122可裝設接合在其上側(面)的基板單元113的金屬接墊109上,一第一個單層封裝揮發性記憶體驅動器323的金屬柱或凸塊122可裝設接合在其背(面)的第一個單層封裝邏輯驅動器300的金屬接墊77E上,一第一個單層封裝非揮發性記憶體驅動器322的金屬柱或凸塊122裝設接合在其背面的第一個單層封裝揮發性記憶體驅動器323的金屬接墊77E上,一第二個單層封裝邏輯驅動器300的金屬柱或凸塊122可裝設接合在其背面的第一個單層封裝非揮發性記憶體驅動器322的金屬接墊77E上,一第二個單層封裝揮發性記憶體驅動器323的金屬柱或凸塊122可裝設接合在其背面的第二個單層封裝邏輯驅動器300的金屬接墊77E上,及一第二個單層封裝非揮發性記憶體驅動器322的金屬柱或凸塊122可裝設接合在其背面的第二個單層封裝揮發性記憶體驅動器323的金屬接墊77E上。As shown in FIG. 24J, the POP package can be alternated with the single-level package logic driver 300, the single-level package non-volatile memory driver 322, and the single-level package volatile memory driver 323 made as shown in FIGS. 14A-22I For example, the metal pillars or bumps 122 of a first single-level package logic driver 300 may be mounted on the metal pads 109 of the substrate unit 113 bonded to the upper side (surface) thereof, a first single-level package The metal studs or bumps 122 of the volatile memory driver 323 can be mounted and bonded to the metal pads 77E of the first single-level package logic driver 300 on the back (side) of the first single-level package logic driver 300, a first single-level package non-volatile The metal studs or bumps 122 of the memory driver 322 are mounted and bonded to the metal pads 77E of the first single-level package volatile memory driver 323 on its backside, a metal pillar of the second single-level package logic driver 300 Alternatively, the bumps 122 can be mounted on the metal pads 77E of the first single-level package non-volatile memory driver 322 on its backside, a metal stud or bump of the second single-level package volatile memory driver 323 The block 122 can be mounted on the metal pads 77E of a second single-level package logic driver 300 on its backside, and the metal studs or bumps 122 of a second single-level package non-volatile memory driver 322 can be mounted The metal pads 77E of the second single-level package volatile memory driver 323 on its backside are provided.

在如第24I圖及第24J圖中的每一POP封裝結構中,每一單層封裝非揮發性記憶體驅動器322可封裝具有一個(或多個)NVMIC晶片250,用於配置以儲存結果值及/或編程碼,該些結果值及/或編程碼係以非揮發性方式對在每一標準商業化邏輯驅動器300之每一標準商業化FPGAIC晶片200的可編程邏輯塊201、及/或通過/不通過開關258及/或交叉點開關379進行編程,及以對在每一標準商業化邏輯驅動器300之每一DPIIC晶片410的通過/不通過開關258及/或交叉點開關379進行編程,當每一POP封裝結構電源啟動時,(1)儲存在每一單層封裝非揮發性記憶體驅動器322中的一個(或多個)NVMIC晶片250中的結果值可被加載至每一標準商業化邏輯驅動器300的每一標準商業化FPGAIC晶片200之記憶體單元490中,以被儲存於其中,用於編程每一標準商業化邏輯驅動器300中每一標準商業化FPGAIC晶片200的可編程邏輯區塊201,(2)儲存在每一單層封裝非揮發性記憶體驅動器322的一個(或多個)NVMIC晶片250之編程碼可被加載至每一標準商業化邏輯驅動器300的每一標準商業化FPGAIC晶片200之記憶體單元362中,以儲存於其中,並用於編程每一標準商業化邏輯驅動器300中每一標準商業化FPGAIC晶片200的通過/不通過開關258及/或交叉點開關379,及(3)儲存在每一單層封裝非揮發性記憶體驅動器322的一個(或多個)NVMIC晶片250之編程碼可被加載至每一標準商業化邏輯驅動器300的每一DPIIC晶410的記憶體單元362中,以儲存於其中,並用於編程每一標準商業化邏輯驅動器300中每一DPIIC晶410的通過/不通過開關258及/或交叉點開關379。In each POP package structure as shown in FIGS. 24I and 24J, each single-level package non-volatile memory driver 322 may be packaged with one (or more) NVMIC chips 250 configured to store the resulting values and/or programming codes, the result values and/or programming codes are in a non-volatile manner to the programmable logic blocks 201 of each standard commercial FPGAIC chip 200 in each standard commercial logic driver 300, and/or Program the pass/fail switch 258 and/or the crosspoint switch 379, and to program the pass/fail switch 258 and/or the crosspoint switch 379 on each DPIIC die 410 of each standard commercial logic driver 300 , when each POP package structure is powered up, (1) the resulting values stored in one (or more) NVMIC chips 250 in each single-level package non-volatile memory driver 322 can be loaded into each standard In the memory cells 490 of each standard commercial FPGAIC chip 200 of the commercial logic driver 300 to be stored therein for programming the programmable logic of each standard commercial FPGAIC chip 200 in each standard commercial logic driver 300 The logic blocks 201, (2) the programming code stored in the one (or more) NVMIC chips 250 of each single-level package non-volatile memory driver 322 can be loaded into each of the standard commercial logic drivers 300. in the memory cells 362 of the standard commercial FPGAIC chips 200 to be stored therein and used to program the pass/fail switches 258 and/or intersections of each standard commercial FPGA IC chip 200 in each standard commercial logic driver 300 Switch 379, and (3) the programming code stored in one (or more) NVMIC chips 250 of each single-level package non-volatile memory driver 322 can be loaded into each DPIIC of each standard commercial logic driver 300 In the memory cell 362 of the die 410 for storage therein and for programming the pass/fail switch 258 and/or the crosspoint switch 379 of each DPIIC die 410 in each standard commercial logic driver 300.

如第24K圖所示,POP封裝可堆疊成三個堆疊,一堆疊只有單層封裝邏輯驅動器300在如第14A圖至第22I圖製成的基板單元113上,另一堆疊為只有單層封裝非揮發性記憶體驅動器322在如第14A圖至第22I圖製成的基板單元113上,及其它一個堆疊只有單層封裝揮發性記憶體驅動器323在如第14A圖至第22I圖製成的基板單元113上,此結構的製程在單層封裝邏輯驅動器300、單層封裝非揮發性記憶體驅動器322及單層封裝揮發性記憶體驅動器323三個堆疊結構形成在電路載體或基板上,如第22A圖中的元件110,將銲錫球325以植球方式設置在電路載體或基板的背面,然後經由雷射切割或機械切割的方式將電路載體或基板110切割成複數個單獨基板單元113,其中電路載體或基板例如是PCB基板或BGA基板。As shown in Fig. 24K, the POP package can be stacked into three stacks, one stack with only the single-level package logic driver 300 on the substrate unit 113 as shown in Figs. 14A to 22I, and the other stack with only the single-level package The non-volatile memory driver 322 is on the substrate unit 113 made as shown in Figs. 14A to 22I, and the other one stacked only the single-level package of the volatile memory driver 323 is made as shown in Figs. 14A to 22I On the substrate unit 113, the process of this structure is formed on a circuit carrier or a substrate in three stacked structures of the single-level package logic driver 300, the single-level package non-volatile memory driver 322 and the single-level package volatile memory driver 323, such as For the component 110 in Figure 22A, solder balls 325 are mounted on the back of the circuit carrier or substrate, and then the circuit carrier or substrate 110 is cut into a plurality of individual substrate units 113 by laser cutting or mechanical cutting. The circuit carrier or substrate is, for example, a PCB substrate or a BGA substrate.

24L圖為本發明實施例中複數POP封裝的上視圖,其中第24K圖係沿著切割線A-A之剖面示意圖。另外,複數個I/O連接埠305可裝設接合在具有一或複數USB插頭、高畫質多媒體介面(high-definition-multimedia-interface(HDMI))插頭、音頻插頭、互聯網插頭、電源插頭和/或插入其中的視頻圖形陣列(VGA)插頭的基板單元113上。FIG. 24L is a top view of a plurality of POP packages according to an embodiment of the present invention, and FIG. 24K is a schematic cross-sectional view along the cutting line A-A. In addition, the plurality of I/O ports 305 can be installed and connected to one or more USB plugs, high-definition-multimedia-interface (HDMI) plugs, audio plugs, Internet plugs, power plugs, and and/or on the base unit 113 of the Video Graphics Array (VGA) plug inserted therein.

邏輯驅動器的應用Application of logical drives

經由使用商業化標準邏輯驅動器300,可將現有的系統設計、製造生產及(或)產品產業改變成一商業化的系統/產品產業,像是現在商業化的DRAM、或快閃記憶體產業,一系統、電腦、智慧型手機或電子設備或裝置可變成一商業化標準硬體包括主要的記憶體驅動器310及單層封裝邏輯驅動器300,第25A圖至第25C圖為本發明實施例中邏輯運算及記憶體驅動器的各種應用之示意圖。如第25A圖至第25C圖,單層封裝邏輯驅動器300具有足夠大數量的輸入/輸出(I/O)以支持(支援)用於編程全部或大部分應用程式/用途的輸入/輸出連接埠305。單層封裝邏輯驅動器300的I/Os(由金屬柱或凸塊122提供)支持用於編程所需求的I/O連接埠,例如,執行人工智能(ArtificialIntelligence,AI)、機器學習、深度學習、大數據資料庫儲存或分析、物聯網(InternetOfThings,IOT)、虛擬實境(VR)、擴增實境(AR)、車用電子圖形處理(CarGP)、數位訊號處理、微控制器及(或)中央處理(CP)的功能或任何組合的功能。單層封裝邏輯驅動器300可適用於(1)編程或配置I/O用於軟體或應用開發人員下載應用軟體或程式碼儲存在記憶體驅動器310,通過複數I/O連接埠305或連接器連接或耦接至單層封裝邏輯驅動器300的複數I/Os,及(2)執行複數I/Os通過複數I/Os連接埠305或連接器連接或耦接至單層封裝邏輯驅動器300的複數I/Os,執行使用者的指令,例如產生一微軟word檔案、或一powerpoint簡報檔案或excel檔案,複數I/Os連接埠305或連接器連接或耦接至相對應單層封裝邏輯驅動器300的複數I/Os,可包括一或複數(2、3、4或大於4)USB連接端、一或複數IEEE1394連接端、一或複數乙太網路連接端、一或複數HDMI連接端、一或複數VGA連接端、一或複數電源供應連接端、一或複數音源連接端或串行連接端,例如RS-232或通訊(COM)連接端、無線收發I/Os連接端及/或藍芽收發器I/O連接端等,複數I/Os連接埠305或連接器可被設置、放置、組裝或連接在基板、軟板或母板上,例如PCB板、具有交互連接線結構(如18W圖所示)的矽基板、具有交互連接線結構的金屬基板、具有交互連接線結構的玻璃基板、具有交互連接線結構陶瓷基板或具有交互連接線結構的軟性基板或薄膜。單層封裝邏輯驅動器300可使用其本身的金屬柱或凸塊122裝設接合組裝在基板、軟板或母板,類似晶片封裝技術的覆晶封裝或使用在LCD驅動器封裝技術的COF封裝技術。By using a commercial standard logic driver 300, an existing system design, manufacturing and/or product industry can be changed into a commercial system/product industry, such as the current commercial DRAM, or flash memory industry, a The system, computer, smart phone, or electronic device or device can be turned into a commercial standard hardware including a main memory driver 310 and a single-level package logic driver 300. FIGS. 25A to 25C are logic operations in an embodiment of the present invention. and schematic diagrams of various applications of memory drives. As shown in FIGS. 25A to 25C, the single-level package logic driver 300 has a large enough number of input/output (I/O) to support (support) the input/output ports for programming all or most applications/purposes 305. The I/Os of the single-level package logic driver 300 (provided by the metal pillars or bumps 122 ) support the I/O ports required for programming, eg, performing artificial intelligence (AI), machine learning, deep learning, Big data database storage or analysis, Internet of Things (IOT), virtual reality (VR), augmented reality (AR), automotive electronic graphics processing (CarGP), digital signal processing, microcontrollers and/or ) functions of a central processing (CP) or any combination of functions. Single-level package logic driver 300 may be suitable for (1) programming or configuration I/O for software or application developers to download application software or code stored in memory driver 310, connected through a plurality of I/O ports 305 or connectors or coupled to the complex I/Os of the single-level package logic driver 300, and (2) performing the complex I/Os connected or coupled to the complex I/Os of the single-level package logic driver 300 through the complex I/Os port 305 or connector /Os, executes the user's instruction, such as generating a Microsoft word file, or a powerpoint presentation file or an excel file, the plurality of I/Os ports 305 or connectors are connected or coupled to the plurality of corresponding single-level package logical drivers 300 I/Os, which may include one or more (2, 3, 4 or more) USB connections, one or more IEEE1394 connections, one or more Ethernet connections, one or more HDMI connections, one or more VGA connector, one or more power supply connectors, one or more audio source connectors or serial connectors such as RS-232 or communication (COM) connectors, wireless transceiver I/Os connectors and/or Bluetooth transceivers I/O connections, etc., a plurality of I/Os connection ports 305 or connectors can be arranged, placed, assembled or connected on a substrate, a flexible board or a motherboard, such as a PCB board, with an interconnecting wire structure (as shown in Figure 18W). (shown) silicon substrates, metal substrates with interconnected line structures, glass substrates with interconnected line structures, ceramic substrates with interconnected line structures, or flexible substrates or films with interconnected line structures. The single level package logic driver 300 may be assembled on a substrate, flex board or motherboard using its own metal post or bump 122 mounting bonding, flip chip packaging similar to chip packaging technology or COF packaging technology used in LCD driver packaging technology.

第25A圖為本發明實施例用於一邏輯驅動器或FPGAIC模組的應用示意圖,如第25A圖所示,一桌上型或膝上型電腦、手機或智慧型手機或AI機械人330可包含可編程的單層封裝邏輯驅動器300,其單層封裝邏輯驅動器300包括複數處理器,例如包含基頻處理器301、應用處理器302及其它處理器303,其中應用處理器302可包含CPU、南穚、北穚及圖形處理單元(GPU),而其它處理器303可包括射頻(RF)處理器、無線連接處理器及(或)液晶顯示器(LCD)控制模組。單層封裝邏輯驅動器300更可包含電源管理304的功能,經由軟體控制將每個處理器(301、302及303)獲得最低可用的電力需求功率。每一I/O連接埠305可連接單層封裝邏輯驅動器300的金屬柱或凸塊122群組至各種外部設備,例如,這些I/O連接埠305可包含I/O連接埠1以連接至電腦或、手機或機械人330的無線訊號通訊元件306,例如是全球定位系統(global-positioning-system(GPS))元件、無線區域網路(wireless-local-area-network(WLAN))元件、藍芽元件或射頻(RF)裝置,這些I/O連接埠305包含I/O連接埠2以連接至電腦或、手機或機械人330的各種顯示設備307,例如是LCD顯示裝置或有機發光二極體顯示裝置,這些I/O連接埠305包含I/O連接埠3以連接至電腦或、手機或機械人330的照相機308,這些I/O連接埠305可包括I/O連接埠4以連接至電腦或、手機或機械人330的音頻設置309,例如是麥克風或掦聲器,這些I/O連接埠305或連接器連接或耦至邏輯驅動器相對應的複數I/Os可包括I/O連接埠5,例如是記憶體驅動器用途的串行高級技術附件(SerialAdvancedTechnologyAttachment,SATA)連接端或外部連結(PeripheralComponentsInterconnectexpress,PCIe)連接端,用以與電腦或、手機或機械人330的記憶體驅動器、磁碟或裝置310通訊,其中磁碟或裝置310包括硬碟驅動器、快閃記憶體驅動器及(或)固態硬碟驅動器,這些I/O連接埠305可包含I/O連接埠6以連接至電腦或、手機或機械人330的鍵盤311,這些I/O連接埠305可包含I/O連接埠7以連接電腦或、手機或機械人330的乙太網路312。FIG. 25A is a schematic diagram of an application of an embodiment of the present invention for a logic driver or an FPGA IC module. As shown in FIG. 25A, a desktop or laptop computer, a mobile phone or a smart phone, or an AI robot 330 may include The programmable single-level packaging logic driver 300 includes a plurality of processors, such as a base frequency processor 301, an application processor 302, and other processors 303, wherein the application processor 302 may include a CPU, a A graphics processing unit (GPU), and other processors 303 may include a radio frequency (RF) processor, a wireless connection processor, and/or a liquid crystal display (LCD) control module. The single-level package logic driver 300 may further include the function of power management 304 to obtain the minimum available power demand power for each processor (301, 302 and 303) through software control. Each I/O port 305 can connect the metal pillars or groups of bumps 122 of the single-level package logic driver 300 to various external devices. For example, the I/O ports 305 can include I/O port 1 to connect to The wireless signal communication element 306 of the computer, mobile phone or robot 330, such as a global positioning system (GPS) element, a wireless-local-area-network (WLAN) element, Bluetooth devices or radio frequency (RF) devices, these I/O ports 305 include I/O port 2 to connect to various display devices 307 of computers or mobile phones or robots 330, such as LCD display devices or organic light emitting two Polar body display device, these I/O ports 305 include I/O port 3 to connect to a computer or camera 308 of a mobile phone or robot 330, these I/O ports 305 may include I/O port 4 to Connected to an audio setup 309 of a computer or mobile phone or robot 330, such as a microphone or a microphone, these I/O ports 305 or connectors are connected or coupled to logical drives. The corresponding plurality of I/Os may include I/O O port 5, such as a serial advanced technology attachment (Serial Advanced Technology Attachment, SATA) connector or an external link (Peripheral Components Interconnect express, PCIe) connector for memory drives, for connecting with a computer or a memory drive of a mobile phone or robot 330 , disk or device 310 communication, wherein the disk or device 310 includes hard disk drives, flash memory drives and/or solid state hard drives, these I/O ports 305 may include I/O port 6 to connect To the keyboard 311 of the computer or mobile phone or robot 330 , these I/O ports 305 may include I/O port 7 for connecting to the Ethernet network 312 of the computer or mobile phone or robot 330 .

或者,第25B圖為本發明實施例邏輯驅動器或FPGAIC模組的一應用示意圖,第25B圖的結構與第25A圖的結構相似,但是不同點在於電腦或、手機或機械人330在其內部更設置有電源管理晶片313而不是在單層封裝邏輯驅動器300的外面,其中電源管理晶片313適用於經由軟體控製的方式將每一單層封裝邏輯驅動器300、無線通訊元件306、顯示裝置307、照相機308、音頻裝置309、記憶體驅動器、磁碟或裝置310、鍵盤311及乙太網路312,放置(或設置)於可用最低電力需求狀態之。Alternatively, FIG. 25B is a schematic diagram of an application of a logic driver or an FPGAIC module according to an embodiment of the present invention. The structure of FIG. 25B is similar to the structure of FIG. 25A, but the difference is that the computer or mobile phone or robot 330 has more A power management chip 313 is provided instead of the outside of the single-level package logic driver 300, wherein the power management chip 313 is suitable for each single-level package logic driver 300, wireless communication element 306, display device 307, camera via software control. 308, audio device 309, memory drive, disk or device 310, keyboard 311, and ethernet 312, placed (or set) in the lowest power demand state available.

或者,第25C圖為本發明實施例邏輯驅動器或FPGAIC模組之應用示意圖,如第25C圖所示,一桌上型或膝上型電腦、手機或智慧型手機或AI機械人311在另一實施例中可包括複數單層封裝邏輯驅動器300,該些單層封裝邏輯驅動器300可編程為複數處理器,例如,一第一個單層封裝邏輯驅動器300(也就左邊那個)可編成為基頻處理器301,一第二個單層封裝邏輯驅動器300(也就右邊那個)可被編程為應用處理器302,其包括2可包含CPU、南穚、北穚及圖形處理單元(GPU),第一個單層封裝邏輯驅動器300更包括一電源管理304的功能以使基頻處理器301經由軟體控制獲得最低可用的電力需求功率。第二個單層封裝邏輯驅動器300包括一電源管理304的功能以使應用處理器302經由軟體控制獲得最低可用的電力需求功率。第一個及第二個單層封裝邏輯驅動器300更包含各種I/O連接埠305以各種連接方式/裝置連接各種裝置,例如,這些I/O連接埠305可包含設置在第一個單層封裝邏輯驅動器300上的I/O連接埠1以連接至電腦或、手機或機械人330的無線訊號通訊元件306,例如是全球定位系統(global-positioning-system(GPS))元件、無線區域網路(wireless-local-area-network(WLAN))元件、藍芽元件或射頻(RF)裝置,這些I/O連接埠305包含設置在第二個單層封裝邏輯驅動器300上的I/O連接埠2以連接至電腦或、手機或機械人330的各種顯示設備307,例如是LCD顯示裝置或有機發光二極體顯示裝置,這些I/O連接埠305包含設置在第二個單層封裝邏輯驅動器300上的I/O連接埠3以連接至電腦或、手機或機械人330的照相機308,這些I/O連接埠305可包括設置在第二個單層封裝邏輯驅動器300上的I/O連接埠4以連接至電腦或、手機或機械人330的音頻設置309,例如是麥克風或掦聲器,這些I/O連接埠305可包括設置在第二個單層封裝邏輯驅動器300上的I/O連接埠5,用以與電腦或、手機或機械人330的記憶體驅動器、磁碟或裝置310連接,其中磁碟或裝置310包括磁碟或固態硬碟驅動器(SSD),這些I/O連接埠305可包含設置在第二個單層封裝邏輯驅動器300上的I/O連接埠6以連接至電腦或、手機或機械人330的鍵盤311,這些I/O連接埠305可包含設置在第二個單層封裝邏輯驅動器300上的I/O連接埠7,以連接電腦或、手機或機械人330的乙太網路312。每一第一個及第二個單層封裝邏輯驅動器300可具有專用I/O連接埠314用於第一個及第二個單層封裝邏輯驅動器300之間的資料傳輸,電腦或、手機或機械人330其內部更設置有電源管理晶片313而不是在第一個及第二個單層封裝邏輯驅動器300的外面,其中電源管理晶片313適用於經由軟體控製的方式將每一第一個及第二個單層封裝邏輯驅動器300、無線通訊元件306、顯示裝置307、照相機308、音頻裝置309、記憶體驅動器、磁碟或裝置310、鍵盤311及乙太網路312,放置(或設置)於可用最低電力需求狀態之。Alternatively, FIG. 25C is a schematic diagram of the application of a logic driver or an FPGAIC module according to an embodiment of the present invention. As shown in FIG. 25C, a desktop or laptop computer, a mobile phone or a smart phone, or an AI robot 311 is in another Embodiments may include a plurality of single-level package logic drivers 300 that can be programmed as a plurality of processors, for example, a first single-level package logic driver 300 (ie, the one on the left) can be programmed as a base. Frequency processor 301, a second single-level package logical driver 300 (that is, the one on the right) can be programmed as an application processor 302, which includes 2 can include a CPU, a souther, a norther, and a graphics processing unit (GPU), The first single-level package logic driver 300 further includes a power management 304 function to enable the baseband processor 301 to obtain the lowest available power demand power through software control. The second single level package logical driver 300 includes a power management 304 function to enable the application processor 302 to obtain the lowest available power demand power via software control. The first and second single-level packaged logical drivers 300 further include various I/O ports 305 for connecting various devices in various connection methods/devices. The I/O port 1 on the package logic driver 300 is connected to the wireless signal communication element 306 of the computer or the mobile phone or the robot 330, such as a global positioning system (GPS) element, a wireless local area network wireless-local-area-network (WLAN) components, Bluetooth components, or radio frequency (RF) devices, these I/O ports 305 include I/O connections provided on the second single-level package logic driver 300 Port 2 is used to connect to various display devices 307 of computers or mobile phones or robots 330, such as LCD display devices or organic light-emitting diode display devices, these I/O ports 305 include logic provided in the second single-layer package I/O port 3 on the driver 300 to connect to a computer or camera 308 of a cell phone or robot 330, these I/O ports 305 may include I/O provided on a second single level package logical driver 300 Port 4 to connect to audio equipment 309 of a computer or, mobile phone or robot 330, such as a microphone or a sounder The /O port 5 is used to connect with the memory drive, disk or device 310 of a computer or mobile phone or robot 330, wherein the disk or device 310 includes a disk or solid state disk drive (SSD), these I/O O port 305 may include I/O port 6 provided on the second LLP logical driver 300 to connect to keyboard 311 of a computer or, cell phone or robot 330, these I/O ports 305 may contain settings I/O port 7 on the second LLP logical driver 300 for connection to the Ethernet 312 of the computer or, cell phone or robot 330. Each of the first and second single-level package logical drives 300 may have dedicated I/O ports 314 for data transfer between the first and second single-level package logical drives 300, computer or mobile phone or The robot 330 is further provided with a power management chip 313 instead of outside the first and second single-level package logic drivers 300, wherein the power management chip 313 is suitable for connecting each first and The second single-level package logical driver 300, wireless communication element 306, display device 307, camera 308, audio device 309, memory driver, disk or device 310, keyboard 311, and Ethernet network 312, placed (or set up) in the state with the lowest power demand available.

記憶體驅動器memory drive

本發明也與商業化標準記憶體驅動器、封裝、封裝驅動器、裝置、模組、硬碟、硬碟驅器、固態硬碟或固態硬碟驅動器310有關(其中310以下簡稱”驅動器”,即下文提到”驅動器”時,表示為商業化標準記憶體驅動器、封裝、封裝驅動器、裝置、模組、硬碟、硬碟驅器、固態硬碟或固態硬碟驅器),且驅動器310在一多晶片封裝內用於資料儲存複數商業化標準非揮發性記憶體IC晶片250,第26A圖為本發明實施例商業化標準記憶體驅動器的上視圖,如第26A圖所示,記憶體驅動器310第一型式可以是一非揮發性記憶體驅動器322,其可用於如第24A圖至第24K圖中驅動器至驅動器的組裝,其封裝具有複數高速、高頻寛非揮發性記憶體(NVM)IC晶片250以半導體晶片100排列成一矩陣,其中記憶體驅動器310的結構及製程可參考單層封裝邏輯驅動器300的結構及製程,但是不同點在於第26A圖中半導體晶片100的排列,每一高速、高頻寬的非揮發性記憶體IC晶片250可以是裸晶型式NAND快閃記憶體晶片、NOR快閃記憶體晶片或複數晶片封裝型式快閃記憶體晶片,即使記憶體驅動器310斷電時資料儲存在商業化標準記憶體驅動器310內的非揮發性記憶體IC晶片250可保留,或者,高速、高頻寛非揮發性記憶體(NVM)IC晶片250可以是裸晶型式非揮發性隨機存取記憶體(NVRAM)IC晶片或是封裝型式的非揮發性隨機存取記憶體(NVRAM)IC晶片,NVRAM可以是鐵電隨機存取記憶體(FerroelectricRAM(FRAM)),磁阻式隨機存取記憶體(MagnetoresistiveRAM(MRAM))、相變化記憶體(Phase-changeRAM(PRAM)),每一複數NAND快閃晶片250(或NOR快閃記憶體晶片)可具有標準記憶體密度、內量或尺寸大於或等於64Mb、512Mb、1Gb、4Gb、16Gb、64Gb、128Gb、256Gb或512Gb,其中”b”為位元,每一複數NAND快閃晶片250(或NOR快閃記憶體晶片)可使用先進NAND快閃技術或下一世代製程技術或設計及製造,例如,技術先進於或等於45nm、28nm、20nm、16nm及(或)10nm,其中先進的NAND快閃技術或NOR快閃記憶體晶片可包括在平面快閃記憶體(2D-NAND)結構或立體快閃記憶體(3DNAND)結構中使用單一單層式儲存(SingleLevelCells(SLC))技術或多層式儲存(multiplelevelcells(MLC))技術(例如,雙層儲存(DoubleLevelCellsDLC)或三層儲存(tripleLevelcellsTLC)),此3DNAND結構可包括複數NAND記憶單元的堆疊層(或級),例如大於或等於4、8、16、32NAND記憶單元(或NOR快閃記憶單元)的堆疊層。因此,商業化標準記憶體驅動器310可具有標準非揮發性記憶體,其記憶體密度、容量或尺寸大於或等於8MB、64MB、128GB、512GB、1GB、4GB、16GB、64GB、256GB或512GB,其中”B”代表8位元。The present invention also relates to commercialized standard memory drives, packages, packaged drives, devices, modules, hard disks, hard disk drives, solid-state disks or solid-state disk drives 310 (wherein 310 is hereinafter referred to as "drive", i.e. hereinafter Reference to "drive" means a commercial standard memory drive, package, packaged drive, device, module, hard drive, hard drive, solid state drive, or solid state drive), and drive 310 is a A plurality of commercial standard non-volatile memory IC chips 250 are used for data storage in a multi-chip package. FIG. 26A is a top view of a commercial standard memory driver according to an embodiment of the present invention. As shown in FIG. 26A, the memory driver 310 The first type can be a non-volatile memory driver 322, which can be used in a driver-to-driver assembly as shown in Figures 24A-24K, in a package with a plurality of high-speed, high-frequency wide non-volatile memory (NVM) ICs The chips 250 are arranged in a matrix with the semiconductor chips 100. The structure and process of the memory driver 310 can refer to the structure and process of the single-layer package logic driver 300, but the difference lies in the arrangement of the semiconductor chips 100 in Fig. 26A. The high-bandwidth non-volatile memory IC chip 250 may be a bare die type NAND flash memory chip, a NOR flash memory chip or a multiple chip package type flash memory chip, even if the data is stored in the memory driver 310 when the power is turned off. The non-volatile memory IC chip 250 within the commercial standard memory driver 310 may remain, or the high-speed, high-frequency non-volatile memory (NVM) IC chip 250 may be a bare die type non-volatile random access memory Bulk (NVRAM) IC chip or packaged non-volatile random access memory (NVRAM) IC chip, NVRAM can be Ferroelectric RAM (FRAM), magnetoresistive random access memory (Magnetoresistive RAM (MRAM)), Phase-change RAM (PRAM), each NAND flash chip 250 (or NOR flash memory chip) may have a standard memory density, internal volume or size greater than or Equal to 64Mb, 512Mb, 1Gb, 4Gb, 16Gb, 64Gb, 128Gb, 256Gb or 512Gb, where "b" is a bit, each complex NAND flash chip 250 (or NOR flash memory chip) can use advanced NAND flash Technology or next-generation process technology or design and manufacturing, for example, technology advanced than or equal to 45nm, 28nm, 20nm, 16nm and/or 10nm, where advanced NAND flash technology or NOR flash memory chips can be included in the plane Flash memory (2D-NAND) structure or three-dimensional flash memory (3DNAND) structure using a single single-level storage (SingleLevelCells (S LC) technology or multiple level cells (MLC) technology (for example, Double Level Cells DLC or triple Level cells TLC), the 3D NAND structure may include stacked layers (or levels) of multiple NAND memory cells, For example, a stack of layers greater than or equal to 4, 8, 16, 32 NAND memory cells (or NOR flash memory cells). Thus, a commercial standard memory drive 310 may have standard non-volatile memory with a memory density, capacity or size greater than or equal to 8MB, 64MB, 128GB, 512GB, 1GB, 4GB, 16GB, 64GB, 256GB or 512GB, where "B" stands for 8 bits.

第26B圖為本發明實施例另一商業化標準記憶體驅動器的上視圖,如第26B圖所示,記憶體驅動器310的第二型式可以是非揮發性記憶體驅動器322,其用於如第24A圖至第24K圖中驅動器至驅動器封裝,其封裝具有複數如第26A圖非揮發性記憶體IC晶片250、複數專用I/O晶片265及一專用控制晶片260用於半導體晶片100,其中非揮發性記憶體IC晶片250及專用控制晶片260可排列成矩陣,記憶體驅動器310的結構及製程可參考單層封裝邏輯驅動器300的結構及製程,其不同之處在於如第26B圖中半導體晶片100的排列方式,非揮發性記憶體IC晶片250可環繞專用控制晶片260,每一複數專用I/O晶片265可沿著記憶體驅動器310的邊緣排列,非揮發性記憶體IC晶片250的規格可參考如第26A圖所述,在記憶體驅動器310中的專用控制晶片260封裝的規格及說明可參考如第11A圖在單層封裝邏輯驅動器300中的專用控制晶片260封裝的規格及說明,在記憶體驅動器310中的專用I/O晶片265封裝的規格及說明可參考如第11A圖至第11N圖在單層封裝邏輯驅動器300中的專用I/O晶片265封裝的規格及說明。FIG. 26B is a top view of another commercial standard memory driver according to an embodiment of the present invention. As shown in FIG. 26B, the second type of the memory driver 310 may be a non-volatile memory driver 322, which is used as shown in FIG. 24A. Figures to Figures 24K are driver-to-driver packages having a plurality of non-volatile memory IC chips 250, a plurality of dedicated I/O chips 265, and a dedicated control chip 260 for the semiconductor chip 100 as shown in Figure 26A, wherein the non-volatile The memory IC chip 250 and the dedicated control chip 260 can be arranged in a matrix. The structure and process of the memory driver 310 can refer to the structure and process of the single-layer package logic driver 300. The difference lies in the semiconductor chip 100 shown in FIG. 26B. The non-volatile memory IC chips 250 can be arranged around the dedicated control chip 260, each of the dedicated I/O chips 265 can be arranged along the edge of the memory driver 310, and the specifications of the non-volatile memory IC chips 250 can be Referring to the specification and description of the dedicated control chip 260 package in the memory driver 310 as described in FIG. 26A, please refer to the specification and description of the dedicated control chip 260 package in the single-level package logic driver 300 as shown in FIG. 11A, in Specifications and descriptions of the dedicated I/O chip 265 package in the memory driver 310 may refer to the specifications and descriptions of the dedicated I/O chip 265 package in the single-level package logic driver 300 as shown in FIGS. 11A to 11N .

第26C圖為本發明實施例另一商業化標準記憶體驅動器的上視圖,如第26C圖所示,專用控制晶片260及複數專用I/O晶片265具有組合成一單一晶片266(也就是專用控制晶片及專用I/O晶片),以執行上述控制及複數I/O晶片260、I/O晶片265的複數功能,記憶體驅動器310的第三型式可以是非揮發性記憶體驅動器322,其用於如第24A圖至第24K圖中驅動器至驅動器封裝,其封裝具有複數如第26A圖非揮發性記憶體IC晶片250、複數專用I/O晶片265及一專用控制晶片及專用I/O晶片266用於半導體晶片100,其中非揮發性記憶體IC晶片250及專用控制晶片及專用I/O晶片266可排列成矩陣,記憶體驅動器310的結構及製程可參考單層封裝邏輯驅動器300的結構及製程,其不同之處在於如第26C圖中半導體晶片100的排列方式,非揮發性記憶體IC晶片250可環繞專用控制晶片及專用I/O晶片266,每一複數專用I/O晶片265可沿著記憶體驅動器310的邊緣排列,非揮發性記憶體IC晶片250的規格可參考如第26A圖所述,在記憶體驅動器310中的專用控制晶片及專用I/O晶片266封裝的規格及說明可參考如第11B圖在單層封裝邏輯驅動器300中的專用控制晶片及專用I/O晶片266封裝的規格及說明,在記憶體驅動器310中的專用I/O晶片265封裝的規格及說明可參考如第11A圖至第11N圖在單層封裝邏輯驅動器300中的專用I/O晶片265封裝的規格及說明。FIG. 26C is a top view of another commercialized standard memory driver according to an embodiment of the present invention. As shown in FIG. 26C, the dedicated control chip 260 and a plurality of dedicated I/O chips 265 are combined into a single chip 266 (that is, the dedicated control chip 266). chip and dedicated I/O chip) to perform the above-mentioned control and multiple functions of the I/O chips 260 and I/O chips 265, the third type of the memory driver 310 may be the non-volatile memory driver 322, which is used for As shown in Figures 24A to 24K, the driver-to-driver package has a plurality of non-volatile memory IC chips 250, a plurality of dedicated I/O chips 265, and a dedicated control chip and a dedicated I/O chip 266 as shown in Figure 26A. For the semiconductor chip 100, the non-volatile memory IC chip 250, the dedicated control chip and the dedicated I/O chip 266 can be arranged in a matrix. The structure and process of the memory driver 310 can refer to the structure and The difference lies in the arrangement of the semiconductor chips 100 in Fig. 26C, the non-volatile memory IC chips 250 can surround the dedicated control chip and the dedicated I/O chip 266, and each of the plurality of dedicated I/O chips 265 can Arranged along the edge of the memory driver 310, the specifications of the non-volatile memory IC chip 250 can refer to the specifications of the dedicated control chip and the dedicated I/O chip 266 package in the memory driver 310 as described in FIG. 26A and For the description, please refer to the specification and description of the dedicated control chip and the dedicated I/O chip 266 package in the single-level package logic driver 300 as shown in FIG. 11B , and the specification and description of the dedicated I/O chip 265 package in the memory driver 310 Reference may be made to the specification and description of the dedicated I/O die 265 package in the single-level package logic driver 300 as shown in FIGS. 11A-11N.

第26D圖為本發明實施例商業化標準記憶體驅動器的上視圖,如第26D圖所示,記憶體驅動器310的第四型式可以是揮發性記憶體驅動器323,其用於如第24A圖至第24K圖中驅動器至驅動器封裝,其封裝具有複數揮發性記憶體(VM)IC晶片324,例如是高速、高頻寬複數DRAM晶片如第11A圖至第11N圖中單層封裝邏輯驅動器300內的一邏輯區塊201封裝或例如是高速、高頻寬快取SRAM晶片,用於半導體晶片100排列成一矩陣,其中記憶體驅動器310的結構及製程可以參考單層封裝邏輯驅動器300的結構及製程,但其不同之處在於如第26D圖半導體晶片100的排列方式。在一案列中記憶體驅動器310中全部的揮發性記憶體(VM)IC晶片324可以是複數DRAM晶片321,或者,記憶體驅動器310的所有揮發性記憶體(VM)IC晶片324都可以是SRAM晶片。或者,記憶體驅動器310的所有揮發性記憶體(VM)IC晶片324都可以是DRAM晶片及SRAM的晶片組合。FIG. 26D is a top view of a commercialized standard memory driver according to an embodiment of the present invention. As shown in FIG. 26D, the fourth type of the memory driver 310 may be a volatile memory driver 323, which is used as shown in FIG. 24A to The driver-to-driver package in Fig. 24K has a plurality of volatile memory (VM) IC chips 324, such as a high-speed, high-bandwidth complex DRAM chip such as one within the single-level package logic driver 300 in Figs. 11A-11N The logic block 201 is packaged or, for example, a high-speed, high-bandwidth cache SRAM chip for arranging the semiconductor chips 100 in a matrix. The structure and process of the memory driver 310 can refer to the structure and process of the single-layer package logic driver 300, but they are different. The difference lies in the arrangement of the semiconductor wafer 100 as shown in FIG. 26D. In a case, all of the volatile memory (VM) IC chips 324 in the memory driver 310 may be the plurality of DRAM chips 321, or, all the volatile memory (VM) IC chips 324 of the memory driver 310 may be SRAM chip. Alternatively, all of the volatile memory (VM) IC chips 324 of the memory driver 310 may be a combination of DRAM chips and SRAM chips.

如第26E圖為本發明實施例另一商業化標準記憶體驅動器的上視圖,如第26E圖所示,一第五型式記憶體驅動器310可以係一揮發性記憶體驅動器323,其可用於如第24A圖至第24K圖中驅動器至驅動器封裝,其封裝具有複數揮發性記憶體(VM)IC晶片324,例如是高速、高頻寬複數DRAM晶片或高速高頻寬快取SRAM晶片、複數專用I/O晶片265及一專用控制晶片260用於半導體晶片100,其中揮發性記憶體(VM)IC晶片324及專用控制晶片260可排列成一矩陣,其中記憶體驅動器310的結構及製程可以參考單層封裝邏輯驅動器300的結構及製程,但其不同之處在於如第26E圖半導體晶片100的排列方式。在此案列中,用於安裝每個複數DRAM晶片321的位置可以被改變以用於安裝SRAM晶片,每一複數專用I/O晶片265可被揮發性記憶體晶片環繞,例如是複數DRAM晶片321或SRAM晶片,每一D複數專用I/O晶片265可沿著記憶體驅動器310的一邊緣排列,在一案列中記憶體驅動器310中全部的揮發性記憶體(VM)IC晶片324可以是複數DRAM晶片321,或者,記憶體驅動器310的所有揮發性記憶體(VM)IC晶片324都可以是SRAM晶片。或者,記憶體驅動器310的所有揮發性記憶體(VM)IC晶片324都可以是DRAM晶片及SRAM的晶片組合。封裝在記憶體驅動器310內的專用控制晶片260的規格說明可以參考封裝在如第11A圖中的單層封裝邏輯驅動器300之專用控制晶片260的規格說明,封裝在記憶體驅動器310中的專用I/O晶片265的規格說明可以參考封裝在如第11A圖至第11N圖中單層封裝邏輯驅動器300中的專用I/O晶片265規格說明。FIG. 26E is a top view of another commercial standard memory driver according to an embodiment of the present invention. As shown in FIG. 26E, a fifth-type memory driver 310 can be a volatile memory driver 323, which can be used for example Figures 24A through 24K are driver-to-driver packages having a plurality of volatile memory (VM) IC chips 324, such as high-speed, high-bandwidth complex DRAM chips or high-speed, high-bandwidth cache SRAM chips, and multiple dedicated I/O chips 265 and a dedicated control chip 260 are used in the semiconductor chip 100, wherein the volatile memory (VM) IC chip 324 and the dedicated control chip 260 can be arranged in a matrix, and the structure and process of the memory driver 310 can refer to the single-layer package logic driver 300, but the difference lies in the arrangement of the semiconductor wafer 100 as shown in FIG. 26E. In this case, the location for mounting each of the plurality of DRAM chips 321 can be changed for mounting SRAM chips, and each of the plurality of dedicated I/O chips 265 can be surrounded by volatile memory chips, such as a plurality of DRAM chips 321 or SRAM chips, each D dedicated I/O chips 265 can be arranged along an edge of the memory driver 310, and all of the volatile memory (VM) IC chips 324 in the memory driver 310 in a row can be Either the plurality of DRAM chips 321, or all of the volatile memory (VM) IC chips 324 of the memory driver 310 can be SRAM chips. Alternatively, all of the volatile memory (VM) IC chips 324 of the memory driver 310 may be a combination of DRAM chips and SRAM chips. The specification of the dedicated control chip 260 packaged in the memory driver 310 can refer to the specification of the dedicated control chip 260 packaged in the single-level packaged logic driver 300 as shown in FIG. 11A . The specification of the /O die 265 may refer to the specification of the dedicated I/O die 265 packaged in the single-level package logic driver 300 as shown in FIGS. 11A through 11N.

如第26F圖為本發明實施例另一商業化標準記憶體驅動器的上視圖,如第26F圖所示,專用控制晶片260及複數專用I/O晶片265具有組合成一單一晶片266(也就是專用控制晶片及專用I/O晶片),以執行上述控制及複數I/O晶片260、I/O晶片265的複數功能,記憶體驅動器310的第六型式可以是揮發性記憶體驅動器323,其用於如第24A圖至第24K圖中驅動器至驅動器封裝,封裝具有複數揮發性記憶體(VM)IC晶片324,例如是高速、高頻寬複數DRAM晶片如第11A圖至第11N圖中單層封裝邏輯驅動器300內的一324封裝或例如是高速、高頻寬快取SRAM晶片、複數專用I/O晶片265及用於半導體晶片100的專用控制晶片及專用I/O晶片266,其中揮發性記憶體(VM)IC晶片324及專用控制晶片及專用I/O晶片266可排列成如第26F圖中的矩陣,專用控制晶片及專用I/O晶片266可被揮發性記憶體晶片環繞,其中揮發性記憶體晶片係如是複數DRAM晶片321或SRAM晶片,在一案列中記憶體驅動器310中全部的揮發性記憶體(VM)IC晶片324可以是複數DRAM晶片321,或者,記憶體驅動器310的所有揮發性記憶體(VM)IC晶片324都可以是SRAM晶片。或者,記憶體驅動器310的所有揮發性記憶體(VM)IC晶片324都可以是DRAM晶片及SRAM的晶片組合。記憶體驅動器310的結構及製程可參考單層封裝邏輯驅動器300的結構及製程,但其不同之處在於如第26F圖中半導體晶片100的排列方式,每一複數專用I/O晶片265可沿著記憶體驅動器310的邊緣排列,封裝在記憶體驅動器310內的專用控制晶片及專用I/O晶片266的規格說明可以參考封裝在如第11B圖中的單層封裝邏輯驅動器300之專用控制晶片及專用I/O晶片266的規格說明,封裝在記憶體驅動器310中的專用I/O晶片265的規格說明可以參考封裝在如第11A圖至第11N圖中單層封裝邏輯驅動器300中的專用I/O晶片265規格說明,封裝在記憶體驅動器310中的複數DRAM晶片321的規格說明可以參考封裝在如第11A圖至第11N圖中單層封裝邏輯驅動器300中的複數DRAM晶片321規格說明。26F is a top view of another commercialized standard memory driver according to an embodiment of the present invention. As shown in FIG. 26F, the dedicated control chip 260 and a plurality of dedicated I/O chips 265 are combined into a single chip 266 (that is, a dedicated control chip 266). control chip and dedicated I/O chip) to perform the above-mentioned control and multiple functions of the multiple I/O chips 260 and I/O chips 265, the sixth type of the memory driver 310 may be the volatile memory driver 323, which is used for In the driver-to-driver package as shown in Figures 24A-24K, the package has a plurality of volatile memory (VM) IC chips 324, such as high-speed, high-bandwidth complex DRAM chips as shown in Figures 11A-11N for single-level packaging logic A 324 package within the driver 300 or, for example, a high-speed, high-bandwidth cache SRAM chip, a plurality of dedicated I/O chips 265, and a dedicated control chip and a dedicated I/O chip 266 for the semiconductor chip 100, wherein volatile memory (VM) ) IC chips 324 and dedicated control chips and dedicated I/O chips 266 may be arranged in a matrix as shown in Figure 26F, the dedicated control chips and dedicated I/O chips 266 may be surrounded by volatile memory chips, wherein the volatile memory If the chip system is a plurality of DRAM chips 321 or SRAM chips, in one case all the volatile memory (VM) IC chips 324 in the memory driver 310 can be the plurality of DRAM chips 321, or, all the volatile memory (VM) IC chips 324 in the memory driver 310 The memory (VM) IC chips 324 may all be SRAM chips. Alternatively, all of the volatile memory (VM) IC chips 324 of the memory driver 310 may be a combination of DRAM chips and SRAM chips. The structure and process of the memory driver 310 can refer to the structure and process of the single-layer package logic driver 300, but the difference lies in the arrangement of the semiconductor chips 100 in FIG. 26F, each of the dedicated I/O chips 265 can be along the With the edge arrangement of the memory driver 310, the specification of the dedicated control chip and the dedicated I/O chip 266 packaged in the memory driver 310 can refer to the dedicated control chip packaged in the single-layer packaged logic driver 300 as shown in FIG. 11B. and the specification of the dedicated I/O chip 266, the specification of the dedicated I/O chip 265 packaged in the memory driver 310 may refer to the specification of the dedicated I/O chip 265 packaged in the single-level package logic driver 300 as shown in FIGS. 11A to 11N. Specification of I/O die 265, specification of DRAM die 321 packaged in memory driver 310 can be referred to the specification of DRAM die 321 packaged in single-level package logic driver 300 as shown in FIGS. 11A to 11N .

或者,另一型式的記憶體驅動器310可包括非揮發性記憶體(NVM)IC晶片250及揮發性記憶體晶片的組合,例如,如第26A圖至第26C圖所示,用於安裝非揮發性記憶體IC晶片250的某些位置可被改變用於安裝揮發性記憶體晶片,例如高速、高頻寬複數DRAM晶片321或高速、高頻寬SRAM晶片。Alternatively, another type of memory driver 310 may include a combination of a non-volatile memory (NVM) IC chip 250 and a volatile memory chip, eg, as shown in FIGS. 26A-26C, for mounting a non-volatile memory (NVM) IC chip 250. Certain locations of the volatile memory IC die 250 can be changed for mounting a volatile memory die, such as a high-speed, high-bandwidth complex DRAM die 321 or a high-speed, high-bandwidth SRAM die.

用於邏輯驅動器及記憶體驅動器的FISC至FISC封裝FISC to FISC package for logical drives and memory drives

或者,第27A圖至第27C圖為本發明實施例中用於邏輯及記憶體驅動器各種封裝之剖面示意圖。如第27A圖所示,記憶體驅動器310的金屬柱或凸塊122可接合單層封裝邏輯驅動器300的金屬柱或凸塊122以形成複數接合連接點586在記憶體、邏輯驅動器310及邏輯驅動器300之間,例如,由第四型式的金屬柱或凸塊122提供的一邏輯及記憶體驅動器300及310的複數銲錫球或凸塊(如第18R圖所示)接合至其它的邏輯及記憶體驅動器300及310的第一型式金屬柱或凸塊122之銅層,以便形成接合連接點586在記憶體、邏輯驅動器310及邏輯驅動器300之間。Alternatively, FIGS. 27A to 27C are schematic cross-sectional views of various packages for logic and memory drivers according to embodiments of the present invention. As shown in FIG. 27A, the metal studs or bumps 122 of the memory driver 310 may engage the metal studs or bumps 122 of the single-level package logic driver 300 to form a plurality of bonding connections 586 in the memory, the logical driver 310, and the logical driver. Between 300, for example, a plurality of solder balls or bumps (as shown in Figure 18R) of a logic and memory driver 300 and 310 provided by the fourth type of metal studs or bumps 122 are bonded to other logic and memory The copper layers of the first type metal pillars or bumps 122 of the bulk drivers 300 and 310 to form bond connections 586 between the memory, logical driver 310 and logical driver 300 .

對於在一單層封裝邏輯驅動器300的半導體晶片100之間的高速及高頻寬的通訊,其中半導體晶片100就是如第11A圖至第11N圖中非揮發性、非揮發性記憶體IC晶片250或揮發性記憶體IC晶片324,記憶體驅動器310的一半導體晶片100可與半導體晶片100的單層封裝邏輯驅動器300對齊並垂直設置在單層封裝邏輯驅動器300的一半導體晶片100上方。For high-speed and high-bandwidth communication between semiconductor chips 100 in a single-level package of logic drivers 300, the semiconductor chips 100 are non-volatile, non-volatile memory IC chips 250 or volatile as shown in FIGS. 11A to 11N . A semiconductor die 100 of the memory driver 310 may be aligned with and vertically disposed over a semiconductor die 100 of the single-level package logic driver 300 of the semiconductor chip 100 , the memory IC die 324 .

如第27A圖所示,記憶體驅動器310可包括由TISD101本身的交互連接線金屬層99提供的複數第一堆疊部分,其中每一第一堆疊部分可對齊並堆疊在一接合連接點586上或上方及位在本身的一半導體晶片100與一接合連接點586,另外,對於記憶體驅動器310,其多個微型凸塊或金屬柱34可分別可對齊並堆疊在本身第一堆疊部分上或上方及位在本身的一半導體晶片100及本身第一堆疊部分之間,以分別地連接本身的一半導體晶片100至第一堆疊部分。As shown in FIG. 27A, the memory driver 310 may include a plurality of first stack portions provided by the interconnect metal layer 99 of the TISD 101 itself, wherein each first stack portion may be aligned and stacked on a bond connection point 586 or A semiconductor chip 100 and a bonding connection point 586 above and on itself, and for memory driver 310, a plurality of micro-bumps or metal pillars 34 can be aligned and stacked on or above the first stack portion itself, respectively and is located between a semiconductor chip 100 of itself and the first stacking part of itself, so as to connect a semiconductor chip 100 of itself to the first stacking part, respectively.

如第27A圖所示,單層封裝邏輯驅動器300可包括由TISD101本身的交互連接線金屬層99提供的複數第二堆疊部分,其中每一第二堆疊部分可對齊並堆疊在一接合連接點586下或或下方及位在本身的一半導體晶片100與一接合連接點586,另外,對於單層封裝邏輯驅動器300,其多個微型凸塊或金屬柱34可分別可對齊並堆疊在本身第二堆疊部分下或下方及位在本身的一半導體晶片100及本身第二堆疊部分之間,以分別地連接本身的一半導體晶片100至第二堆疊部分。As shown in FIG. 27A, the single-level package logic driver 300 may include a plurality of second stack portions provided by the interconnect metal layers 99 of the TISD 101 itself, wherein each second stack portion may be aligned and stacked at a bond connection point 586 A semiconductor die 100 and a bonding connection point 586 under or under and on itself, in addition, for the single-level package logic driver 300, a plurality of micro-bumps or metal pillars 34 can be aligned and stacked on its own second The stacked portion is positioned under or below and between a semiconductor wafer 100 of itself and a second stacked portion of itself to connect a semiconductor wafer 100 of itself to the second stacked portion, respectively.

因此,如第27A圖所示,此堆疊結構從下到上包括單層封裝邏輯驅動器300的一微型凸塊或金屬柱34、單層封裝邏輯驅動器300的TISD101之一第二堆疊部分、一接合連接點586、記憶體驅動器310的TISD101之一第一堆疊部分及記憶體驅動器310的微型凸塊或金屬柱34,可垂直堆疊在一起形成一垂直堆疊的路徑587在一單層封裝邏輯驅動器300的半導體晶片100與記憶體驅動器310之一半導體晶片100之間,用於訊號傳輸或電源或接地的輸送,在一方面,複數垂直堆疊路徑587具有連接點數目等於或大於64、128、256、512、1024、2048、4096、8K或16K,例如,連接至單層封裝邏輯驅動器300的一半導體晶片100與記憶體驅動器310的一半導體晶片100之間,用於並聯訊號傳輸、訊號傳輸或電源或接地的輸送。Thus, as shown in FIG. 27A, the stacked structure includes, from bottom to top, a micro bump or metal pillar 34 of the single-level package logic driver 300, a second stacked portion of the TISD 101 of the single-level package logic driver 300, a bond The connection point 586, a first stack portion of the TISD 101 of the memory driver 310, and the micro-bumps or metal pillars 34 of the memory driver 310 can be stacked vertically together to form a vertically stacked path 587 in a single-level package of the logic driver 300 Between the semiconductor chip 100 of the memory driver 310 and one of the semiconductor chips 100 of the memory driver 310, for signal transmission or power supply or ground transmission, in one aspect, the plurality of vertical stacking paths 587 have the number of connection points equal to or greater than 64, 128, 256, 512, 1024, 2048, 4096, 8K or 16K, for example, connected between a semiconductor chip 100 of a single-level package logic driver 300 and a semiconductor chip 100 of a memory driver 310 for parallel signal transmission, signal transmission or power supply or grounded delivery.

如第27A圖所示,對於每一邏輯及記憶體驅動器300及310,如第5B圖中的複數小型I/O電路203的驅動能力、負載、輸出電容或輸入電容介於0.01pF至10pF之間、介於0.05pF至5pF之間、介於0.01pF至2pF之間、介於0.01pF至1pF之間,或小於10pF、5pF、3pF、2pF、1pF、0.5pF或0.1pF,複數小型I/O電路203可設在用於垂直堆疊路徑287之一半導體晶片100內,例如複數小型I/O電路203可組成小型ESD保護電路373、小型接收器374及小型驅動器375。As shown in FIG. 27A, for each logic and memory driver 300 and 310, the drive capability, load, output capacitance, or input capacitance of the complex small I/O circuit 203 in FIG. 5B is between 0.01 pF and 10 pF. between 0.05pF and 5pF, between 0.01pF and 2pF, between 0.01pF and 1pF, or less than 10pF, 5pF, 3pF, 2pF, 1pF, 0.5pF or 0.1pF, plural small I The /O circuit 203 may be provided in a semiconductor die 100 for the vertical stacking path 287 , eg, a plurality of miniature I/O circuits 203 may constitute miniature ESD protection circuit 373 , miniature receiver 374 and miniature driver 375 .

如第27A圖所示,每一邏輯及記憶體驅動器300及310本身的BISD79的金屬接墊77E之金屬凸塊583用於連接邏輯及記憶體驅動器300及310至一外部電路,對於每一邏輯及記憶體驅動器300及310本身可(1)通過本身的BISD79的複數交互連接線金屬層77耦接至本身的一半導體晶片100;(2)通過本身的BISD79之複數交互連接線金屬層77依序耦接至其它邏輯及記憶體驅動器300及310的一半導體晶片100、一或複數本身的TPVS158、本身的TISD101的交互連接線金屬層99、一或複數接合連接點586、其它邏輯及記憶體驅動器300及310的TISD101之交互連接線金屬層99,及其它邏輯及記憶體驅動器300及310的一或複數微型凸塊或金屬柱34;或(3)通過本身的BISD79的複數交互連接線金屬層77依序耦接至其它邏輯及記憶體驅動器300及310的一金屬凸塊583、一或複數TPVS158、本身的TISD101的交互連接線金屬層99、一或複數接合連接點586、其它邏輯及記憶體驅動器300及310的TISD101之交互連接線金屬層99、其它邏輯及記憶體驅動器300及310的一或複數TPVS158,及其它邏輯及記憶體驅動器300及310的BISD79之複數交互連接線金屬層77。As shown in FIG. 27A, the metal bumps 583 of the metal pads 77E of the BISD 79 of each logic and memory driver 300 and 310 themselves are used to connect the logic and memory drivers 300 and 310 to an external circuit. For each logic And the memory drivers 300 and 310 themselves can (1) be coupled to their own semiconductor chip 100 through the multiple interconnection wire metal layers 77 of their own BISD79; (2) through the multiple interconnection wire metal layers 77 of their own BISD79 according to A semiconductor die 100 sequentially coupled to other logic and memory drivers 300 and 310, one or more of its own TPVS 158, its own TISD 101 interconnect metal layer 99, one or more bond connections 586, other logic and memory Interconnect metal layer 99 of TISD 101 of drivers 300 and 310, and one or more micro-bumps or metal pillars 34 of other logic and memory drivers 300 and 310; or (3) a plurality of interconnect metal through its own BISD 79 Layer 77 is sequentially coupled to a metal bump 583 of the other logic and memory drivers 300 and 310, one or more TPVS 158, the interconnect metal layer 99 of its own TISD 101, one or more bond connections 586, other logic and Interconnect metal layers 99 of TISD 101 of memory drivers 300 and 310, one or more TPVS 158 of other logic and memory drivers 300 and 310, and a plurality of interconnect metal layers of BISD 79 of other logic and memory drivers 300 and 310 77.

或者,如第27B圖及第27C圖,此二圖的結構類於第27A圖所示的結構,對於第27B圖及第27C圖中所示的元件圖號若與第27A圖相同,其相同的元件圖號可參考上述第27A圖所揭露的元件規格及說明,其不同之處在於第27A圖及第27B圖中,記憶體驅動器310不具有用於外部連接的金屬凸塊583、BISD79及TPVS582,而第27A圖與第27C圖不同之處在於,單層封裝邏輯驅動器300不具有用於外部連接的金屬凸塊583、BISD79及TPVS582。Or, as shown in Fig. 27B and Fig. 27C, the structure of these two figures is similar to the structure shown in Fig. 27A, and the component drawing numbers shown in Fig. 27B and Fig. 27C are the same as those shown in Fig. 27A. For the component drawing numbers, please refer to the component specifications and descriptions disclosed in the above-mentioned FIG. 27A. The difference is that in FIGS. 27A and 27B, the memory driver 310 does not have the metal bumps 583, BISD79 and TPVS582, and FIG. 27A differs from FIG. 27C in that the single level package logic driver 300 does not have the metal bumps 583, BISD79 and TPVS582 for external connection.

如第27A圖至第27C圖所示,對於並聯訊號傳輸的例子,並聯的垂直堆疊路徑587可排列在單層封裝邏輯驅動器300的一半導體晶片100與COIP記憶體驅動器310的一半導體晶片100之間,其中半導體晶片100例如第11F圖至第11N圖中的GPU晶片,而半導體晶片100也就是如第26A圖至第26F圖所示的高速、高頻寬緩存SRAM晶片、DRAM晶片或用於MRAM或RRAM的NVMIC晶片,而半導體晶片100具有一資料位元頻寬等於或大於64、128、256、512、1024、4096、8K或16K,或者,對於並聯訊號傳輸的例子,並聯的垂直堆疊路徑587可排列在COIP單層封裝邏輯驅動器300的一半導體晶片100與COIP記憶體驅動器310的一半導體晶片100之間,其中半導體晶片100例如第11F圖至第11N圖中的TPU晶片,而半導體晶片100也就是如第26A圖至第26F圖所示的高速、高頻寬緩存SRAM晶片、DRAM晶片或用於MRAM或RRAM的NVM晶片,而半導體晶片100具有一資料位元頻寬等於或大於64、128、256、512、1024、4096、8K或16K。As shown in FIGS. 27A to 27C, for the example of parallel signal transmission, the parallel vertical stacking paths 587 can be arranged between a semiconductor chip 100 of the single-level package logic driver 300 and a semiconductor chip 100 of the COIP memory driver 310 Among them, the semiconductor chip 100 is, for example, a GPU chip as shown in FIGS. 11F to 11N, and the semiconductor chip 100 is also a high-speed, high-bandwidth cache SRAM chip, a DRAM chip, or a chip for MRAM or NVMIC chip for RRAM, and the semiconductor chip 100 has a data bit bandwidth equal to or greater than 64, 128, 256, 512, 1024, 4096, 8K, or 16K, or, for the parallel signaling example, the parallel vertical stack path 587 It can be arranged between a semiconductor chip 100 of the COIP single-level package logic driver 300 and a semiconductor chip 100 of the COIP memory driver 310 , wherein the semiconductor chip 100 is, for example, the TPU chip in FIGS. 11F to 11N , and the semiconductor chip 100 is That is, a high-speed, high-bandwidth cache SRAM chip, a DRAM chip, or an NVM chip for MRAM or RRAM as shown in FIGS. 26A to 26F, and the semiconductor chip 100 has a data bit bandwidth equal to or greater than 64, 128, 256, 512, 1024, 4096, 8K or 16K.

非揮發性可編程邏輯驅動器Nonvolatile Programmable Logic Driver

第29A圖為本發明實施例用於第一型非揮發性可編程邏輯驅動器之POP封裝結構的剖面示意圖,如第29A圖所示,在形成第一型非揮發性可編程邏輯驅動器610中,NVM晶片封裝結構510可具有多個銲料凸塊接合至邏輯晶片封裝結構520的銅柱158,該邏輯晶片封裝結構520可以是第28G圖中的單層晶片封裝結構或小晶片550,銲料凸塊接合銅柱158後分別產生具有厚度介於20μm至100μm之間的銲料接合點516位在二者之間,其中單層晶片封裝結構或小晶片550的半導體晶片100用作為邏輯晶片封裝結構520可以是第8A圖至第8J圖中的FPGAIC晶片,一底部填充材料517(例如是聚合物)可形成在NVM晶片封裝結構510與邏輯晶片封裝結構520之間,包住該些銲料接合點516,NVM晶片封裝結構510可包括:(1)二個經由一黏著層511相互堆疊接合在一起的非揮發性記憶體IC晶片250,其可以是如第11A圖至第11N圖中的NAND快閃記憶體晶片或NOR快閃記憶體晶片,其中該黏著層511可以是銀膏或導熱膏,其中上面的非揮發性記憶體IC晶片250可橫越下面的非揮發性記憶體IC晶片250的一邊界,(2)一線路板513位在二非揮發性記憶體IC晶片250的下方,下面的非揮發性記憶體IC晶片250經由一黏著層524黏合在線路板513的上表面,該黏著層524可以是銀膏或導熱膏,(3)多個打線導線514耦接非揮發性記憶體IC晶片250至線路板513上,及(4)一灌模聚合物515位在線路板513上方,包圍非揮發性記憶體IC晶片250及打線導線514,該線路板513可包括一個(或多個)交互連接線金屬層518耦接打線導線514至銲料接合點516,及包括一個(或多個)聚合物層519位在每二相鄰交互連接線金屬層518之間、位在最底層交互連接線金屬層518的下方或位在最上層交互連接線金屬層518的上方,其中上面的交互連接線金屬層518可經由二者之間聚合物層519中的開口耦接至下面的交互連接線金屬層518,邏輯晶片封裝結構520具有的金屬凸塊、柱或接墊570黏著接合至線路板530上而產生厚度介於20μm至100μm之間的銲料接合點521於二者之間,底部填充材料522(例如是聚合物)可形成在邏輯晶片封裝結構520與線路板530之間,包住該些銲料接合點521,多個銲料凸塊或球523可以矩陣型式設置在線路板530的底部,除了FPGAIC晶片200之外,用於邏輯晶片封裝結構520之單層晶片封裝結構或小晶片550的半導體晶片100可以是CPU晶片、GPU晶片、TPU晶片、APU晶片或DSP晶片。FIG. 29A is a schematic cross-sectional view of a POP packaging structure for a first-type non-volatile programmable logic driver according to an embodiment of the present invention. As shown in FIG. 29A, in forming the first-type non-volatile programmable logic driver 610, NVM chip package structure 510 may have a plurality of solder bumps bonded to copper pillars 158 of logic chip package structure 520, which may be a single-layer chip package structure in Figure 28G or die 550, solder bumps After bonding the copper pillars 158 , solder joints 516 with a thickness between 20 μm and 100 μm are respectively produced therebetween, wherein the single-layer chip package structure or the semiconductor chip 100 of the small chip 550 is used as the logic chip package structure 520 . 8A to 8J of the FPGAIC chip, an underfill material 517 (eg, a polymer) may be formed between the NVM chip package structure 510 and the logic chip package structure 520 to encapsulate the solder joints 516, The NVM chip package structure 510 may include: (1) two non-volatile memory IC chips 250 stacked and bonded to each other via an adhesive layer 511 , which may be NAND flash memory as shown in FIGS. 11A to 11N . A bulk chip or a NOR flash memory chip, wherein the adhesive layer 511 can be silver paste or thermal paste, wherein the upper non-volatile memory IC chip 250 can traverse a boundary of the lower non-volatile memory IC chip 250 , (2) a circuit board 513 is located under the two non-volatile memory IC chips 250, and the lower non-volatile memory IC chip 250 is adhered to the upper surface of the circuit board 513 through an adhesive layer 524, and the adhesive layer 524 Can be silver paste or thermally conductive paste, (3) a plurality of bonding wires 514 to couple the non-volatile memory IC chip 250 to the circuit board 513, and (4) a potting polymer 515 over the circuit board 513, surrounding Non-volatile memory IC chip 250 and wire bond wires 514, the circuit board 513 may include one (or more) interconnect wire metal layers 518 coupling wire bond wires 514 to solder bond pads 516, and one (or more) The polymer layer 519 is located between every two adjacent interconnection wire metal layers 518, below the bottommost interconnection wire metal layer 518 or above the uppermost interconnection wire metal layer 518, wherein the upper interconnection wire The wire metal layer 518 can be coupled to the underlying interconnect wire metal layer 518 via openings in the polymer layer 519 therebetween, and the logic chip package structure 520 has metal bumps, posts or pads 570 that are adhesively bonded to the circuit board 530 to produce solder joints 521 with a thickness between 20 μm and 100 μm between the two, and an underfill material 522 (eg, polymer) can be formed between the logic chip package structure 520 and the circuit board 530 to encapsulate the The solder joints 521, a plurality of solder bumps or balls 523 may be arranged on the bottom of the circuit board 530 in a matrix pattern, except for F In addition to the PGAIC die 200, the semiconductor die 100 for the single-layer die package structure of the logic die package structure 520 or the chiplet 550 may be a CPU die, a GPU die, a TPU die, an APU die, or a DSP die.

在第一選擇方案中,第一型非揮發性可編程邏輯驅動器610中,該線路板513及銲料接合點516可被薄型小外形封裝(thin-small-outline-package,TSOP)的導線框架(未繪示)所取代,該導線框架具有一低的非揮發性記憶體IC晶片250接合在上面且每一打線導線514可耦接非揮發性記憶體IC晶片250至導線框架的多個內部引線之一,灌模聚合物515包圍非揮發性記憶體IC晶片250、打線導線514及導線框架的多個內部導線,該導線框架的多個位在灌模聚合物515之外的外部導線經由銲料凸塊接合至邏輯晶片封裝結構520的銅柱158,其中每一外部導線可連接至內部導線。因此,非揮發性記憶體IC晶片250可依序經由導線框架、其中之一銅柱158及邏輯晶片封裝結構520的TISD或FISD101之一個(或多個)交互連接線金屬層27耦接至邏輯晶片封裝結構520的FPGAIC晶片200。In the first option, in the first type of non-volatile programmable logic driver 610, the circuit board 513 and the solder joints 516 can be covered by a thin-small-outline-package (TSOP) lead frame ( (not shown) instead, the lead frame has a low non-volatile memory IC chip 250 bonded thereon and each bond wire 514 can couple the non-volatile memory IC chip 250 to a plurality of inner leads of the lead frame One, the potting polymer 515 surrounds the non-volatile memory IC chip 250, the wirebond wires 514, and a plurality of inner wires of the lead frame whose outer wires are located outside the potting polymer 515 via solder The bumps are bonded to the copper pillars 158 of the logic chip package structure 520, where each outer lead can be connected to an inner lead. Thus, the non-volatile memory IC chip 250 can be coupled to the logic via the lead frame, one of the copper pillars 158 and one (or more) interconnect metal layers 27 of the TISD or FISD 101 of the logic chip package 520 in sequence The FPGAIC die 200 of the die package structure 520 .

在第二選擇方案中,第二型非揮發性可編程邏輯驅動器610中,該NVM晶片封裝結構510可以是晶片級封裝結構(chipscalepackage(CSP)),其中介於NVM晶片封裝結構510與非揮發性記憶體IC晶片250的面積比值係等於或小於1.5。In the second option, in the second type of non-volatile programmable logic driver 610, the NVM chip package structure 510 may be a chip scale package (CSP), wherein the NVM chip package structure 510 and the non-volatile The area ratio of the memory IC chip 250 is equal to or less than 1.5.

第29B圖為本發明實施例用於第二型非揮發性可編程邏輯驅動器之POP封裝結構的剖面示意圖,在第29B圖所示的POP封裝結構610具有與第29A圖中的POP封裝結構相似的結構,第29A圖與第29B圖中相同的元件號碼,其中在第29B圖中的各元件的揭露可參考第29A圖中的揭露說明,第29A圖與第29B圖中POP封裝結構610之間的差異處為,形成第29B圖中第二型非揮發性可編程邏輯驅動器610時,在第29A圖中NVM晶片封裝結構510的多個銲料凸塊黏著接合至邏輯晶片封裝結構520的其中之一金屬接墊或凸塊583,邏輯晶片封裝結構520可以是第28I圖中的單層晶片封裝結構或小晶片550,接合後產生厚度介於20μm至100μm之間的銲料接合點516位在二者之間,使用在邏輯晶片封裝結構520中的單層晶片封裝結構或小晶片550之半導體晶片100可以是第8A圖至第8J圖中的FPGAIC晶片200,底部填充材料517(例如是聚合物)可形成在NVM晶片封裝結構510與邏輯晶片封裝結構520之間,包住該些銲料接合點516,需注意的是邏輯晶片封裝結構520可包括一第一組的多個假的接墊或凸塊583a分別接合至NVM晶片封裝結構510的一第一組銲料凸塊,以產生第一組銲料接合點516a(可接合接地參考電壓)及產生第二組假的接墊或凸塊583a分別接合至NVM晶片封裝結構510的一第二組銲料凸塊,以產生第二組銲料接合點516a(沒有任何的電性功能),其中第一組及第二組銲料接合點516a可垂直地位在邏輯晶片封裝結構520的FPGAIC晶片200的上方,除了FPGAIC晶片200之外,用於邏輯晶片封裝結構520之單層晶片封裝結構或小晶片550的半導體晶片100可以是CPU晶片、GPU晶片、TPU晶片、APU晶片或DSP晶片。無論如何,在第一選擇方案中,導線框架的每一外部導線可經由銲料接合邏輯晶片封裝結構520的金屬接墊或凸塊583。FIG. 29B is a schematic cross-sectional view of a POP package structure for a second type non-volatile programmable logic driver according to an embodiment of the present invention. The POP package structure 610 shown in FIG. 29B is similar to the POP package structure shown in FIG. 29A. The structure of Fig. 29A and Fig. 29B have the same component numbers. The disclosure of each element in Fig. 29B can refer to the disclosure description in Fig. 29A. The difference is that when the second type non-volatile programmable logic driver 610 in FIG. 29B is formed, a plurality of solder bumps of the NVM chip package structure 510 in FIG. 29A are adhesively bonded to the logic chip package structure 520. One of the metal pads or bumps 583, the logic chip package structure 520 may be the single-layer chip package structure or the chiplet 550 in FIG. 28I, and after bonding produces a solder joint 516 with a thickness between 20 μm and 100 μm in the Between the two, the semiconductor die 100 of the single-layer die package structure or die 550 used in the logic die package structure 520 may be the FPGAIC die 200 of FIGS. (substrate) can be formed between the NVM chip package structure 510 and the logic chip package structure 520 to enclose the solder joints 516, it should be noted that the logic chip package structure 520 can include a first set of dummy pads or bumps 583a are respectively bonded to a first set of solder bumps of the NVM chip package structure 510 to create a first set of solder joints 516a (which can be bonded to a ground reference voltage) and to create a second set of dummy pads or bumps 583a A second set of solder bumps are respectively bonded to the NVM chip package structure 510 to produce a second set of solder joints 516a (without any electrical function), wherein the first and second sets of solder joints 516a can be vertically positioned Above the FPGAIC die 200 of the logic chip package structure 520, in addition to the FPGAIC die 200, the semiconductor die 100 for the single-layer chip package structure of the logic die package structure 520 or the chiplet 550 may be a CPU die, a GPU die, a TPU chip, APU chip or DSP chip. However, in a first option, each external lead of the leadframe may be bonded to the metal pad or bump 583 of the logic chip package structure 520 via solder.

第29C圖為本發明實施例用於第三型非揮發性可編程邏輯驅動器之POP封裝結構的剖面示意圖,在第29C圖所示的POP封裝結構610具有與第29A圖中的POP封裝結構相似的結構,第29A圖與第29C圖中相同的元件號碼,其中在第29C圖中的各元件的揭露可參考第29A圖中的揭露說明,第29A圖與第29C圖中POP封裝結構610之間的差異處為,形成第29C圖中第三型非揮發性可編程邏輯驅動器610時,在第28G圖中的單層晶片封裝結構或小晶片550可提供作為一NVM晶片封裝結構510,其中用作為NVM晶片封裝結構510的單層晶片封裝結構或小晶片550之半導體晶片100可以是NVMIC晶片,例如是在第11A圖至第11N圖中之NAND快閃記憶體晶片或NOR快閃記憶體晶片,及沒有任何銅柱158在第28G圖中單層晶片封裝結構或小晶片550的聚合物層92中,此種單層晶片封裝結構或小晶片550可用作為NVM晶片封裝結構510,該NVM晶片封裝結構510的金屬凸塊、柱或接墊570黏著接合至邏輯晶片封裝結構520的銅柱158,邏輯晶片封裝結構520可以是第28G圖中的單層晶片封裝結構或小晶片550,接合後產生厚度介於20μm至100μm之間的銲料接合點516位在二者之間,底部填充材料517(例如是聚合物)可形成在NVM晶片封裝結構510與邏輯晶片封裝結構520之間,包住該些銲料接合點516。或者,邏輯晶片封裝結構520可提供如第28I圖中具有多個金屬接墊或凸塊583接合金屬凸塊、柱或接墊570,以產生如第29B圖中的厚度介於20μm至100μm之間的銲料接合點516於二者之間,需注意的是邏輯晶片封裝結構520的金屬接墊或凸塊可包括一第一組的多個假的接墊或凸塊583a分別接合至NVM晶片封裝結構510的一金屬凸塊、柱或接墊570,以產生如第29B圖中的第一組銲料接合點516a(可接合接地參考電壓)及產生第二組假的接墊或凸塊583a分別接合至NVM晶片封裝結構510的一第二組金屬凸塊、柱或接墊570,以產生如第29B圖中的第二組銲料接合點516a(沒有任何的電性功能),其中第一組及第二組銲料接合點516a可垂直地位在邏輯晶片封裝結構520的FPGAIC晶片200的上方,除了FPGAIC晶片200之外,用於邏輯晶片封裝結構520之單層晶片封裝結構或小晶片550的半導體晶片100可以是CPU晶片、GPU晶片、TPU晶片、APU晶片或DSP晶片。FIG. 29C is a schematic cross-sectional view of a POP packaging structure for a third-type non-volatile programmable logic driver according to an embodiment of the present invention. The POP packaging structure 610 shown in FIG. 29C is similar to the POP packaging structure shown in FIG. 29A. The structure of Fig. 29A and Fig. 29C have the same component numbers. The disclosure of each element in Fig. 29C can refer to the disclosure description in Fig. 29A. The difference is that, when forming the third type non-volatile programmable logic driver 610 in FIG. 29C, the single-layer chip package structure or chiplet 550 in FIG. 28G can be provided as an NVM chip package structure 510, wherein The semiconductor chip 100 used as the single-layer chip package structure or chiplet 550 of the NVM chip package structure 510 may be an NVMIC chip, such as a NAND flash memory chip or a NOR flash memory chip as shown in FIGS. 11A to 11N The chip, and without any copper pillars 158 in the polymer layer 92 of the single-layer chip package structure or chiplet 550 in FIG. 28G, which can be used as the NVM chip package structure 510, the NVM The metal bumps, pillars or pads 570 of the chip package structure 510 are adhesively bonded to the copper pillars 158 of the logic chip package structure 520, which may be the single layer chip package structure in FIG. 28G or the chiplet 550, bonded Solder junctions 516 with a thickness between 20 μm and 100 μm are then produced therebetween, and an underfill material 517 (eg, a polymer) can be formed between the NVM chip package structure 510 and the logic chip package structure 520 , including The solder joints 516 are held. Alternatively, the logic chip package structure 520 may be provided with a plurality of metal pads or bumps 583 as shown in FIG. 28I bonded to the metal bumps, posts or pads 570 to produce a thickness of between 20 μm and 100 μm as shown in FIG. 29B The solder joints 516 between the two, it should be noted that the metal pads or bumps of the logic chip package structure 520 may include a first set of multiple dummy pads or bumps 583a respectively bonded to the NVM chip A metal bump, post or pad 570 of package structure 510 to create a first set of solder joints 516a (which can be bonded to the ground reference voltage) as shown in Figure 29B and to create a second set of dummy pads or bumps 583a A second set of metal bumps, studs or pads 570 are respectively bonded to the NVM chip package structure 510 to produce a second set of solder joints 516a (without any electrical function) as shown in FIG. 29B, wherein the first The set and second set of solder joints 516a may be positioned vertically above the FPGAIC die 200 of the logic chip package structure 520, except for the FPGAIC die 200, for the single layer chip package structure of the logic chip package structure 520 or the die 550. The semiconductor die 100 may be a CPU die, a GPU die, a TPU die, an APU die, or a DSP die.

第29D圖為本發明實施例用於第四型非揮發性可編程邏輯驅動器之POP封裝結構的剖面示意圖,在第29D圖所示的POP封裝結構610具有與第29A圖中的POP封裝結構相似的結構,第29A圖與第29D圖中相同的元件號碼,其中在第29D圖中的各元件的揭露可參考第29A圖中的揭露說明,第29A圖與第29D圖中POP封裝結構610之間的差異處為,形成第29D圖中第四型非揮發性可編程邏輯驅動器610時,在第29D圖中NVM晶片封裝結構510的多個銲料凸塊黏著接合至邏輯晶片封裝結構520的其中之一金屬接墊或凸塊583,邏輯晶片封裝結構520可以是第28K圖中的單層晶片封裝結構或小晶片550,接合後產生厚度介於20μm至100μm之間的銲料接合點516位在二者之間,使用在邏輯晶片封裝結構520中的單層晶片封裝結構或小晶片550之半導體晶片100可以是第8A圖至第8J圖中的FPGAIC晶片200,底部填充材料517(例如是聚合物)可形成在NVM晶片封裝結構510與邏輯晶片封裝結構520之間,包住該些銲料接合點516,除了FPGAIC晶片200之外,用於邏輯晶片封裝結構520之單層晶片封裝結構或小晶片550的半導體晶片100可以是CPU晶片、GPU晶片、TPU晶片、APU晶片或DSP晶片。無論如何,在第一選擇方案中,導線框架的每一外部導線可經由銲料接合邏輯晶片封裝結構520的金屬接墊或凸塊583。FIG. 29D is a schematic cross-sectional view of a POP packaging structure for a fourth type non-volatile programmable logic driver according to an embodiment of the present invention. The POP packaging structure 610 shown in FIG. 29D is similar to the POP packaging structure shown in FIG. 29A. The structure of Fig. 29A and Fig. 29D have the same component numbers. The disclosure of each element in Fig. 29D can refer to the disclosure description in Fig. 29A. The difference is that when the fourth type non-volatile programmable logic driver 610 is formed in FIG. 29D, the plurality of solder bumps of the NVM chip package structure 510 in FIG. 29D are adhesively bonded to the logic chip package structure 520. One of the metal pads or bumps 583, the logic chip package structure 520 may be the single-layer chip package structure in Figure 28K or the chiplet 550, which after bonding produces a solder joint 516 with a thickness between 20 μm and 100 μm in the Between the two, the semiconductor die 100 of the single-layer die package structure or die 550 used in the logic die package structure 520 may be the FPGAIC die 200 of FIGS. (substance) can be formed between the NVM chip package structure 510 and the logic chip package structure 520, encapsulating the solder joints 516, in addition to the FPGAIC chip 200, for a single-layer chip package structure or a small chip package structure for the logic chip package structure 520 The semiconductor die 100 of the die 550 may be a CPU die, a GPU die, a TPU die, an APU die, or a DSP die. However, in a first option, each external lead of the leadframe may be bonded to the metal pad or bump 583 of the logic chip package structure 520 via solder.

第29E圖為本發明實施例用於第五型非揮發性可編程邏輯驅動器之POP封裝結構的剖面示意圖,在第29E圖所示的POP封裝結構610具有與第29D圖及第29A圖中的POP封裝結構相似的結構,第29D圖及第29A圖與第29E圖中相同的元件號碼,其中在第29E圖中的各元件的揭露可參考第29D圖及第29A圖中的揭露說明,第29D圖及第29A圖與第29E圖中POP封裝結構610之間的差異處為,形成第29E圖中第五型非揮發性可編程邏輯驅動器610時,在第28G圖中的單層晶片封裝結構或小晶片550可提供作為一NVM晶片封裝結構510,其中用作為NVM晶片封裝結構510的單層晶片封裝結構或小晶片550之半導體晶片100可以是NVMIC晶片,例如是在第11A圖至第11N圖中之NAND快閃記憶體晶片或NOR快閃記憶體晶片,及沒有任何銅柱158在第28G圖中單層晶片封裝結構或小晶片550的聚合物層92中,此種單層晶片封裝結構或小晶片550可用作為NVM晶片封裝結構510,該NVM晶片封裝結構510的金屬凸塊、柱或接墊570黏著接合至邏輯晶片封裝結構520的金屬接墊或凸塊583,邏輯晶片封裝結構520可以是第28K圖中的單層晶片封裝結構或小晶片550,接合後產生厚度介於20μm至100μm之間的銲料接合點516位在二者之間,底部填充材料517(例如是聚合物)可形成在NVM晶片封裝結構510與邏輯晶片封裝結構520之間,包住該些銲料接合點516。除了FPGAIC晶片200之外,用於邏輯晶片封裝結構520之單層晶片封裝結構或小晶片550的半導體晶片100可以是CPU晶片、GPU晶片、TPU晶片、APU晶片或DSP晶片。FIG. 29E is a schematic cross-sectional view of a POP package structure for a fifth type non-volatile programmable logic driver according to an embodiment of the present invention. The POP package structure 610 shown in FIG. The structure of the POP package structure is similar, and the component numbers in Fig. 29D and Fig. 29A are the same as those in Fig. 29E. The disclosure of each element in Fig. 29E can refer to the disclosure description in Fig. 29D and Fig. 29A. The difference between Fig. 29D and Fig. 29A and the POP package structure 610 in Fig. 29E is the single-layer chip package in Fig. 28G when the fifth-type non-volatile programmable logic driver 610 in Fig. 29E is formed. The structure or chiplet 550 can be provided as an NVM chip package structure 510, wherein the semiconductor chip 100 used as the single-layer chip package structure or chiplet 550 as the NVM chip package structure 510 can be an NVMIC chip, such as that shown in FIGS. NAND flash memory chip or NOR flash memory chip in Fig. 11N, and without any copper pillars 158 in the polymer layer 92 of the single-layer chip package structure or chiplet 550 in Fig. 28G, such a single-layer chip The package structure or chiplet 550 can be used as the NVM chip package structure 510, the metal bumps, posts or pads 570 of the NVM chip package structure 510 are adhesively bonded to the metal pads or bumps 583 of the logic chip package structure 520, the logic chip package Structure 520 may be the single-layer chip package structure in Figure 28K or die 550, with solder joints 516 between 20 μm and 100 μm thick after bonding, underfill material 517 (eg, polymerized) (substrate) can be formed between the NVM chip package structure 510 and the logic chip package structure 520, enclosing the solder joints 516. In addition to the FPGAIC die 200, the semiconductor die 100 of the single-layer die package structure or chiplet 550 for the logic die package structure 520 may be a CPU die, a GPU die, a TPU die, an APU die, or a DSP die.

第29F圖為本發明實施例用於第六型非揮發性可編程邏輯驅動器之POP封裝結構的剖面示意圖,在第29F圖所示的POP封裝結構610具有與第29A圖中的POP封裝結構相似的結構,第29A圖與第29F圖中相同的元件號碼,其中在第29F圖中的各元件的揭露可參考第29A圖及第29D圖中的揭露說明,第29A圖及第29D圖與第29F圖中POP封裝結構610之間的差異處為,形成第29F圖中第六型非揮發性可編程邏輯驅動器610時,非揮發性記憶體IC晶片250(例如是第11A圖至第11N圖中NAND快閃記憶體晶片或NOR快閃記憶體晶片)可被提供取代第29D圖中的NVM晶片封裝結構510,此非揮發性記憶體IC晶片250可提供多個銲料凸塊黏著接合至邏輯晶片封裝結構520的其中之一金屬接墊或凸塊583,邏輯晶片封裝結構520可以是第28K圖中的單層晶片封裝結構或小晶片550,接合後產生多個接合接點531位在二者之間,每一接合接點531可包括厚度介於10μm至100μm之間的銅凸塊534介於非揮發性記憶體IC晶片250與邏輯晶片封裝結構520之間,及包括一含錫金屬層535介於銅凸塊與邏輯晶片封裝結構520之間,底部填充材料532(例如是聚合物)可形成在非揮發性記憶體IC晶片250與邏輯晶片封裝結構520之間之間,包住該些接合接點531,除了FPGAIC晶片200之外,用於邏輯晶片封裝結構520之單層晶片封裝結構或小晶片550的半導體晶片100可以是CPU晶片、GPU晶片、TPU晶片、APU晶片或DSP晶片。FIG. 29F is a schematic cross-sectional view of a POP packaging structure for a sixth type non-volatile programmable logic driver according to an embodiment of the present invention. The POP packaging structure 610 shown in FIG. 29F is similar to the POP packaging structure shown in FIG. 29A. The structure of Fig. 29A and Fig. 29F have the same element numbers, wherein the disclosure of each element in Fig. 29F can refer to the disclosure description in Fig. 29A and Fig. 29D, Fig. 29A and Fig. 29D and Fig. 29D The difference between the POP package structures 610 in Fig. 29F is that when the sixth type non-volatile programmable logic driver 610 in Fig. 29F is formed, the non-volatile memory IC chip 250 (eg, Figs. 11A to 11N) NAND flash memory chip or NOR flash memory chip) can be provided in place of the NVM chip package structure 510 in Figure 29D, the non-volatile memory IC chip 250 can provide a plurality of solder bumps for bonding to logic One of the metal pads or bumps 583 of the chip package structure 520, the logic chip package structure 520 may be the single-layer chip package structure or the small chip 550 in FIG. 28K, and after bonding, a plurality of bonding contacts 531 are generated in two places. In between, each bonding contact 531 may include copper bumps 534 between the non-volatile memory IC chip 250 and the logic chip package structure 520 with a thickness between 10 μm and 100 μm, and a tin-containing metal A layer 535 is interposed between the copper bumps and the logic chip package structure 520, and an underfill material 532 (eg, a polymer) may be formed between the non-volatile memory IC chip 250 and the logic chip package structure 520, encapsulating the The bonding pads 531 , in addition to the FPGAIC chip 200 , the semiconductor chip 100 for the single-layer chip package structure of the logic chip package structure 520 or the chiplet 550 may be a CPU chip, a GPU chip, a TPU chip, an APU chip or a DSP chip wafer.

第29G圖為本發明實施例用於第七型非揮發性可編程邏輯驅動器之POP封裝結構的剖面示意圖,在第29G圖所示的POP封裝結構610具有與第29E圖中的POP封裝結構相似的結構,第29A圖、第29D圖與第29G圖中相同的元件號碼,其中在第29G圖中的各元件的揭露可參考第29A圖、第29D圖及第29E圖中的揭露說明,第29E圖與第29G圖中POP封裝結構610之間的差異處為,形成第29G圖中第七型非揮發性可編程邏輯驅動器610時,在第28K圖中的單層晶片封裝結構或小晶片550可提供作為NVM晶片封裝結構510與邏輯晶片封裝結構520之間的一I/O晶片封裝結構540,其中用作為I/O晶片封裝結構540的單層晶片封裝結構或小晶片550之半導體晶片100可以是第11A圖至第11N圖中之專用I/O晶片265,I/O晶片封裝結構540可提供具有多個金屬凸塊、柱或接墊570黏著接合至邏輯晶片封裝結構520的金屬接墊或凸塊583,I/O晶片封裝結構540可以是如第28G圖中的單層晶片封裝結構或小晶片550,接合後產生厚度介於20μm至100μm之間的銲料接合點536位在二者之間,底部填充材料537(例如是聚合物)可形成在I/O晶片封裝結構540與邏輯晶片封裝結構520之間,包住該些銲料接合點536。此種單層晶片封裝結構或小晶片550可用作為NVM晶片封裝結構510,該NVM晶片封裝結構510的金屬凸塊、柱或接墊570黏著接合至I/O晶片封裝結構540的金屬接墊或凸塊583,I/O晶片封裝結構540可以是第28G圖中的單層晶片封裝結構或小晶片550,接合後產生厚度介於20μm至100μm之間的銲料接合點541位在二者之間,底部填充材料542(例如是聚合物)可形成在NVM晶片封裝結構510與I/O晶片封裝結構540之間,包住該些銲料接合點541。或者,I/O晶片封裝結構540的BISD79可被省略,如同第28G圖中的單層晶片封裝結構或小晶片550的結構,以及NVM晶片封裝結構具有多個金屬凸塊、柱或接墊570黏著接合至I/O晶片封裝結構540的銅柱158,以產生如第29C圖中之銲料接合點516位在二者之間。或者,I/O晶片封裝結構540可具有與第28I圖中的單層晶片封裝結構或小晶片550的結構,NVM晶片封裝結構具有多個金屬凸塊、柱或接墊570黏著接合至I/O晶片封裝結構540的金屬接墊或凸塊583,以產生如第29B圖中之銲料接合點516位在二者之間。需注意的是I/O晶片封裝結構540的金屬接墊或凸塊可包括一第一組的多個假的接墊或凸塊583a分別接合至NVM晶片封裝結構510的一金屬凸塊、柱或接墊570,以產生如第29B圖中的第一組銲料接合點516a(可接合接地參考電壓)及產生第二組假的接墊或凸塊583a分別接合至NVM晶片封裝結構510的一第二組金屬凸塊、柱或接墊570,以產生如第29B圖中的第二組銲料接合點516a(沒有任何的電性功能),其中第一組及第二組銲料接合點516a可垂直地位在I/O晶片封裝結構540的專用I/O晶片265的上方,I/O晶片封裝結構540的專用I/O晶片265可包括一小型I/O電路203依序經由專用I/O晶片265的其中之一金屬接墊、線或連接線108、I/O晶片封裝結構540的TISD或FISD101之交互連接線金屬層27、其中之一銲料接點536、邏輯晶片封裝結構520的BISD79之交互連接線金屬層27、邏輯晶片封裝結構520的其中之一銅柱158、邏輯晶片封裝結構520的TISD或FISD101之交互連接線金屬層27及FPGAIC晶片200的其中之一金屬接墊、線或連接線108,耦接至邏輯晶片封裝結構520的FPGAIC晶片200的一小型I/O電路203;I/O晶片封裝結構540的專用I/O晶片265更可包括另一小型I/O電路203耦接NVM晶片封裝結構510的非揮發性記憶體IC晶片250的一小型I/O電路203(依序經由專用I/O晶片265的其中之一金屬接墊、線或連接線108、I/O晶片封裝結構540的TISD或FISD101之交互連接線金屬層27、I/O晶片封裝結構540的其中之一銅柱158、I/O晶片封裝結構540的BISD79之交互連接線金屬層27、其中之一銲料接點541、NVM晶片封裝結構510的TISD或FISD101之交互連接線金屬層27、非揮發性記憶體IC晶片250的其中之一金屬接墊、線或連接線108),其中每一專用I/O晶片265、FPGAIC晶片200及非揮發性記憶體IC晶片250的小型I/O電路203可被如第5B圖中之一小型驅動器374、小型接收器375及小型ESD保護電路373所構成,其全部的小型I/O電路203耦接至由每一專用I/O晶片265、FPGAIC晶片200及非揮發性記憶體IC晶片250的其中之一金屬接墊、線或連接線108提供的I/O接墊372,I/O晶片封裝結構540的專用I/O晶片265可包括一大型I/O電路341耦接其中之一銲料凸塊或球523(依序經由專用I/O晶片265的其中之一金屬接墊、線或連接線108、I/O晶片封裝結構540的TISD或FISD101之交互連接線金屬層27、其中之一銲料接點536、邏輯晶片封裝結構520的BISD79之交互連接線金屬層27、邏輯晶片封裝結構520的其中之一銅柱158、邏輯晶片封裝結構520的TISD或FISD101之交互連接線金屬層27、其中之一銲料接點521及線路板530,其中專用I/O晶片265之大型I/O電路341可由如第5A圖中的大型驅動器274、大型接收器275及大型ESD保護電路273所構成,全部的大型I/O電路341耦接至由專用I/O晶片265之金屬接墊、線或連接線108提供的I/O接墊272),用於外部連接。邏輯晶片封裝結構520的FPGAIC晶片200的上方,除了FPGAIC晶片200之外,用於邏輯晶片封裝結構520之單層晶片封裝結構或小晶片550的半導體晶片100可以是CPU晶片、GPU晶片、TPU晶片、APU晶片或DSP晶片。FIG. 29G is a schematic cross-sectional view of a POP packaging structure used in a seventh-type non-volatile programmable logic driver according to an embodiment of the present invention. The POP packaging structure 610 shown in FIG. 29G is similar to the POP packaging structure shown in FIG. 29E. The structure of Fig. 29A, Fig. 29D and Fig. 29G have the same element numbers, and the disclosure of each element in Fig. 29G can refer to the disclosure descriptions in Fig. 29A, Fig. 29D and Fig. 29E. The difference between the POP package structure 610 in FIG. 29E and the POP package structure 610 in FIG. 29G is the single-layer chip package structure or die in FIG. 28K when the seventh-type non-volatile programmable logic driver 610 in FIG. 29G is formed. 550 may be provided as an I/O chip package structure 540 between the NVM chip package structure 510 and the logic chip package structure 520, wherein the semiconductor chip used as a single layer chip package structure of the I/O chip package structure 540 or the chiplet 550 100 may be the dedicated I/O die 265 of FIGS. 11A-11N, and the I/O die package structure 540 may provide metal having a plurality of metal bumps, posts or pads 570 adhesively bonded to the logic die package structure 520 The pads or bumps 583, the I/O chip package structure 540 may be a single layer chip package structure as shown in Figure 28G or the chiplet 550, which after bonding results in solder joints 536 having a thickness between 20 μm and 100 μm. In between, an underfill material 537 (eg, a polymer) may be formed between the I/O chip package structure 540 and the logic chip package structure 520 , surrounding the solder joints 536 . Such a single-layer chip package structure or chiplet 550 can be used as an NVM chip package structure 510, the metal bumps, posts or pads 570 of the NVM chip package structure 510 are adhesively bonded to the metal pads of the I/O chip package structure 540 or Bumps 583, I/O chip package structure 540 may be a single layer chip package structure as shown in Figure 28G or dielet 550, with solder joints 541 between 20μm to 100μm thick after bonding , an underfill material 542 (eg, polymer) may be formed between the NVM chip package structure 510 and the I/O chip package structure 540 to encapsulate the solder joints 541 . Alternatively, the BISD 79 of the I/O chip package structure 540 can be omitted, as in the single-layer chip package structure or the structure of the chiplet 550 in FIG. 28G, and the NVM chip package structure has a plurality of metal bumps, posts or pads 570 The copper pillars 158 bonded to the I/O chip package structure 540 are adhesively bonded to produce solder joints 516 between the two as shown in FIG. 29C. Alternatively, the I/O chip package structure 540 may have the same structure as the single-layer chip package structure or die 550 in FIG. 28I, and the NVM chip package structure has a plurality of metal bumps, posts or pads 570 adhesively bonded to the I/O O the metal pads or bumps 583 of the chip package structure 540 to create the solder joints 516 between the two as shown in FIG. 29B. It should be noted that the metal pads or bumps of the I/O chip package structure 540 may include a plurality of dummy pads or bumps 583a of a first group respectively bonded to a metal bump, stud of the NVM chip package structure 510 . or pads 570 to create a first set of solder joints 516a (which can be bonded to a ground reference voltage) as shown in FIG. 29B and to create a second set of dummy pads or bumps 583a to be bonded to one of the NVM chip package structures 510, respectively A second set of metal bumps, posts or pads 570 to create a second set of solder joints 516a (without any electrical function) as shown in FIG. 29B, wherein the first and second sets of solder joints 516a can be Positioned vertically above the dedicated I/O chip 265 of the I/O chip package structure 540, the dedicated I/O chip 265 of the I/O chip package structure 540 may include a small I/O circuit 203 in sequence through the dedicated I/O One of the metal pads, wires or connecting lines 108 of the chip 265 , the metal layer 27 of the TISD or FISD 101 of the I/O chip package structure 540 , one of the solder contacts 536 , the BISD 79 of the logic chip package structure 520 The interconnection wire metal layer 27 of the logic chip package structure 520 , one of the copper pillars 158 of the logic chip package structure 520 , the interconnection wire metal layer 27 of the TISD or FISD101 of the logic chip package structure 520 , and one of the metal pads and wires of the FPGAIC chip 200 Or connecting line 108, coupled to a small I/O circuit 203 of the FPGAIC chip 200 of the logic chip package 520; the dedicated I/O chip 265 of the I/O chip package 540 may further include another small I/O circuit 203 is coupled to a small I/O circuit 203 of the non-volatile memory IC chip 250 of the NVM chip package 510 (sequentially via one of the metal pads, wires or connecting wires 108, I of the dedicated I/O chip 265 The interconnection wire metal layer 27 of the TISD or FISD101 of the /O chip package structure 540 , one of the copper pillars 158 of the I/O chip package structure 540 , the interconnection wire metal layer 27 of the BISD79 of the I/O chip package structure 540 , One of the solder contacts 541, the interconnect metal layer 27 of the TISD or FISD 101 of the NVM chip package structure 510, one of the metal pads, lines or connecting lines 108 of the non-volatile memory IC chip 250), each of which A dedicated I/O chip 265, FPGA IC chip 200 and miniature I/O circuit 203 of non-volatile memory IC chip 250 can be used as a miniature driver 374, miniature receiver 375 and miniature ESD protection circuit 373 in Figure 5B constituted, all of its miniature I/O circuits 203 are coupled to one of the metal pads, lines or wires 108 of each dedicated I/O chip 265 , FPGA IC chip 200 and non-volatile memory IC chip 250 Provided I/O pads 372, I/O The dedicated I/O chip 265 of the chip package structure 540 may include a large I/O circuit 341 coupled to one of the solder bumps or balls 523 (in turn via one of the metal pads, wires of the dedicated I/O chip 265 ) Or connection line 108, the interconnection line metal layer 27 of TISD or FISD101 of the I/O chip package structure 540, one of the solder contacts 536, the interconnection line metal layer 27 of the BISD79 of the logic chip package structure 520, the logic chip package One of the copper pillars 158 of the structure 520 , the interconnect metal layer 27 of the TISD or FISD 101 of the logic chip package structure 520 , one of the solder contacts 521 and the circuit board 530 , of which the large I/O of the dedicated I/O chip 265 The O circuit 341 can be composed of a large driver 274, a large receiver 275, and a large ESD protection circuit 273 as shown in FIG. 5A. All the large I/O circuits 341 are coupled to the metal pads of the dedicated I/O chip 265, line or I/O pads 272 provided by connection line 108) for external connections. Above the FPGAIC chip 200 of the logic chip package structure 520, in addition to the FPGAIC chip 200, the semiconductor chip 100 used for the single-layer chip package structure of the logic chip package structure 520 or the small chip 550 may be a CPU chip, a GPU chip, or a TPU chip , APU chip or DSP chip.

第29H圖為本發明實施例用於第八型非揮發性可編程邏輯驅動器之POP封裝結構的剖面示意圖,如第29H圖所示,在形成第八型非揮發性可編程邏輯驅動器610中,HBM晶片封裝結構560(其可以是第28K圖中單層晶片封裝結構或小晶片550)的金屬凸塊、柱或接墊570可黏著至邏輯晶片封裝結構520(其可以是第28K圖中單層晶片封裝結構或小晶片550)的金屬凸塊、柱或接墊570,產生厚度介於20μm至100μm之間的銲料接點536位在二者之間,其中用作為邏輯晶片封裝結構520之單層晶片封裝結構或小晶片550的半導體晶片100可以是第8A圖至第8J圖中的FPGAIC晶片200,其中用作為HBM晶片封裝結構560之單層晶片封裝結構或小晶片550的半導體晶片100可以是HBMIC晶片251,例如是DRAM晶片、SRAM晶片、MRAM晶片或RRAM晶片。底部填充材料537(例如是聚合物)可形成在HBM晶片封裝結構560與邏輯晶片封裝結構520之間並包住該些銲料接點536,一NVM晶片封裝結構510(其可以是第28G圖中單層晶片封裝結構或小晶片550)的金屬凸塊、柱或接墊570可黏著至HBM晶片封裝結構560之金屬凸塊或接墊583,以產生厚度介於20μm至100μm之間的銲料接點541於二者之間,其中用作為NVM晶片封裝結構510的單層晶片封裝結構或小晶片550之半導體晶片100可以是第11A圖至第11N圖中的NAND快閃晶片或NOR快閃晶片,且在第28G圖中的結構中單層晶片封裝結構或小晶片550之聚合物層92中沒有設置銅柱158,此種結構可用作為NVM晶片封裝結構510,一底部填充材料542(例如聚合物)可形成在NVM晶片封裝結構510與HBM晶片封裝結構560之間並包住銲料接點541。邏輯晶片封裝結構520提供的金屬接墊或凸塊583黏著至線路板530上以形成厚度介於20μm至100μm之間的銲料接點521於二者之間,一底部填充材料522(例如聚合物)可形成在邏輯晶片封裝結構520與線路板530之間並包住銲料接點521,多個銲料凸塊或球523可提供以矩陣型式形成在線路板530的底部上。或者,HBM晶片封裝結構560的BISD79可被省略,即HBM晶片封裝結構560具有與第28G圖中單層晶片封裝結構或小晶片550相似的結構,及NVM晶片封裝結構510提供之金屬凸塊、柱或接墊570黏著在HBM晶片封裝結構560的銅柱158上並形成如第29C圖中之銲料接點516於二者之間。或者,HBM晶片封裝結構560具有與第28I圖中單層晶片封裝結構或小晶片550相似的結構,NVM晶片封裝結構510提供之金屬凸塊、柱或接墊570黏著在HBM晶片封裝結構560的金屬接墊或凸塊583以形成第29B圖中之銲料接點516於二者之間。需注意的是HBM晶片封裝結構560的金屬接墊或凸塊583可包括一第一組假的金屬接墊或凸塊583a分別接合至NVM晶片封裝結構510的金屬凸塊、柱或接墊570,以產生如第29B圖中之銲料接點516a,作為連接接地參考電壓,金屬接墊或凸塊583可另包括第二組假的金屬接墊或凸塊583a分別接合至NVM晶片封裝結構510的金屬凸塊、柱或接墊570,以產生如第29B圖中之銲料接點516b,該些銲料接點516b不具有任何的電性功能,其中第一組及第二組銲料接點可垂直地位在HBM晶片封裝結構560之HBMIC晶片251的上方。FPGAIC晶片200與HBMIC晶片251之間的通訊可經由HBMIC晶片251之一組小型I/O電路203、HBMIC晶片251的一組金屬接墊、線或連接線108、HBM晶片封裝結構560之TISD或FISD101的交互連接線金屬層27、邏輯晶片封裝結構520之BISD79的交互連接線金屬層27、FPGAIC晶片200的一組金屬接墊、線或連接線108及FPGAIC晶片的一組小型I/O電路203被提供,且其通訊具有一資料位元寬度大於或等於64,128,256,512,1024,2048,4096,8K或16K,其中HBMIC晶片251及FPGAIC晶片200的每一小型I/O電路203可由如第5B圖中之一小型驅動器374、小型接收器及小型ESD保護電路373所建構而成,並且耦接至由每一HBMIC晶片251及FPGAIC晶片200的金屬接墊、線或連接線108所提供的I/O接墊372,除了FPGAIC晶片200之外,用於邏輯晶片封裝結構520之單層晶片封裝結構或小晶片550的半導體晶片100可以是CPU晶片、GPU晶片、TPU晶片、APU晶片或DSP晶片。FIG. 29H is a schematic cross-sectional view of a POP packaging structure for an eighth type non-volatile programmable logic driver according to an embodiment of the present invention. As shown in FIG. 29H, in forming the eighth type non-volatile programmable logic driver 610, Metal bumps, posts or pads 570 of the HBM chip package 560 (which may be the single-layer chip package or die 550 in Figure 28K) can be attached to the logic chip package 520 (which may be the single-layer chip package in Figure 28K). The metal bumps, posts or pads 570 of the layer chip package structure or chiplet 550 ), resulting in solder contacts 536 between 20 μm and 100 μm thick, which serve as the core of the logic chip package structure 520 . The semiconductor wafer 100 of the single-layer chip package structure or chiplet 550 may be the FPGAIC chip 200 of FIGS. 8A to 8J, wherein the semiconductor chip 100 used as the single-layer chip package structure or chiplet 550 of the HBM chip package structure 560 It may be an HBMIC die 251, such as a DRAM die, SRAM die, MRAM die, or RRAM die. An underfill material 537 (eg, a polymer) may be formed between the HBM chip package structure 560 and the logic chip package structure 520 and encapsulate the solder contacts 536, an NVM chip package structure 510 (which may be shown in Figure 28G) The metal bumps, studs or pads 570 of the single-layer chip package structure or chiplet 550) can be adhered to the metal bumps or pads 583 of the HBM chip package structure 560 to produce solder joints having a thickness between 20 μm and 100 μm. Point 541 is in between, where the semiconductor chip 100 used as the single layer chip package structure of the NVM chip package structure 510 or the chiplet 550 can be a NAND flash chip or a NOR flash chip as in FIGS. 11A to 11N , and no copper pillars 158 are provided in the single-layer chip package structure or the polymer layer 92 of the chiplet 550 in the structure in FIG. 28G, this structure can be used as the NVM chip package structure 510, an underfill material 542 (eg polymer ) can be formed between the NVM chip package structure 510 and the HBM chip package structure 560 and encapsulate the solder contacts 541 . The metal pads or bumps 583 provided by the logic chip package structure 520 are adhered to the circuit board 530 to form solder contacts 521 with a thickness between 20 μm and 100 μm. ) may be formed between the logic chip package structure 520 and the circuit board 530 and enclose the solder contacts 521 , and a plurality of solder bumps or balls 523 may be provided on the bottom of the circuit board 530 in a matrix pattern. Alternatively, the BISD 79 of the HBM chip package structure 560 can be omitted, that is, the HBM chip package structure 560 has a structure similar to that of the single-layer chip package structure or the small chip 550 in FIG. 28G, and the metal bumps provided by the NVM chip package structure 510, Posts or pads 570 are attached to the copper posts 158 of the HBM chip package structure 560 and form solder contacts 516 therebetween as shown in Figure 29C. Alternatively, the HBM chip package structure 560 has a structure similar to that of the single-layer chip package structure or the small chip 550 in FIG. Metal pads or bumps 583 to form solder contacts 516 in Figure 29B therebetween. It should be noted that the metal pads or bumps 583 of the HBM chip package structure 560 may include a first set of dummy metal pads or bumps 583a respectively bonded to the metal bumps, studs or pads 570 of the NVM chip package structure 510 , to generate the solder contact 516a as shown in FIG. 29B, as the connection ground reference voltage, the metal pads or bumps 583 may further include a second set of dummy metal pads or bumps 583a respectively bonded to the NVM chip package structure 510 metal bumps, studs or pads 570 to create solder contacts 516b as shown in Figure 29B, these solder contacts 516b do not have any electrical function, wherein the first and second sets of solder contacts can be Vertically above the HBMIC chip 251 of the HBM chip package structure 560 . Communication between the FPGAIC chip 200 and the HBMIC chip 251 can be via a set of small I/O circuits 203 of the HBMIC chip 251 , a set of metal pads, wires or connecting lines 108 of the HBMIC chip 251 , TISD or TISD of the HBM chip package 560 . The interconnection wire metal layer 27 of the FISD101, the interconnection wire metal layer 27 of the BISD79 of the logic chip package structure 520, a set of metal pads, wires or connecting wires 108 of the FPGAIC chip 200, and a set of small I/O circuits of the FPGAIC chip 203 is provided, and its communication has a data bit width greater than or equal to 64, 128, 256, 512, 1024, 2048, 4096, 8K or 16K, wherein each small I/O circuit 203 of the HBMIC chip 251 and the FPGAIC chip 200 can be used as shown in Figure 5B One of the miniature drivers 374 , miniature receivers and miniature ESD protection circuits 373 are constructed and coupled to the I/O provided by the metal pads, wires or wires 108 of each HBMIC chip 251 and FPGAIC chip 200 O pads 372, in addition to the FPGAIC die 200, the semiconductor die 100 for the single-layer die package structure of the logic die package structure 520 or the chiplet 550 may be a CPU die, GPU die, TPU die, APU die or DSP die.

第29I圖為本發明實施例用於第九型非揮發性可編程邏輯驅動器之POP封裝結構的剖面示意圖,如第29I圖所示,在第29I圖中第九型非揮發性可編程邏輯驅動器之POP封裝結構610與第29H圖中的POP封裝結構相似,第29H圖與第29I圖中相同的元件號碼,其中在第29I圖中的各元件的揭露可參考第29H圖中的揭露說明,第29I圖中第九型非揮發性可編程邏輯驅動器之POP封裝結構610與第29H圖中POP封裝結構的差異處為,在形成第九型非揮發性可編程邏輯驅動器之POP封裝結構610時,如第28K圖中的單層晶片封裝結構或小晶片550可提供作為I/O晶片封裝結構540位在NVM晶片封裝結構510與HBM晶片封裝結構560之間,其中用於I/O晶片封裝結構540之單層晶片封裝結構或小晶片550中的半導體晶片100可以是第11A圖至第11N圖中的一專用I/O晶片265,I/O晶片封裝結構540的金屬凸塊、柱或接墊570可黏著至HBM晶片封裝結構560(其可以是第28K圖中單層晶片封裝結構或小晶片550)的金屬接墊或凸塊583,以產生厚度介於20μm至100μm之間的銲料接點558位在二者之間。底部填充材料559(例如是聚合物)可形成在I/O晶片封裝結構540與邏輯晶片封裝結構520之間並包住該些銲料接點558,一NVM晶片封裝結構510的金屬凸塊、柱或接墊570可黏著至I/O晶片封裝結構540(其可以是第28G圖中單層晶片封裝結構或小晶片550)之金屬凸塊或接墊583,以產生厚度介於20μm至100μm之間的銲料接點541於二者之間,一底部填充材料542(例如聚合物)可形成在NVM晶片封裝結構510與I/O晶片封裝結構540之間並包住銲料接點541。或者,HBM晶片封裝結構560的BISD79可被省略,即HBM晶片封裝結構560具有與第28G圖中單層晶片封裝結構或小晶片550相似的結構,I/O晶片封裝結構540的金屬凸塊、柱或接墊570可黏著至HBM晶片封裝結構560的銅柱158以形成如第29C圖中的銲料接點於二者之間。或者,I/O晶片封裝結構540的BISD79可被省略,即I/O晶片封裝結構540具有與第28G圖中單層晶片封裝結構或小晶片550相似的結構,及NVM晶片封裝結構510提供之金屬凸塊、柱或接墊570黏著在I/O晶片封裝結構540的銅柱158上並形成如第29C圖中之銲料接點516於二者之間。或者,I/O晶片封裝結構540具有與第28I圖中單層晶片封裝結構或小晶片550相似的結構,NVM晶片封裝結構510提供之金屬凸塊、柱或接墊570黏著在I/O晶片封裝結構540的金屬接墊或凸塊583以形成第29B圖中之銲料接點516於二者之間。需注意的是I/O晶片封裝結構540的金屬接墊或凸塊583可包括一第一組假的金屬接墊或凸塊583a分別接合至NVM晶片封裝結構510的金屬凸塊、柱或接墊570,以產生如第29B圖中之銲料接點516a,作為連接接地參考電壓,金屬接墊或凸塊583可另包括第二組假的金屬接墊或凸塊583a分別接合至NVM晶片封裝結構510的金屬凸塊、柱或接墊570,以產生如第29B圖中之銲料接點516b,該些銲料接點516b不具有任何的電性功能,其中第一組及第二組銲料接點可垂直地位在I/O晶片封裝結構540之專用I/O晶片265的上方。或者,每一HBM晶片封裝結構560具有與第28I圖中單層晶片封裝結構或小晶片550相似的結構,及每一I/O晶片封裝結構540可提供具有金屬凸塊、柱或接墊570黏著至HBM晶片封裝結構560的金屬接墊或凸塊583,以產生如第29B圖中的銲料接點558位在二者之間,需注意的事HBM晶片封裝結構560的金屬接墊或凸塊583可包括一第三組假的金屬接墊或凸塊583a分別接合至在I/O晶片封裝結構540的金屬凸塊、柱或接墊570,以產生如第29B圖中之銲料接點516a,作為連接接地參考電壓,金屬接墊或凸塊583可另包括第四組假的金屬接墊或凸塊583a分別接合至I/O晶片封裝結構540的金屬凸塊、柱或接墊570,以產生如第29B圖中之銲料接點516a,該些銲料接點516a不具有任何的電性功能,其中第三組及第四組銲料接點可垂直地位在HBM晶片封裝結構560的HBMIC晶片251的上方。I/O晶片封裝結構540之專用I/O晶片265可包括一小型I/O電路203依序經由I/O晶片封裝結構540之TISD或FISD101的交互連接線金屬層27、其中之一銲料接點558、HBM晶片封裝結構560的BISD79之交互連接線金屬層27、HBM晶片封裝結構560的其中之一銅柱158、HBM晶片封裝結構560的TISD或FISD101之交互連接線金屬層27、其中之一銲料接點536、邏輯晶片封裝結構520之TISD或FISD101之交互連接線金屬層27及FPGAIC晶片200的其中之一金屬接墊、線或連接線108耦接至邏輯晶片封裝結構520之FPGAIC晶片200的一小型I/O電路203;I/O晶片封裝結構540之專用I/O晶片265包括一小型I/O電路203依序經由I/O晶片封裝結構540的其中之一金屬接墊、線或連接線108、I/O晶片封裝結構540之TISD或FISD101之交互連接線金屬層27、其中之一銲料接點558、HBM晶片封裝結構560的BISD79之交互連接線金屬層27、HBM晶片封裝結構560的其中之一銅柱158、HBM晶片封裝結構560的TISD或FISD101之交互連接線金屬層27及HBMIC晶片251的其中之一金屬接墊、線或連接線108耦接至HBM晶片封裝結構560的之HBMIC晶片251的一小型I/O電路203;I/O晶片封裝結構540之專用I/O晶片265包括一小型I/O電路203依序經由I/O晶片封裝結構540的其中之一金屬接墊、線或連接線108、I/O晶片封裝結構540之TISD或FISD101之交互連接線金屬層27、I/O晶片封裝結構540的其中之一銅柱158、I/O晶片封裝結構540之BISD79之交互連接線金屬層27、其中之一銲料接點541、NVM晶片封裝結構510的TISD或FISD101之交互連接線金屬層27及非揮發記憶體IC晶片250的其中之一屬接墊、線或連接線108耦接至NVM晶片封裝結構510的之非揮發記憶體IC晶片250的一小型I/O電路203,其中每一專用I/O晶片265、HBMIC晶片251、FPGAIC晶片200及非揮發記憶體IC晶片250的小型I/O電路203可由如第5B圖中之一小型驅動器374、小型接收器375及小型ESD保護電路373所建構而成,並且耦接至由每一專用I/O晶片265、HBMIC晶片251、FPGAIC晶片200及非揮發記憶體IC晶片250的金屬接墊、線或連接線108所提供的I/O接墊372。I/O晶片封裝結構540的專用I/O晶片265可包括一大型I/O電路341依序經由專用I/O晶片265的其中之一金屬接墊、線或連接線108、I/O晶片封裝結構540的TISD或FISD101之交互連接線金屬層27、其中之一銲料接點558、HBM晶片封裝結構560的BISD79之交互連接線金屬層27、HBM晶片封裝結構560的其中之一銅柱158、HBM晶片封裝結構560的TISD或FISD101之交互連接線金屬層27、其中之一銲料接點536、邏輯晶片封裝結構520的TISD或FISD101之交互連接線金屬層27、邏輯晶片封裝結構520的其中之一銅柱158、邏輯晶片封裝結構520的BISD79之交互連接線金屬層27、其中之一銲料接點521及線路板530耦接至其中之一銲料凸塊或球523,用於外部連接,其中專用I/O晶片265之大型I/O電路342可由如第5A圖中之一大型驅動器274、大型接收器275及大型ESD保護電路273所建構而成,並且耦接至由專用I/O晶片265之其中之一金屬接墊、線或連接線108所提供的I/O接墊272,除了FPGAIC晶片200之外,用於邏輯晶片封裝結構520之單層晶片封裝結構或小晶片550的半導體晶片100可以是CPU晶片、GPU晶片、TPU晶片、APU晶片或DSP晶片。FIG. 29I is a schematic cross-sectional view of a POP packaging structure for a ninth type non-volatile programmable logic driver according to an embodiment of the present invention. As shown in FIG. 29I, in FIG. 29I, the ninth type non-volatile programmable logic driver The POP package structure 610 is similar to the POP package structure in FIG. 29H, the component numbers in FIG. 29H and FIG. 29I are the same, and the disclosure of each component in FIG. 29I can refer to the disclosure description in FIG. 29H, The difference between the POP package structure 610 of the ninth type non-volatile programmable logic driver in FIG. 29I and the POP package structure in FIG. 29H is that when the POP package structure 610 of the ninth type non-volatile programmable logic driver is formed , a single-layer die package structure or die 550 as in FIG. 28K may be provided as an I/O die package structure 540 positioned between the NVM die package structure 510 and the HBM die package structure 560 for the I/O die package structure The semiconductor die 100 in the single-layer chip package structure of structure 540 or chiplet 550 may be a dedicated I/O die 265 of FIGS. 11A to 11N, metal bumps, posts or The pads 570 may be adhered to the metal pads or bumps 583 of the HBM chip package structure 560 (which may be the single-layer chip package structure or die 550 in Figure 28K) to produce a solder thickness between 20 μm and 100 μm Contact 558 bits are in between. An underfill material 559 (eg, a polymer) may be formed between the I/O chip package structure 540 and the logic chip package structure 520 and encapsulate the solder contacts 558 , metal bumps, pillars of the NVM chip package structure 510 Or the pads 570 can be adhered to the metal bumps or pads 583 of the I/O chip package structure 540 (which can be the single layer chip package structure or the chiplet 550 in Figure 28G) to produce a thickness between 20 μm and 100 μm. An underfill material 542 (eg, polymer) may be formed between the NVM chip package structure 510 and the I/O chip package structure 540 and encapsulate the solder contact 541 between the two. Alternatively, the BISD 79 of the HBM chip package structure 560 can be omitted, that is, the HBM chip package structure 560 has a structure similar to the single-layer chip package structure or the small chip 550 in Fig. 28G, the metal bumps of the I/O chip package structure 540, Posts or pads 570 may be adhered to the copper posts 158 of the HBM chip package structure 560 to form solder contacts therebetween as shown in Figure 29C. Alternatively, the BISD 79 of the I/O chip package structure 540 can be omitted, that is, the I/O chip package structure 540 has a similar structure to the single-layer chip package structure or chiplet 550 in FIG. 28G, and the NVM chip package structure 510 provides the Metal bumps, posts or pads 570 are attached to the copper posts 158 of the I/O chip package structure 540 and form solder contacts 516 therebetween as shown in Figure 29C. Alternatively, the I/O chip package structure 540 has a similar structure to the single-layer chip package structure or chiplet 550 in FIG. 28I, and the metal bumps, posts or pads 570 provided by the NVM chip package structure 510 are attached to the I/O chip The metal pads or bumps 583 of the package structure 540 form the solder contacts 516 in Figure 29B therebetween. It should be noted that the metal pads or bumps 583 of the I/O chip package structure 540 may include a first set of dummy metal pads or bumps 583a respectively bonded to the metal bumps, studs or contacts of the NVM chip package structure 510. Pads 570 to create solder contacts 516a as shown in Figure 29B as a connection to the ground reference voltage, metal pads or bumps 583 may additionally include a second set of dummy metal pads or bumps 583a respectively bonded to the NVM chip package Metal bumps, pillars or pads 570 of structure 510 to produce solder contacts 516b as shown in FIG. 29B, these solder contacts 516b do not have any electrical function, wherein the first and second sets of solder contacts The dots may be positioned vertically above the dedicated I/O die 265 of the I/O die package structure 540 . Alternatively, each HBM chip package structure 560 has a similar structure to the single-layer chip package structure or chiplet 550 in Figure 28I, and each I/O chip package structure 540 may be provided with metal bumps, posts, or pads 570 Attached to the metal pads or bumps 583 of the HBM chip package structure 560 to produce solder contacts 558 between the two as shown in Figure 29B, note that the metal pads or bumps of the HBM chip package structure 560 Block 583 may include a third set of dummy metal pads or bumps 583a respectively bonded to metal bumps, studs or pads 570 in I/O chip package structure 540 to produce solder contacts as shown in Figure 29B 516a, as a connection to the ground reference voltage, the metal pads or bumps 583 may further include a fourth set of dummy metal pads or bumps 583a respectively bonded to the metal bumps, studs or pads 570 of the I/O chip package structure 540 , to produce solder contacts 516a as shown in FIG. 29B, these solder contacts 516a do not have any electrical function, wherein the third and fourth sets of solder contacts can be positioned vertically on the HBMIC of the HBM chip package structure 560 Above wafer 251 . The dedicated I/O chip 265 of the I/O chip package structure 540 may include a small I/O circuit 203 in sequence through the interconnection wire metal layer 27 of the TISD or FISD 101 of the I/O chip package structure 540, one of which is soldered Point 558 , the interconnection wire metal layer 27 of BISD79 of the HBM chip package structure 560 , one of the copper pillars 158 of the HBM chip package structure 560 , the interconnection wire metal layer 27 of the TISD or FISD101 of the HBM chip package structure 560 , among which A solder pad 536 , the interconnect metal layer 27 of the TISD or FISD 101 of the logic chip package 520 and one of the metal pads, wires or wires 108 of the FPGAIC chip 200 are coupled to the FPGAIC chip of the logic chip package 520 A small I/O circuit 203 of 200; the dedicated I/O chip 265 of the I/O chip package structure 540 includes a small I/O circuit 203 through one of the metal pads of the I/O chip package structure 540, Wire or connecting wire 108, Interconnect wire metal layer 27 of TISD or FISD 101 of I/O chip package structure 540, one of the solder contacts 558, Interconnect wire metal layer 27 of BISD79 of HBM chip package structure 560, HBM chip One of the copper pillars 158 of the package structure 560 , the interconnecting wire metal layer 27 of the TISD or FISD 101 of the HBM chip package structure 560 and one of the metal pads, lines or connecting lines 108 of the HBMIC chip 251 are coupled to the HBM chip package A small I/O circuit 203 of the HBMIC chip 251 of the structure 560; the dedicated I/O chip 265 of the I/O chip package structure 540 includes a small I/O circuit 203 through the I/O chip package structure 540 in sequence A metal pad, wire or connecting line 108, the TISD of the I/O chip package structure 540 or the interconnection line metal layer 27 of the FISD 101, one of the copper pillars 158 of the I/O chip package structure 540, the I/O chip The interconnect metal layer 27 of the BISD79 of the package structure 540, one of the solder contacts 541, the interconnect metal layer 27 of the TISD or FISD101 of the NVM chip package structure 510, and one of the non-volatile memory IC chip 250 are Pads, wires or wires 108 are coupled to a small I/O circuit 203 of the non-volatile memory IC chip 250 of the NVM chip package 510, each of which is dedicated I/O chip 265, HBMIC chip 251, FPGAIC chip 200 and the small I/O circuit 203 of the non-volatile memory IC chip 250 can be constructed from a small driver 374, a small receiver 375, and a small ESD protection circuit 373 as shown in FIG. 5B, and are coupled to each Dedicated I/O chip 265, HBMIC chip 251, FP The I/O pads 372 provided by the metal pads, wires, or connecting wires 108 of the GAIC chip 200 and the non-volatile memory IC chip 250 . The dedicated I/O chip 265 of the I/O chip package structure 540 may include a large I/O circuit 341 in sequence through one of the metal pads, wires or connecting lines 108 of the dedicated I/O chip 265, the I/O chip The interconnection wire metal layer 27 of TISD or FISD101 of the package structure 540 , one of the solder contacts 558 , the interconnection wire metal layer 27 of BISD79 of the HBM chip package structure 560 , and one of the copper pillars 158 of the HBM chip package structure 560 , the interconnection wire metal layer 27 of the TISD or FISD101 of the HBM chip package structure 560, one of the solder contacts 536, the interconnection wire metal layer 27 of the TISD or FISD101 of the logic chip package structure 520, and the logic chip package structure 520. A copper pillar 158, the interconnect wire metal layer 27 of the BISD 79 of the logic chip package structure 520, one of the solder contacts 521 and the circuit board 530 are coupled to one of the solder bumps or balls 523 for external connection, The large I/O circuit 342 of the dedicated I/O chip 265 can be constructed by a large driver 274, a large receiver 275 and a large ESD protection circuit 273 as shown in FIG. 5A, and is coupled to the dedicated I/O The I/O pads 272 provided by one of the metal pads, wires, or connecting wires 108 of the chips 265 , other than the FPGAIC chip 200 , are used for the single-layer chip package structure of the logic chip package structure 520 or the chiplet 550 The semiconductor die 100 may be a CPU die, a GPU die, a TPU die, an APU die, or a DSP die.

第29J圖為本發明實施例用於第十型非揮發性可編程邏輯驅動器之POP封裝結構的剖面示意圖,如第29J圖所示,在第29J圖中第十型非揮發性可編程邏輯驅動器之POP封裝結構610中,多個I/O晶片封裝結構540(其可以是第28K圖中多晶片封裝結構或小晶片550)的金屬凸塊、柱或接墊570可黏著至邏輯驅動器300(其可以是第28K圖中多晶片封裝結構或小晶片550)的金屬接墊或凸塊583,以產生厚度介於20μm至100μm之間的銲料接點536於二者之間,其中作為邏輯驅動器300的多晶片封裝結構或小晶片550之每一半導體晶片100具有如第11A圖至第11N圖中用於標準商業化邏輯驅動器300之一的佈置,每一半導體晶片100可以是標準商業化FPGAIC晶片200、DPIIC晶片410、NVMIC晶片250(例如是NAND快閃記憶體晶片或NOR快閃記憶體晶片)、專用I/O晶片265、PCIC晶片269(例如是DSP晶片、CPU晶片、GPU晶片、TPU晶片或APU晶片)、HBM記憶體晶片(例如是DRAM晶片、SRAM晶片、MRAM晶片或RRAM晶片)、專用控制晶片260、專用控制及I/O晶片266、IAC晶片402(例如是類比IC晶片、混合模式IC晶片或射頻(RF)IC晶片)、DCICA晶片267或DCDI/OIAC晶片268,其中用於I/O晶片封裝結構540之單層晶片封裝結構或小晶片550中的半導體晶片100可以是專用I/O晶片265,底部填充材料537(例如是聚合物)可形成在I/O晶片封裝結構540與邏輯驅動器300之間並包住該些銲料接點536,多個NVM晶片封裝結構510(其可以是第28G圖中單層晶片封裝結構或小晶片550)的金屬凸塊、柱或接墊570可黏著至I/O晶片封裝結構540之金屬凸塊或接墊583,以產生厚度介於20μm至100μm之間的銲料接點541於二者之間,其中作為NVM晶片封裝結構510的單層晶片封裝結構或小晶片550之每一半導體晶片100具有如第11A圖至第11N圖中的NAND快閃記憶體晶片或NOR快閃記憶體晶片,且在第28G圖中的單層晶片封裝結構或小晶片550中之聚合物層92內沒有設置銅柱158的封裝結構也可作為NVM晶片封裝結構510。一底部填充材料542(例如聚合物)可形成在每一NVM晶片封裝結構510與I/O晶片封裝結構540之間並包住銲料接點541。邏輯驅動器300所提供的金屬凸塊、柱或接墊570黏著在線路板530上以產生厚度介於20μm至100μm之間的銲料接點521於二者之間,底部填充材料537(例如是聚合物)可形成在邏輯驅動器300與線路板530之間並包住銲料接點521,多個銲料凸塊或球523可以矩陣型式設置在線路板530的底部上。或者,I/O晶片封裝結構540的BISD79可被省略,即I/O晶片封裝結構540具有與第28G圖中單層晶片封裝結構或小晶片550相似的結構,及每一NVM晶片封裝結構510提供之金屬凸塊、柱或接墊570黏著在I/O晶片封裝結構540的銅柱158上並形成如第29C圖中之銲料接點516於二者之間。或者,每一I/O晶片封裝結構540具有與第28I圖中單層晶片封裝結構或小晶片550相似的結構,每一NVM晶片封裝結構510提供之金屬凸塊、柱或接墊570黏著在I/O晶片封裝結構540的金屬接墊或凸塊583以形成第29B圖中之銲料接點516於二者之間。需注意的是I/O晶片封裝結構540的金屬接墊或凸塊583可包括一第一組假的金屬接墊或凸塊583a分別接合至NVM晶片封裝結構510的金屬凸塊、柱或接墊570,以產生如第29B圖中之銲料接點516a,作為連接接地參考電壓,金屬接墊或凸塊583可另包括第二組假的金屬接墊或凸塊583a分別接合至NVM晶片封裝結構510的金屬凸塊、柱或接墊570,以產生如第29B圖中之銲料接點516b,該些銲料接點516b不具有任何的電性功能,其中第一組及第二組銲料接點可垂直地位在I/O晶片封裝結構540之專用I/O晶片265的上方。在每一I/O晶片封裝結構540中,其專用I/O晶片265可包括一小型I/O電路203依序經由專用I/O晶片265的金屬接墊、線或連接線108、TISD或FISD101之交互連接線金屬層27、介於I/O晶片封裝結構540與邏輯驅動器300之間的銲料接點536、邏輯驅動器300的BISD79之交互連接線金屬層27、邏輯驅動器300的其中之一銅柱158、邏輯驅動器300的TISD或FISD101之交互連接線金屬層27及每一FPGAIC晶片及/或PCIC晶片269的金屬接墊、線或連接線108耦接至邏輯驅動器300之每一FPGAIC晶片200及/或PCIC晶片269的一小型I/O電路203;專用I/O晶片265包括另一小型I/O電路203依序經由專用I/O晶片265的其中之一金屬接墊、線或連接線108、TISD或FISD101之交互連接線金屬層27、其中之一銅柱158、BISD79的交互連接線金屬層27、介於每一I/O晶片封裝結構540與NVM晶片封裝結構510之間的銲料接點536、其中之一NVM晶片封裝結構的TISD或FISD101之交互連接線金屬層27及NVMIC晶片250的其中之一金屬接墊、線或連接線108耦接至垂直地位在每一I/O晶片封裝結構540上方的NVM晶片封裝結構510;I/O晶片封裝結構540的每一上述的專用I/O晶片265、NVM晶片封裝結構510的非揮發性記憶體IC晶片250及邏輯驅動器300的FPGAIC晶片200及/或PCIC晶片269中,每一小型I/O電路203可由如第5B圖中之一小型驅動器374、小型接收器375及小型ESD保護電路373所建構而成,並且耦接至由金屬接墊、線或連接線108所提供的I/O接墊372。在每一I/O晶片封裝結構540中,專用I/O晶片265可包括一大型I/O電路341依序經由專用I/O晶片265的其中之一金屬接墊、線或連接線108、TISD或FISD101之交互連接線金屬層27、位在邏輯驅動器300與每一I/O晶片封裝結構540之間的其中之一銲料接點536、邏輯驅動器300的BISD79之交互連接線金屬層27、邏輯驅動器300的其中之一銅柱158、邏輯驅動器300的TISD或FISD101之交互連接線金屬層27、其中之一銲料接點521及線路板530耦接至其中之一銲料凸塊或球523,用於外部連接,其中專用I/O晶片265之大型I/O電路342可由如第5A圖中之一大型驅動器274、大型接收器275及大型ESD保護電路273所建構而成,並且耦接至由專用I/O晶片265之其中之一金屬接墊、線或連接線108所提供的I/O接墊272。FIG. 29J is a schematic cross-sectional view of a POP packaging structure for a tenth type non-volatile programmable logic driver according to an embodiment of the present invention. As shown in FIG. 29J, the tenth type non-volatile programmable logic driver is shown in FIG. 29J. In the POP package structure 610, the metal bumps, posts or pads 570 of the plurality of I/O chip package structures 540 (which may be the multi-chip package structure or the die 550 in Figure 28K) can be attached to the logic driver 300 ( It can be the metal pads or bumps 583 of the multi-chip package structure or the die 550 in Figure 28K to create the solder contacts 536 between the two with a thickness between 20 μm and 100 μm, which serve as logic drivers Each semiconductor die 100 of the multi-die package structure or die 550 of 300 has an arrangement as in FIGS. 11A-11N for one of the standard commercial logic drivers 300, each semiconductor die 100 may be a standard commercial FPGA IC Chip 200, DPIIC chip 410, NVMIC chip 250 (such as NAND flash memory chip or NOR flash memory chip), dedicated I/O chip 265, PCIC chip 269 (such as DSP chip, CPU chip, GPU chip, TPU chips or APU chips), HBM memory chips (eg, DRAM chips, SRAM chips, MRAM chips, or RRAM chips), dedicated control chips 260, dedicated control and I/O chips 266, IAC chips 402 (eg, analog IC chips) , a mixed mode IC chip or a radio frequency (RF) IC chip), a DCICA chip 267 or a DCDI/OIAC chip 268, wherein the semiconductor chip 100 in the single-layer chip package structure for the I/O chip package structure 540 or the chiplet 550 can be is a dedicated I/O die 265, an underfill material 537 (eg, a polymer) may be formed between the I/O die package 540 and the logic driver 300 and enclose the solder contacts 536, a plurality of NVM die packages Metal bumps, studs or pads 570 of 510 (which may be the single layer chip package structure or die 550 in Figure 28G) can be attached to the metal bumps or pads 583 of the I/O chip package structure 540 to produce Solder contacts 541 with a thickness between 20 μm and 100 μm are therebetween, wherein each semiconductor chip 100 of the single-layer chip package structure or chiplet 550 as the NVM chip package structure 510 has as shown in FIGS. 11A to 11N The NAND flash memory chip or NOR flash memory chip in the figure, and the single-layer chip package structure in FIG. 28G or the package structure in which the copper pillars 158 are not provided in the polymer layer 92 in the small chip 550 may also be As the NVM chip package structure 510 . An underfill material 542 (eg, polymer) may be formed between each NVM chip package structure 510 and the I/O chip package structure 540 and encapsulate the solder contacts 541 . The metal bumps, posts or pads 570 provided by the logic driver 300 are adhered to the circuit board 530 to produce solder contacts 521 with a thickness between 20 μm and 100 μm in between, and an underfill material 537 (such as a polymer (substrate) may be formed between the logic driver 300 and the circuit board 530 and enclose the solder pads 521, and a plurality of solder bumps or balls 523 may be disposed on the bottom of the circuit board 530 in a matrix pattern. Alternatively, the BISD 79 of the I/O chip package structure 540 may be omitted, ie, the I/O chip package structure 540 has a similar structure to the single-layer chip package structure or chiplet 550 in Figure 28G, and each NVM chip package structure 510 The provided metal bumps, posts or pads 570 are attached to the copper posts 158 of the I/O chip package structure 540 and form solder contacts 516 as shown in FIG. 29C therebetween. Alternatively, each I/O chip package structure 540 has a similar structure to the single-layer chip package structure or chiplet 550 in FIG. 28I, and the metal bumps, posts or pads 570 provided by each NVM chip package structure 510 are attached to the The metal pads or bumps 583 of the I/O chip package structure 540 form the solder contacts 516 in FIG. 29B therebetween. It should be noted that the metal pads or bumps 583 of the I/O chip package structure 540 may include a first set of dummy metal pads or bumps 583a respectively bonded to the metal bumps, studs or contacts of the NVM chip package structure 510. Pads 570 to create solder contacts 516a as shown in Figure 29B as a connection to the ground reference voltage, metal pads or bumps 583 may additionally include a second set of dummy metal pads or bumps 583a respectively bonded to the NVM chip package Metal bumps, pillars or pads 570 of structure 510 to produce solder contacts 516b as shown in FIG. 29B, these solder contacts 516b do not have any electrical function, wherein the first and second sets of solder contacts The dots may be positioned vertically above the dedicated I/O die 265 of the I/O die package structure 540 . In each I/O chip package structure 540, its dedicated I/O chip 265 may include a small I/O circuit 203 in sequence through the dedicated I/O chip 265's metal pads, wires or connecting lines 108, TISD or One of the interconnect metal layer 27 of the FISD101, the solder contact 536 between the I/O chip package structure 540 and the logic driver 300, the interconnect metal layer 27 of the BISD 79 of the logic driver 300, and the logic driver 300 Copper pillars 158 , interconnect metal layers 27 of TISD or FISD 101 of logic driver 300 , and metal pads, wires or wires 108 of each FPGAIC chip and/or PCIC chip 269 are coupled to each FPGAIC chip of logic driver 300 200 and/or a small I/O circuit 203 of the PCIC chip 269; the dedicated I/O chip 265 includes another small I/O circuit 203 in sequence via one of the metal pads, wires or The interconnecting wire metal layer 27 of the connecting wire 108, TISD or FISD 101, one of the copper pillars 158, the interconnecting wire metal layer 27 of the BISD 79, between each I/O chip package structure 540 and the NVM chip package structure 510 The solder pads 536 of the NVMIC chip package structure, the interconnection wire metal layer 27 of the TISD or FISD 101 of the NVM chip package structure, and the metal pads, wires or connection wires 108 of the NVMIC chip 250 are coupled to the vertical position at each I NVM chip package structure 510 over /O chip package structure 540 ; each of the above-mentioned dedicated I/O chips 265 , non-volatile memory IC chips 250 of NVM chip package structure 510 , and logic drivers of I/O chip package structure 540 In the FPGAIC chip 200 and/or the PCIC chip 269 of 300, each small I/O circuit 203 can be constructed by a small driver 374, a small receiver 375, and a small ESD protection circuit 373 as shown in FIG. 5B, and is coupled Connected to I/O pads 372 provided by metal pads, wires, or connecting wires 108 . In each I/O chip package structure 540, the dedicated I/O chip 265 may include a large I/O circuit 341 via one of the metal pads, wires or connecting lines 108, The interconnect metal layer 27 of the TISD or FISD 101, one of the solder contacts 536 between the logic driver 300 and each I/O chip package 540, the interconnect metal layer 27 of the BISD 79 of the logic driver 300, One of the copper pillars 158 of the logic driver 300, the interconnect metal layer 27 of the TISD or FISD 101 of the logic driver 300, one of the solder contacts 521 and the circuit board 530 are coupled to one of the solder bumps or balls 523, For external connections, where the large I/O circuit 342 of the dedicated I/O chip 265 may be constructed as a large driver 274, a large receiver 275, and a large ESD protection circuit 273 as shown in FIG. 5A, and is coupled to I/O pads 272 provided by one of the dedicated I/O chips 265 metal pads, wires, or connection wires 108 .

第29K圖為本發明實施例用於第十一型非揮發性可編程邏輯驅動器之POP封裝結構的剖面示意圖,如第29K圖所示,在第29K圖中第十一型非揮發性可編程邏輯驅動器之POP封裝結構610與第29J圖中的POP封裝結構相似,第29K圖與第29J圖中相同的元件號碼,其中在第29K圖中的各元件的揭露可參考第29J圖中的揭露說明,第29K圖中第十一型非揮發性可編程邏輯驅動器之POP封裝結構610與第29J圖中POP封裝結構的差異處為,在第29K圖中第十一型非揮發性可編程邏輯驅動器之POP封裝結構610中,多個HBM晶片封裝結構560(其可以是第28K圖中多晶片封裝結構或小晶片550)的金屬凸塊、柱或接墊570可黏著至邏輯驅動器300(其可以是第28K圖中多晶片封裝結構或小晶片550)的金屬凸塊、柱或接墊570,以產生厚度介於20μm至100μm之間的銲料接點536於二者之間,其中作為邏輯驅動器300的多晶片封裝結構或小晶片550之每一半導體晶片100具有如第11A圖至第11N圖中用於標準商業化邏輯驅動器300之一的佈置,每一半導體晶片100可以是標準商業化FPGAIC晶片200、DPIIC晶片410、NVMIC晶片250(例如是NAND快閃記憶體晶片或NOR快閃記憶體晶片)、專用I/O晶片265、PCIC晶片269(例如是DSP晶片、CPU晶片、GPU晶片、TPU晶片或APU晶片)、HBM記憶體晶片(例如是DRAM晶片、SRAM晶片、MRAM晶片或RRAM晶片)、專用控制晶片260、專用控制及I/O晶片266、IAC晶片402(例如是類比IC晶片、混合模式IC晶片或射頻(RF)IC晶片)、DCICA晶片267或DCDI/OIAC晶片268,其中用於HBM晶片封裝結構560之單層晶片封裝結構或小晶片550中的半導體晶片100可以是HBMIC晶片251,例如是DRAM晶片、SRAM晶片、MRAM晶片或RRAM晶片,底部填充材料537(例如是聚合物)可形成在HBM晶片封裝結構560與邏輯驅動器300之間並包住該些銲料接點536,多個I/O晶片封裝結構540(其可以是第28K圖中單層晶片封裝結構或小晶片550)的金屬凸塊、柱或接墊570可黏著至HBM晶片封裝結構560之金屬凸塊或接墊583,以產生厚度介於20μm至100μm之間的銲料接點558於二者之間,其中作為I/O晶片封裝結構540的單層晶片封裝結構或小晶片550之每一半導體晶片100是I/O晶片265。一底部填充材料559(例如聚合物)可形成在每一HBM晶片封裝結構560與I/O晶片封裝結構540之間並包住銲料接點558。邏輯驅動器300所提供的金屬凸塊或接墊583黏著在線路板530上以產生厚度介於20μm至100μm之間的銲料接點521於二者之間,底部填充材料537(例如是聚合物)可形成在邏輯驅動器300與線路板530之間並包住銲料接點521。或者,HBM晶片封裝結構560的BISD79可被省略,即HBM晶片封裝結構560具有與第28G圖中單層晶片封裝結構或小晶片550相似的結構,及每一I/O晶片封裝結構540提供之金屬凸塊、柱或接墊570黏著在HBM晶片封裝結構560的銅柱158上並形成如第29C圖中之銲料接點516於二者之間。或者,每一HBM晶片封裝結構560具有與第28I圖中單層晶片封裝結構或小晶片550相似的結構,每一I/O晶片封裝結構540提供之金屬凸塊、柱或接墊570黏著在HBM晶片封裝結構560的金屬接墊或凸塊583以形成第29B圖中之銲料接點516於二者之間。需注意的是HBM晶片封裝結構560的金屬接墊或凸塊583可包括一第三組假的金屬接墊或凸塊583a分別接合至I/O晶片封裝結構540的金屬凸塊、柱或接墊570,以產生如第29B圖中之第三組銲料接點516a,作為連接接地參考電壓,金屬接墊或凸塊583可另包括第四組假的金屬接墊或凸塊583a分別接合至I/O晶片封裝結構540的金屬凸塊、柱或接墊570,以產生如第29B圖中之第四組銲料接點516b,該些第四組銲料接點516b不具有任何的電性功能,其中第一組及第二組銲料接點可垂直地位在HBM晶片封裝結構560之HBMIC晶片251的上方。在HBM晶片封裝結構560中,HBMIC晶片251與邏輯驅動器300的其中之一FPGAIC晶片200及/或PCIC晶片269(垂直地位在HBMIC晶片251的下方)之間的通訊可由HBMIC晶片251的一組小型I/O電路203、HBMIC晶片251的一組金屬接墊、線或連接線108、HBM晶片封裝結構560之TISD或FISD101之交互連接線金屬層27、介於邏輯驅動器300與每一HBM晶片封裝結構560之間的一組銲料接點536、邏輯驅動器300之TISD或FISD101之交互連接線金屬層27、邏輯驅動器300的其中之一FPGAIC晶片200及/或PCIC晶片269的一組金屬接墊、線或連接線108及邏輯驅動器300的其中之一FPGAIC晶片200及/或PCIC晶片269的一組小型I/O電路203提供,此通訊具有一位元寬度等於或大於64,128,256,512,1024,2048,4096,8K或16K,在HBM晶片封裝結構560的HBMIC晶片251及邏輯驅動器300的其中之一FPGAIC晶片200及/或PCIC晶片269中,每一小型I/O電路203可由如第5B圖中之一小型驅動器374、小型接收器375及小型ESD保護電路373所建構而成,並且耦接至由其中之一金屬接墊、線或連接線108所提供的I/O接墊372,在每一I/O晶片封裝結構540中,其專用I/O晶片265可包括一小型I/O電路203依序經由專用I/O晶片265的金屬接墊、線或連接線108、TISD或FISD101之交互連接線金屬層27、介於其中之一HBM晶片封裝結構560與邏輯驅動器300之間的銲料接點536、HBM晶片封裝結構560的BISD79之交互連接線金屬層27、HBM晶片封裝結構560的其中之一銅柱158、HBM晶片封裝結構560的TISD或FISD101之交互連接線金屬層27、介於HBM晶片封裝結構560與邏輯驅動器300之間的銲料接點536、邏輯驅動器300的TISD或FISD101之交互連接線金屬層27及每一FPGAIC晶片及/或PCIC晶片269的金屬接墊、線或連接線108耦接至邏輯驅動器300之每一FPGAIC晶片200及/或PCIC晶片269的一小型I/O電路203;專用I/O晶片265包括另一小型I/O電路203依序經由專用I/O晶片265的其中之一金屬接墊、線或連接線108、TISD或FISD101之交互連接線金屬層27、介於每一I/O晶片封裝結構540與其中之一HBM晶片封裝結構560之間的銲料接點536、HBM晶片封裝結構560之BISD79的交互連接線金屬層27、HBM晶片封裝結構560的其中之一銅柱158、其中之一HBM晶片封裝結構560的TISD或FISD101之交互連接線金屬層27及HBM晶片封裝結構560的HBMIC晶片251之其中之一金屬接墊、線或連接線108耦接至垂直地位在每一I/O晶片封裝結構540下方的HBM晶片封裝結構560的HBMIC晶片251;在上述I/O晶片封裝結構540的專用I/O晶片265、HBM晶片封裝結構560的HBMIC晶片251及邏輯驅動器300的FPGAIC晶片200及/或PCIC晶片269中,每一小型I/O電路203可由如第5B圖中之一小型驅動器374、小型接收器375及小型ESD保護電路373所建構而成,並且耦接至由金屬接墊、線或連接線108所提供的I/O接墊372。在每一I/O晶片封裝結構540中,專用I/O晶片265可包括一大型I/O電路341依序經由專用I/O晶片265的其中之一金屬接墊、線或連接線108、TISD或FISD101之交互連接線金屬層27、位在其中之一HBM晶片封裝結構560與每一I/O晶片封裝結構540之間的其中之一銲料接點536、HBM晶片封裝結構560的BISD79之交互連接線金屬層27、HBM晶片封裝結構560的其中之一銅柱158、其中之一HBM晶片封裝結構560的TISD或FISD101之交互連接線金屬層27、位在其中之一HBM晶片封裝結構560與邏輯驅動器300之間的其中之一銲料接點536、邏輯驅動器300的TISD或FISD101之交互連接線金屬層27、邏輯驅動器300的其中之一銅柱158、邏輯驅動器300的BISD79之交互連接線金屬層27、其中之一銲料接點521及線路板530耦接至其中之一銲料凸塊或球523,用於外部連接,其中專用I/O晶片265之大型I/O電路342可由如第5A圖中之一大型驅動器274、大型接收器275及大型ESD保護電路273所建構而成,並且耦接至由專用I/O晶片265之其中之一金屬接墊、線或連接線108所提供的I/O接墊272。FIG. 29K is a schematic cross-sectional view of a POP packaging structure for an eleventh type non-volatile programmable logic driver according to an embodiment of the present invention. As shown in FIG. 29K, in FIG. 29K, the eleventh type non-volatile programmable logic driver The POP package structure 610 of the logic driver is similar to the POP package structure in Fig. 29J, and the component numbers in Fig. 29K and Fig. 29J are the same, and the disclosure of each element in Fig. 29K can refer to the disclosure in Fig. 29J It is explained that the difference between the POP package structure 610 of the eleventh type non-volatile programmable logic driver in Fig. 29K and the POP package structure in Fig. 29J is that in the eleventh type non-volatile programmable logic driver in Fig. 29K In the POP package structure 610 of the driver, the metal bumps, posts or pads 570 of the plurality of HBM chip package structures 560 (which may be the multi-die package structure or the die 550 in FIG. 28K) can be attached to the logic driver 300 (which Can be metal bumps, studs, or pads 570 of the multi-chip package structure or die 550 in Figure 28K to produce solder contacts 536 between 20 μm and 100 μm thick, where the logic Each semiconductor die 100 of the multi-die package structure or die 550 of the driver 300 has the arrangement as shown in FIGS. 11A-11N for one of the standard commercial logic drivers 300, each semiconductor die 100 may be a standard commercial FPGAIC chip 200, DPIIC chip 410, NVMIC chip 250 (such as NAND flash memory chip or NOR flash memory chip), dedicated I/O chip 265, PCIC chip 269 (such as DSP chip, CPU chip, GPU chip) , TPU chips or APU chips), HBM memory chips (such as DRAM chips, SRAM chips, MRAM chips, or RRAM chips), dedicated control chips 260, dedicated control and I/O chips 266, IAC chips 402 (such as analog ICs) die, mixed mode IC die or radio frequency (RF) IC die), DCICA die 267 or DCDI/OIAC die 268, where the semiconductor die 100 in the single layer die package structure or dielet 550 for the HBM die package structure 560 may be HBMIC chip 251, such as DRAM chip, SRAM chip, MRAM chip or RRAM chip, underfill material 537 (eg, polymer) can be formed between HBM chip package structure 560 and logic driver 300 and encapsulate the solder contacts 536. Metal bumps, studs or pads 570 of a plurality of I/O chip package structures 540 (which may be single layer chip package structures or die 550 in Figure 28K) can be adhered to metal bumps of HBM chip package structure 560 bumps or pads 583 to produce solder contacts 558 between 20 μm and 100 μm in thickness, where a single unit of the I/O chip package structure 540 is Each semiconductor die 100 of the layered die package structure or dielet 550 is an I/O die 265 . An underfill material 559 (eg, polymer) may be formed between each HBM chip package structure 560 and the I/O chip package structure 540 and encapsulate the solder contacts 558 . Metal bumps or pads 583 provided by logic driver 300 are adhered to circuit board 530 to produce solder contacts 521 between 20 μm and 100 μm thick, underfill material 537 (eg polymer) Solder pads 521 may be formed between the logic driver 300 and the circuit board 530 and encapsulated. Alternatively, the BISD 79 of the HBM chip package structure 560 may be omitted, that is, the HBM chip package structure 560 has a similar structure to the single-layer chip package structure or chiplet 550 in Figure 28G, and each I/O chip package structure 540 provides a Metal bumps, posts or pads 570 are attached to the copper posts 158 of the HBM chip package structure 560 and form solder contacts 516 therebetween as shown in Figure 29C. Alternatively, each HBM chip package structure 560 has a structure similar to the single-layer chip package structure or chiplet 550 in FIG. 28I, and the metal bumps, posts or pads 570 provided by each I/O chip package structure 540 are attached to the The metal pads or bumps 583 of the HBM chip package structure 560 form the solder contacts 516 in FIG. 29B therebetween. It should be noted that the metal pads or bumps 583 of the HBM chip package structure 560 may include a third set of dummy metal pads or bumps 583a respectively bonded to the metal bumps, studs or contacts of the I/O chip package structure 540. Pad 570 to generate a third set of solder pads 516a as shown in FIG. 29B as a connection ground reference voltage, metal pads or bumps 583 may further include a fourth set of dummy metal pads or bumps 583a respectively bonded to The metal bumps, pillars or pads 570 of the I/O chip package structure 540 to generate the fourth set of solder contacts 516b as shown in FIG. 29B, the fourth set of solder contacts 516b do not have any electrical function , wherein the first set and the second set of solder contacts can be positioned vertically above the HBMIC chip 251 of the HBM chip package structure 560 . In the HBM chip package structure 560 , the communication between the HBMIC chip 251 and one of the logic drivers 300 , the FPGAIC chip 200 and/or the PCIC chip 269 (located vertically below the HBMIC chip 251 ), can be performed by a set of small I/O circuit 203, a set of metal pads, wires or connecting lines 108 of HBMIC chip 251, interconnect metal layer 27 of TISD or FISD 101 of HBM chip package structure 560, between logic driver 300 and each HBM chip package A set of solder contacts 536 between the structures 560, the interconnect metal layer 27 of the TISD or FISD 101 of the logic driver 300, a set of metal pads of one of the FPGAIC die 200 and/or the PCIC die 269 of the logic driver 300, A set of small I/O circuits 203 of one of the FPGAIC chip 200 and/or the PCIC chip 269 of the line or connection line 108 and the logic driver 300 provides this communication with a one-bit width equal to or greater than 64,128,256,512,1024,2048,4096 , 8K or 16K, in one of the FPGAIC chip 200 and/or the PCIC chip 269 of the HBMIC chip 251 and the logic driver 300 of the HBM chip package structure 560, each small I/O circuit 203 can be as A miniature driver 374, a miniature receiver 375, and a miniature ESD protection circuit 373 are constructed and coupled to the I/O pads 372 provided by one of the metal pads, wires, or connection wires 108, at each I/O pad 372. In the /O chip package structure 540 , the dedicated I/O chip 265 may include a small I/O circuit 203 which is sequentially interconnected through the metal pads, wires or connecting wires 108 , TISD or FISD 101 of the dedicated I/O chip 265 . The wire metal layer 27 , the solder contact 536 between one of the HBM chip package structures 560 and the logic driver 300 , the interconnecting wire metal layer 27 of the BISD 79 of the HBM chip package structure 560 , one of the HBM chip package structure 560 Interaction of a copper pillar 158 , the interconnection metal layer 27 of the TISD or FISD 101 of the HBM chip package 560 , the solder contact 536 between the HBM chip package 560 and the logic driver 300 , and the TISD or FISD 101 of the logic driver 300 The wire metal layer 27 and the metal pads, wires or wires 108 of each FPGAIC chip and/or PCIC chip 269 are coupled to a small I/O of each FPGAIC chip 200 and/or PCIC chip 269 of the logic driver 300 Circuit 203; dedicated I/O chip 265 includes another small I/O circuit 203 in sequence via one of the metal pads, wires or connecting wires 108, TISD of the dedicated I/O chip 265 Or the interconnect metal layer 27 of FISD101, the solder contacts 536 between each I/O chip package structure 540 and one of the HBM chip package structures 560, the interconnect line metal of BISD 79 of the HBM chip package structure 560 Layer 27 , one of the copper pillars 158 of the HBM chip package structure 560 , one of the interconnect wire metal layers 27 of the TISD or FISD 101 of the one of the HBM chip package structure 560 and one of the metal of the HBMIC chip 251 of the HBM chip package structure 560 Pads, wires or wires 108 are coupled to the HBMIC die 251 of the HBM die package 560 located vertically below each I/O die package 540; 265. In the HBMIC chip 251 of the HBM chip package structure 560 and the FPGAIC chip 200 and/or the PCIC chip 269 of the logic driver 300, each small I/O circuit 203 can be a small driver 374, a small receiver as shown in FIG. 5B 375 and a small ESD protection circuit 373 are constructed and coupled to I/O pads 372 provided by metal pads, wires, or connecting wires 108 . In each I/O chip package structure 540, the dedicated I/O chip 265 may include a large I/O circuit 341 through one of the metal pads, wires or connecting lines 108, The interconnect metal layer 27 of the TISD or FISD101, one of the solder contacts 536 between one of the HBM chip package structures 560 and each I/O chip package structure 540, one of the BISD 79 of the HBM chip package structure 560 The interconnecting wire metal layer 27 , one of the copper pillars 158 of the HBM chip package structure 560 , the interconnecting wire metal layer 27 of the TISD or FISD101 of the one of the HBM chip package structure 560 , and the one of the HBM chip package structure 560 One of the solder contacts 536 with the logical driver 300 , the interconnecting wire metal layer 27 of the TISD or FISD101 of the logical driver 300 , one of the copper pillars 158 of the logical driver 300 , and the interconnecting wire of the BISD79 of the logical driver 300 The metal layer 27, one of the solder contacts 521, and the circuit board 530 are coupled to one of the solder bumps or balls 523 for external connections, wherein the large I/O circuits 342 of the dedicated I/O chip 265 can be made as A large driver 274, a large receiver 275, and a large ESD protection circuit 273 are constructed in FIG. 5A, and are coupled to those provided by one of the metal pads, wires or wires 108 of the dedicated I/O chip 265 I/O pads 272.

在第29A圖、第29B圖及第29D圖中之每一第一、第二及第四型非揮發性可編程邏輯驅動器610中,垂直地位在邏輯封裝結構520的上方的NVM晶片封裝結構510的非揮發性記憶體IC晶片250可用作於儲存用於配置邏輯封裝結構520的FPGAIC晶片200的資料或資訊,NVM晶片封裝結構510的一個(每一個)非揮發性記憶體IC晶片250可用作為:(1)儲存用於LUTs210的結果值,每一個結果值可依序經由NVM晶片封裝結構510的其中之一打線導線、NVM晶片封裝結構510的線路板513、其中之一銲料接點516、邏輯封裝結構520之BISD79的交互連接線金屬層27(可選地但特別地用於第四類型的非揮發性可編程邏輯驅動器610)、邏輯封裝結構520的其中之一銅柱158及邏輯封裝結構520之TISD或FISD101之交互連接線金屬層27而被加載至邏輯封裝結構520的FPGAIC晶片200的記憶體單元490;或是第一種選擇方案,依序經由NVM晶片封裝結構510的其中之一打線導線、NVM晶片封裝結構510的導線架、邏輯封裝結構520之BISD79的交互連接線金屬層27(可選地但特別地用於第四類型的非揮發性可編程邏輯驅動器610)、邏輯封裝結構520的其中之一銅柱158及邏輯封裝結構520之TISD或FISD101之交互連接線金屬層27而被加載至邏輯封裝結構520的FPGAIC晶片200的記憶體單元490;該些結果值與在邏輯封裝結構520的FPGAIC晶片200(如第6A圖所示)之可編程邏輯區塊201的多工器211之第二輸入資料組相關聯,及/或用於儲存通過/不通過開關258或交叉點開關379的編程碼,依序經由NVM晶片封裝結構510的其中之一打線導線、線路板513、其中之一銲料接點516、邏輯封裝結構520之BISD79的交互連接線金屬層27(可選地但特別地用於第四類型的非揮發性可編程邏輯驅動器610)、邏輯封裝結構520的其中之一銅柱158及邏輯封裝結構520之TISD或FISD101之交互連接線金屬層27而被加載至第7A圖至第7C圖中邏輯封裝結構520的FPGAIC晶片200的記憶體單元362中;或是第一種選擇方案,依序經由NVM晶片封裝結構510的其中之一打線導線、NVM晶片封裝結構510的導線架、邏輯封裝結構520之BISD79的交互連接線金屬層27(可選地但特別地用於第四類型的非揮發性可編程邏輯驅動器610)、邏輯封裝結構520的其中之一銅柱158及邏輯封裝結構520之TISD或FISD101之交互連接線金屬層27而被加載至第7A圖至第7C圖中邏輯封裝結構520的FPGAIC晶片200的記憶體單元362中,以控制邏輯封裝結構520的FPGAIC晶片200之通過/不通過開關258或交叉點開關379耦接或不耦接二條可編程交互連接線361,其中可編程交互連接線361經由邏輯封裝結構520的一個(或多個)銅柱158及/或邏輯封裝結構520的TISD或FISD101及/或BISD79的交互連接線金屬層27所提供,及(2)用於儲存操作邏輯封裝結構520的FPGAIC晶片200的資料或資訊,經由LUTs210的結果值及通過/不通過開關258或交叉點開關379的編程碼配置使其具有特定操作或功能。In each of the first, second and fourth type non-volatile programmable logic drivers 610 in FIGS. 29A , 29B and 29D, the NVM chip package structure 510 is positioned vertically above the logic package structure 520 The non-volatile memory IC chips 250 of the NVM chip package 510 can be used to store data or information for the FPGA IC chip 200 for configuring the logic package 520, one (each) of the non-volatile memory IC chips 250 of the NVM chip package 510 can be used As: (1) store the result values for the LUTs 210, each result value can be sequentially routed through one of the bonding wires of the NVM chip package structure 510, the circuit board 513 of the NVM chip package structure 510, and one of the solder contacts 516 , the interconnect wire metal layer 27 of the BISD 79 of the logic package structure 520 (optionally but specifically for the fourth type of non-volatile programmable logic driver 610 ), one of the copper pillars 158 of the logic package structure 520 and the logic The interconnect metal layer 27 of the TISD or FISD 101 of the package structure 520 is loaded into the memory unit 490 of the FPGAIC chip 200 of the logic package structure 520; one wire bond wire, the lead frame of the NVM chip package structure 510, the interconnect wire metal layer 27 of the BISD 79 of the logic package structure 520 (optionally but specifically for the fourth type of non-volatile programmable logic driver 610), One of the copper pillars 158 of the logic package structure 520 and the interconnect metal layer 27 of the TISD or FISD 101 of the logic package structure 520 are loaded into the memory cells 490 of the FPGAIC chip 200 of the logic package structure 520; these result values are the same as Associated with the second input data set of the multiplexer 211 of the programmable logic block 201 of the FPGAIC chip 200 (shown in FIG. 6A ) of the logic package structure 520 and/or used to store the pass/fail switch 258 Or the programming code of the cross-point switch 379 is sequentially passed through one of the bonding wires of the NVM chip package structure 510 , the circuit board 513 , one of the solder contacts 516 , and the interconnection wire metal layer 27 ( Optionally but specifically for the fourth type of non-volatile programmable logic driver 610), one of the copper pillars 158 of the logic package structure 520 and the interconnect metal layer 27 of the TISD or FISD 101 of the logic package structure 520 is loaded into the memory unit 362 of the FPGAIC chip 200 of the logic package structure 520 in FIG. 7A to FIG. 7C; or the first option, through one of the NVM chip package structures 510, wire bonding, NVM The lead frame of the chip package structure 510, the interconnect wire metal layer 27 of the BISD 79 of the logic package structure 520 (alternatively but specifically for the fourth type The non-volatile programmable logic driver 610), one of the copper pillars 158 of the logic package structure 520, and the interconnect metal layer 27 of the TISD or FISD 101 of the logic package structure 520 are loaded into FIGS. 7A to 7C In the memory unit 362 of the FPGAIC chip 200 of the logic package structure 520, the pass/no pass switch 258 or the cross-point switch 379 of the FPGAIC chip 200 of the logic package structure 520 is controlled to be coupled or not coupled to the two programmable interconnection lines 361 , wherein the programmable interconnect 361 is provided via one (or more) copper pillars 158 of the logic package structure 520 and/or the interconnect metal layer 27 of the TISD or FISD 101 and/or BISD 79 of the logic package 520, and ( 2) For storing the data or information of the FPGAIC chip 200 of the operation logic package structure 520, through the result value of the LUTs 210 and the programming code configuration of the pass/fail switch 258 or the crosspoint switch 379 to have a specific operation or function.

例如,在第29A圖、第29B圖及第29D圖中之每一第一、第二及第四型非揮發性可編程邏輯驅動器610中,NVM晶片封裝結構510上面的非揮發性記憶體IC晶片250可以是NOR快閃記憶體晶片,用於儲存LUTs210的結果值,以經由上述揭露之路徑被下載至邏輯封裝結構520的FPGAIC晶片200之記憶體單元490中,該些結果值與在邏輯封裝結構520的FPGAIC晶片200(如第6A圖所示)之可編程邏輯區塊201的多工器211之第二輸入資料組相關聯,及/或儲存通過/不通過開關258或交叉點開關379的編程碼,以經由上述揭露之路徑被下載至邏輯封裝結構520的FPGAIC晶片200之如第7A圖至第7C圖中的記憶體單元362,以控制邏輯封裝結構520的FPGAIC晶片200之通過/不通過開關258或交叉點開關379耦接或不耦接二條可編程交互連接線361,其中可編程交互連接線361經由邏輯封裝結構520的一個(或多個)銅柱158及/或邏輯封裝結構520的TISD或FISD101及/或BISD79的交互連接線金屬層27所提供。然而,NVM晶片封裝結構510下面的非揮發性記憶體IC晶片250可以是NAND快閃記憶體晶片,用於儲存用於邏輯驅動器300的其中之一FPGAIC晶片200操作的資料或資訊,經由LUTs210的結果值及通過/不通過開關258或交叉點開關379的編程碼配置使FPGAIC晶片200具有特定操作或功能。For example, in each of the first, second, and fourth type non-volatile programmable logic drivers 610 in FIGS. 29A, 29B, and 29D, the non-volatile memory ICs above the NVM chip package structure 510 The chip 250 may be a NOR flash memory chip for storing the result values of the LUTs 210 to be downloaded to the memory cells 490 of the FPGAIC chip 200 of the logic package structure 520 through the paths disclosed above. The second input data set of the multiplexer 211 of the programmable logic block 201 of the FPGAIC chip 200 of the package structure 520 (shown in FIG. 6A ) is associated with and/or stored in the pass/fail switch 258 or crosspoint switch The programming code of 379 is downloaded to the memory cell 362 of the FPGAIC chip 200 of the logic package structure 520 through the path disclosed above to control the passage of the FPGAIC chip 200 of the logic package structure 520 as shown in FIGS. 7A to 7C. Two programmable interconnect lines 361 are/are not coupled or not coupled through switch 258 or crosspoint switch 379 , wherein programmable interconnect lines 361 pass through one (or more) copper pillars 158 and/or logic of logic package structure 520 Provided by the interconnect metal layer 27 of the TISD or FISD 101 and/or BISD 79 of the package structure 520 . However, the non-volatile memory IC chip 250 under the NVM chip package 510 may be a NAND flash memory chip for storing data or information for the operation of one of the FPGA IC chips 200 of the logic driver 300 via the LUTs 210 The resulting value and the programming code configuration of pass/fail switch 258 or crosspoint switch 379 enables FPGAIC die 200 to have a particular operation or function.

在第29C圖及第29E圖中之每一第三及第五型非揮發性可編程邏輯驅動器610中,垂直地位在邏輯封裝結構520的上方的NVM晶片封裝結構510的非揮發性記憶體IC晶片250可用作於儲存用於配置邏輯封裝結構520的FPGAIC晶片200的資料或資訊,NVM晶片封裝結構510的非揮發性記憶體IC晶片250可用作為:(1)儲存用於LUTs210的結果值,每一個結果值可依序經由NVM晶片封裝結構510的TISD或FISD101之交互連接線金屬層27、其中之一銲料接點516、邏輯封裝結構520之BISD79的交互連接線金屬層27(可選地但特別地用於第五類型的非揮發性可編程邏輯驅動器610)、邏輯封裝結構520的其中之一銅柱158及邏輯封裝結構520之TISD或FISD101之交互連接線金屬層27而被加載至邏輯封裝結構520的FPGAIC晶片200的記憶體單元490,;該些結果值與在邏輯封裝結構520的FPGAIC晶片200(如第6A圖所示)之可編程邏輯區塊201的多工器211之第二輸入資料組相關聯,及/或用於儲存通過/不通過開關258或交叉點開關379的編程碼,依序經由NVM晶片封裝結構510的TISD或FISD101之交互連接線金屬層27、其中之一銲料接點516、邏輯封裝結構520之BISD79的交互連接線金屬層27(可選地但特別地用於第五類型的非揮發性可編程邏輯驅動器610)、邏輯封裝結構520的其中之一銅柱158及邏輯封裝結構520之TISD或FISD101之交互連接線金屬層27而被加載至第7A圖至第7C圖中邏輯封裝結構520的FPGAIC晶片200的記憶體單元362中,以控制邏輯封裝結構520的FPGAIC晶片200之通過/不通過開關258或交叉點開關379耦接或不耦接二條可編程交互連接線361,其中可編程交互連接線361經由邏輯封裝結構520的一個(或多個)銅柱158及/或邏輯封裝結構520的TISD或FISD101及/或BISD79的交互連接線金屬層27所提供,及(2)用於儲存操作邏輯封裝結構520的FPGAIC晶片200的資料或資訊,經由LUTs210的結果值及通過/不通過開關258或交叉點開關379的編程碼配置使其具有特定操作或功能。In each of the third and fifth type non-volatile programmable logic drivers 610 in FIGS. 29C and 29E, the non-volatile memory ICs of the NVM chip package structure 510 that are positioned vertically above the logic package structure 520 The chip 250 can be used to store data or information of the FPGAIC chip 200 used to configure the logic package 520, and the non-volatile memory IC chip 250 of the NVM chip package 510 can be used to: (1) store the result values for the LUTs 210 , each result value can be sequentially passed through the interconnection wire metal layer 27 of the TISD or FISD101 of the NVM chip package structure 510, one of the solder contacts 516, and the interconnection wire metal layer 27 of the BISD79 of the logic package structure 520 (optional is loaded specifically but specifically for the fifth type of non-volatile programmable logic driver 610 ), one of the copper pillars 158 of the logic package structure 520 and the interconnect metal layer 27 of the TISD or FISD 101 of the logic package structure 520 to the memory cells 490 of the FPGAIC chip 200 of the logic package structure 520; these result values are combined with the multiplexer 211 of the programmable logic block 201 of the FPGAIC chip 200 of the logic package structure 520 (as shown in FIG. 6A ). associated with the second input data set, and/or used to store the programming code of the pass/fail switch 258 or the cross-point switch 379, through the interconnect metal layer 27 of the TISD or FISD 101 of the NVM chip package 510, One of the solder contacts 516 , the interconnect wire metal layer 27 of the BISD 79 of the logic package structure 520 (alternatively but specifically for the fifth type of non-volatile programmable logic driver 610 ), the one of the logic package structure 520 A copper pillar 158 and the interconnect metal layer 27 of the TISD or FISD 101 of the logic package structure 520 are loaded into the memory cells 362 of the FPGAIC chip 200 of the logic package structure 520 in FIGS. 7A to 7C to control The pass/no pass switch 258 or the cross-point switch 379 of the FPGAIC chip 200 of the logic package structure 520 is coupled or not coupled to two programmable interconnection lines 361 , wherein the programmable interconnection line 361 passes through one of the logic package structure 520 (or A plurality of) copper pillars 158 and/or the interconnection wire metal layer 27 of the TISD or FISD 101 and/or BISD 79 of the logic package structure 520 are provided, and (2) are used to store the data of the FPGAIC chip 200 for operating the logic package structure 520 or information, through the resulting values of the LUTs 210 and the programming code configuration of the pass/fail switch 258 or crosspoint switch 379 to have a specific operation or function.

在第29F圖中之第六型非揮發性可編程邏輯驅動器610中,垂直地位在邏輯封裝結構520的上方的非揮發性記憶體IC晶片250可用作於儲存用於配置邏輯封裝結構520的FPGAIC晶片200的資料或資訊,NVM晶片封裝結構510的非揮發性記憶體IC晶片250可用作為:(1)儲存用於LUTs210的結果值,每一個結果值可依序經由其中之一接合接點531、邏輯封裝結構520之BISD79的交互連接線金屬層27、邏輯封裝結構520的其中之一銅柱158及邏輯封裝結構520之TISD或FISD101之交互連接線金屬層27而被加載至邏輯封裝結構520的FPGAIC晶片200的記憶體單元490;該些結果值與在邏輯封裝結構520的FPGAIC晶片200(如第6A圖所示)之可編程邏輯區塊201的多工器211之第二輸入資料組相關聯,及/或用於儲存通過/不通過開關258或交叉點開關379的編程碼,依序經由其中之一接合接點531、邏輯封裝結構520之BISD79的交互連接線金屬層27、邏輯封裝結構520的其中之一銅柱158及邏輯封裝結構520之TISD或FISD101之交互連接線金屬層27而被加載至第7A圖至第7C圖中邏輯封裝結構520的FPGAIC晶片200的記憶體單元362中,以控制邏輯封裝結構520的FPGAIC晶片200之通過/不通過開關258或交叉點開關379耦接或不耦接二條可編程交互連接線361,其中可編程交互連接線361經由邏輯封裝結構520的一個(或多個)銅柱158及/或邏輯封裝結構520的TISD或FISD101及/或BISD79的交互連接線金屬層27所提供,及(2)用於儲存操作邏輯封裝結構520的FPGAIC晶片200的資料或資訊,經由LUTs210的結果值及通過/不通過開關258或交叉點開關379的編程碼配置使其具有特定操作或功能。In the sixth type of non-volatile programmable logic driver 610 in FIG. 29F, the non-volatile memory IC chip 250 positioned vertically above the logic package structure 520 can be used to store the data used to configure the logic package structure 520. The data or information of the FPGA IC chip 200, the non-volatile memory IC chip 250 of the NVM chip package structure 510 can be used to: (1) store the result values for the LUTs 210, each result value can be sequentially passed through one of the bonding contacts 531. The interconnect metal layer 27 of the BISD79 of the logic package structure 520, one of the copper pillars 158 of the logic package structure 520, and the interconnect line metal layer 27 of the TISD or FISD 101 of the logic package structure 520 are loaded into the logic package structure Memory cell 490 of FPGAIC chip 200 of 520; these result values are associated with second input data of multiplexer 211 of programmable logic block 201 of FPGAIC chip 200 of logic package 520 (shown in FIG. 6A ). The set association, and/or the programming code for storing the pass/fail switch 258 or the crosspoint switch 379, is sequentially passed through one of the bonding contacts 531, the interconnection wire metal layer 27 of the BISD 79 of the logic package structure 520, One of the copper pillars 158 of the logic package structure 520 and the interconnect metal layer 27 of the TISD or FISD 101 of the logic package structure 520 are loaded into the memory of the FPGAIC chip 200 of the logic package structure 520 in FIGS. 7A to 7C . In the unit 362, the pass/no pass switch 258 or the cross-point switch 379 of the FPGAIC chip 200 of the control logic package structure 520 is coupled or not coupled to the two programmable interconnection lines 361, wherein the programmable interconnection lines 361 pass through the logic package One (or more) copper pillar(s) 158 of structure 520 and/or interconnect metal layer 27 of TISD or FISD 101 and/or BISD 79 of logic package structure 520 are provided, and (2) are used to store operational logic package structure 520 The data or information of the FPGAIC chip 200 is configured through the result value of the LUTs 210 and the programming code configuration of the pass/fail switch 258 or the crosspoint switch 379 to have a specific operation or function.

在第29G圖中之第七型非揮發性可編程邏輯驅動器610中,垂直地位在邏輯封裝結構520的上方的NVM晶片封裝結構510的非揮發性記憶體IC晶片250可用作於儲存用於配置邏輯封裝結構520的FPGAIC晶片200的資料或資訊,NVM晶片封裝結構510的非揮發性記憶體IC晶片250可用作為:(1)儲存用於LUTs210的結果值,每一個結果值可依序經由NVM晶片封裝結構510的TISD或FISD101之交互連接線金屬層27、其中之一銲料接點541、I/O晶片封裝結構540的BISD79之每一交互連接線金屬層27(可選擇性地)、I/O晶片封裝結構540的其中之一銅柱158、I/O晶片封裝結構540的TISD或FISD101之每一交互連接線金屬層27、其中之一銲料接點536、邏輯封裝結構520之BISD79的交互連接線金屬層27、邏輯封裝結構520的其中之一銅柱158及邏輯封裝結構520之TISD或FISD101之交互連接線金屬層27而被加載至邏輯封裝結構520的FPGAIC晶片200的記憶體單元490,;該些結果值與在邏輯封裝結構520的FPGAIC晶片200(如第6A圖所示)之可編程邏輯區塊201的多工器211之第二輸入資料組相關聯,及/或用於儲存通過/不通過開關258或交叉點開關379的編程碼,依序經由NVM晶片封裝結構510的TISD或FISD101之交互連接線金屬層27、其中之一銲料接點541、I/O晶片封裝結構540的BISD79之每一交互連接線金屬層27(可選擇性地)、I/O晶片封裝結構540的其中之一銅柱158、I/O晶片封裝結構540的TISD或FISD101之每一交互連接線金屬層27、其中之一銲料接點536、邏輯封裝結構520之BISD79的交互連接線金屬層27、邏輯封裝結構520的其中之一銅柱158及邏輯封裝結構520之TISD或FISD101之交互連接線金屬層27而被加載至第7A圖至第7C圖中邏輯封裝結構520的FPGAIC晶片200的記憶體單元362中,以控制邏輯封裝結構520的FPGAIC晶片200之通過/不通過開關258或交叉點開關379耦接或不耦接二條可編程交互連接線361,其中可編程交互連接線361經由邏輯封裝結構520的一個(或多個)銅柱158及/或邏輯封裝結構520的TISD或FISD101及/或BISD79的交互連接線金屬層27所提供,及(2)用於儲存操作邏輯封裝結構520的FPGAIC晶片200的資料或資訊,經由LUTs210的結果值及通過/不通過開關258或交叉點開關379的編程碼配置使其具有特定操作或功能。In the seventh type of non-volatile programmable logic driver 610 in FIG. 29G, the non-volatile memory IC chip 250 of the NVM chip package structure 510 positioned vertically above the logic package structure 520 may be used for storage for To configure the data or information of the FPGA IC chip 200 of the logic package structure 520, the non-volatile memory IC chip 250 of the NVM chip package structure 510 can be used as: (1) to store the result values for the LUTs 210, and each result value can be sequentially passed through The interconnect metal layer 27 of the TISD or FISD 101 of the NVM chip package structure 510 , one of the solder contacts 541 , each interconnect metal layer 27 of the BISD 79 of the I/O chip package structure 540 (optionally), One of the copper pillars 158 of the I/O chip package structure 540 , each interconnect metal layer 27 of the TISD or FISD 101 of the I/O chip package structure 540 , one of the solder contacts 536 , BISD 79 of the logic package structure 520 The interconnect metal layer 27 of the logic package structure 520 , one of the copper pillars 158 of the logic package structure 520 and the interconnect line metal layer 27 of the TISD or FISD 101 of the logic package structure 520 are loaded into the memory of the FPGAIC chip 200 of the logic package structure 520 unit 490'; the result values are associated with the second input data set of the multiplexer 211 of the programmable logic block 201 of the FPGAIC chip 200 of the logic package structure 520 (shown in FIG. 6A), and/or The programming code for storing the pass/fail switch 258 or the cross point switch 379 is sequentially passed through the interconnect metal layer 27 of the TISD or FISD 101 of the NVM chip package 510, one of the solder contacts 541, and the I/O chip Each of the interconnect metal layers 27 of the BISD 79 of the package structure 540 (optionally), one of the copper pillars 158 of the I/O chip package structure 540 , each of the TISD or FISD 101 of the I/O chip package structure 540 The interconnect metal layer 27 , one of the solder contacts 536 , the interconnect metal layer 27 of the BISD 79 of the logic package structure 520 , one of the copper pillars 158 of the logic package structure 520 , and one of the TISD or FISD 101 of the logic package structure 520 The interconnect metal layer 27 is loaded into the memory unit 362 of the FPGAIC chip 200 of the logic package structure 520 in FIGS. 7A to 7C to control the pass/no pass switch 258 of the FPGAIC chip 200 of the logic package structure 520 or the cross-point switch 379 is coupled or not coupled to two programmable interconnect lines 361 , wherein the programmable interconnect lines 361 pass through one (or more) copper pillars 158 of the logic package structure 520 and/or the TISD of the logic package structure 520 or provided by the interconnecting wire metal layer 27 of FISD101 and/or BISD79, and (2) The data or information of the FPGAIC chip 200 for storing the operation logic package structure 520 is configured to have a specific operation or function through the result value of the LUTs 210 and the programming code configuration of the pass/fail switch 258 or the crosspoint switch 379 .

在第29H圖中之第八型非揮發性可編程邏輯驅動器610中,垂直地位在邏輯封裝結構520的上方的NVM晶片封裝結構510的非揮發性記憶體IC晶片250可用作於儲存用於配置邏輯封裝結構520的FPGAIC晶片200的資料或資訊,NVM晶片封裝結構510的非揮發性記憶體IC晶片250可用作為:(1)儲存用於LUTs210的結果值,每一個結果值可依序經由NVM晶片封裝結構510的TISD或FISD101之交互連接線金屬層27、其中之一銲料接點541、HBM封裝結構560之BISD79的交互連接線金屬層27(可選擇性地)、HBM封裝結構560的其中之一銅柱158、HBM封裝結構560的TISD或FISD101之每一交互連接線金屬層27、其中之一銲料接點536及邏輯封裝結構520之TISD或FISD101之交互連接線金屬層27而被加載至邏輯封裝結構520的FPGAIC晶片200的記憶體單元490,;該些結果值與在邏輯封裝結構520的FPGAIC晶片200(如第6A圖所示)之可編程邏輯區塊201的多工器211之第二輸入資料組相關聯,及/或用於儲存通過/不通過開關258或交叉點開關379的編程碼,依序經由NVM晶片封裝結構510的TISD或FISD101之交互連接線金屬層27、其中之一銲料接點541、HBM封裝結構560之BISD79的交互連接線金屬層27(可選擇性地)、HBM封裝結構560的其中之一銅柱158、HBM封裝結構560的TISD或FISD101之每一交互連接線金屬層27、其中之一銲料接點536及邏輯封裝結構520之TISD或FISD101之交互連接線金屬層27而被加載至第7A圖至第7C圖中邏輯封裝結構520的FPGAIC晶片200的記憶體單元362中,以控制邏輯封裝結構520的FPGAIC晶片200之通過/不通過開關258或交叉點開關379耦接或不耦接二條可編程交互連接線361,其中可編程交互連接線361經由邏輯封裝結構520的一個(或多個)銅柱158及/或邏輯封裝結構520的TISD或FISD101及/或BISD79的交互連接線金屬層27所提供,及(2)用於儲存操作邏輯封裝結構520的FPGAIC晶片200的資料或資訊,經由LUTs210的結果值及通過/不通過開關258或交叉點開關379的編程碼配置使其具有特定操作或功能。In the eighth-type non-volatile programmable logic driver 610 in FIG. 29H, the non-volatile memory IC chip 250 of the NVM chip package structure 510 positioned vertically above the logic package structure 520 can be used for storage for Configuring the data or information of the FPGA IC chip 200 of the logic package structure 520, the non-volatile memory IC chip 250 of the NVM chip package structure 510 can be used as: (1) to store the result values for the LUTs 210, and each result value can be sequentially passed through The interconnect metal layer 27 of the TISD or FISD 101 of the NVM chip package structure 510 , one of the solder contacts 541 , the interconnect metal layer 27 of the BISD 79 of the HBM package structure 560 (optionally), the HBM package structure 560 One of the copper pillars 158 , each interconnect metal layer 27 of the TISD or FISD 101 of the HBM package structure 560 , one of the solder contacts 536 , and the interconnect metal layer 27 of the TISD or FISD 101 of the logic package structure 520 are Loaded into the memory cells 490 of the FPGAIC chip 200 of the logic package structure 520; these result values are combined with the multiplexer of the programmable logic block 201 of the FPGAIC chip 200 of the logic package structure 520 (as shown in FIG. 6A). The second input data set of 211 is associated with and/or used to store the programming code for pass/fail switch 258 or crosspoint switch 379, sequentially through the interconnect metal layer 27 of the TISD or FISD 101 of the NVM chip package 510 , one of the solder contacts 541 , the interconnecting wire metal layer 27 of the BISD79 of the HBM package structure 560 (optionally), one of the copper pillars 158 of the HBM package structure 560 , one of the TISD or FISD 101 of the HBM package structure 560 Each interconnect metal layer 27, one of the solder contacts 536 and the interconnect metal layer 27 of the TISD or FISD 101 of the logic package structure 520 are loaded into the FPGAIC of the logic package structure 520 in FIGS. 7A-7C In the memory unit 362 of the chip 200, the pass/no pass switch 258 or the cross-point switch 379 of the FPGAIC chip 200 of the control logic package structure 520 is coupled or not coupled to two programmable interconnection lines 361, wherein the programmable interconnection Line 361 is provided via one (or more) copper pillar(s) 158 of logic package structure 520 and/or the interconnect wire metal layer 27 of TISD or FISD 101 and/or BISD 79 of logic package structure 520, and (2) for storage operations The data or information of the FPGAIC chip 200 of the logic package structure 520 is configured to have a specific operation or function through the result value of the LUTs 210 and the programming code configuration of the pass/fail switch 258 or the crosspoint switch 379 .

在第29I圖中之第九型非揮發性可編程邏輯驅動器610中,垂直地位在邏輯封裝結構520的上方的NVM晶片封裝結構510的非揮發性記憶體IC晶片250可用作於儲存用於配置邏輯封裝結構520的FPGAIC晶片200的資料或資訊,NVM晶片封裝結構510的非揮發性記憶體IC晶片250可用作為:(1)儲存用於LUTs210的結果值,每一個結果值可依序經由NVM晶片封裝結構510的TISD或FISD101之交互連接線金屬層27、其中之一銲料接點541、I/O晶片封裝結構540之BISD79的交互連接線金屬層27(可選擇性地)、I/O晶片封裝結構540的其中之一銅柱158、I/O晶片封裝結構540之TISD或FISD101之每一交互連接線金屬層27、其中之一銲料接點558、HBM封裝結構560之BISD79的交互連接線金屬層27(可選擇性地)、HBM封裝結構560的其中之一銅柱158、HBM封裝結構560的TISD或FISD101之每一交互連接線金屬層27、其中之一銲料接點536及邏輯封裝結構520之TISD或FISD101之交互連接線金屬層27而被加載至邏輯封裝結構520的FPGAIC晶片200的記憶體單元490,;該些結果值與在邏輯封裝結構520的FPGAIC晶片200(如第6A圖所示)之可編程邏輯區塊201的多工器211之第二輸入資料組相關聯,及/或用於儲存通過/不通過開關258或交叉點開關379的編程碼,依序經由NVM晶片封裝結構510的TISD或FISD101之交互連接線金屬層27、其中之一銲料接點541、I/O晶片封裝結構540之BISD79的交互連接線金屬層27(可選擇性地)、I/O晶片封裝結構540的其中之一銅柱158、I/O晶片封裝結構540之TISD或FISD101之每一交互連接線金屬層27、其中之一銲料接點558、HBM封裝結構560之BISD79的交互連接線金屬層27(可選擇性地)、HBM封裝結構560的其中之一銅柱158、HBM封裝結構560的TISD或FISD101之每一交互連接線金屬層27、其中之一銲料接點536及邏輯封裝結構520之TISD或FISD101之交互連接線金屬層27而被加載至第7A圖至第7C圖中邏輯封裝結構520的FPGAIC晶片200的記憶體單元362中,以控制邏輯封裝結構520的FPGAIC晶片200之通過/不通過開關258或交叉點開關379耦接或不耦接二條可編程交互連接線361,其中可編程交互連接線361經由邏輯封裝結構520的一個(或多個)銅柱158及/或邏輯封裝結構520的TISD或FISD101及/或BISD79的交互連接線金屬層27所提供,及(2)用於儲存操作邏輯封裝結構520的FPGAIC晶片200的資料或資訊,經由LUTs210的結果值及通過/不通過開關258或交叉點開關379的編程碼配置使其具有特定操作或功能。In the ninth type of non-volatile programmable logic driver 610 in FIG. 29I, the non-volatile memory IC chip 250 of the NVM chip package structure 510 positioned vertically above the logic package structure 520 can be used for storage for Configuring the data or information of the FPGA IC chip 200 of the logic package structure 520, the non-volatile memory IC chip 250 of the NVM chip package structure 510 can be used as: (1) to store the result values for the LUTs 210, and each result value can be sequentially passed through The interconnect metal layer 27 of the TISD or FISD 101 of the NVM chip package structure 510, one of the solder contacts 541, the interconnect metal layer 27 of the BISD 79 of the I/O chip package structure 540 (optionally), the I/O Interaction of one of the copper pillars 158 of the O chip package structure 540 , each of the interconnecting metal layers 27 of the TISD or FISD 101 of the I/O chip package structure 540 , one of the solder contacts 558 , and the BISD 79 of the HBM package structure 560 The connection wire metal layer 27 (optionally), one of the copper pillars 158 of the HBM package structure 560, each of the interconnecting wire metal layers 27 of the TISD or FISD 101 of the HBM package structure 560, one of the solder contacts 536 and The interconnect metal layer 27 of the TISD or FISD 101 of the logic package structure 520 is loaded into the memory cells 490 of the FPGAIC chip 200 of the logic package structure 520; 6A) associated with the second input data set of the multiplexer 211 of the programmable logic block 201, and/or used to store the programming code for the pass/fail switch 258 or the crosspoint switch 379, in sequence Via the interconnect metal layer 27 of the TISD or FISD 101 of the NVM chip package 510, one of the solder contacts 541, the interconnect metal layer 27 of the BISD 79 of the I/O chip package 540 (optionally), I One of the copper pillars 158 of the /O chip package structure 540 , each interconnection wire metal layer 27 of the TISD or FISD 101 of the I/O chip package structure 540 , one of the solder contacts 558 , and the BISD 79 of the HBM package structure 560 Interconnect metal layer 27 (optional), one of the copper pillars 158 of the HBM package structure 560, each of the interconnect metal layers 27 of the TISD or FISD 101 of the HBM package structure 560, one of the solder contacts 536 and the interconnect metal layer 27 of the TISD or FISD 101 of the logic package structure 520 are loaded into the memory cells 362 of the FPGAIC chip 200 of the logic package structure 520 in FIGS. 7A to 7C to control the logic package structure 520 Pass/fail switch 258 or intersection of FPGAIC chip 200 The switch 379 is coupled or not coupled to two programmable interconnection lines 361 , wherein the programmable interconnection lines 361 pass through one (or more) copper pillars 158 of the logic package structure 520 and/or the TISD or FISD 101 of the logic package structure 520 and and/or provided by the interconnect metal layer 27 of the BISD 79, and (2) for storing data or information of the FPGAIC chip 200 operating the logic package structure 520, the result value via the LUTs 210 and the pass/fail switch 258 or crosspoint switch The 379's programming code configures it for a specific operation or function.

在第29J圖中之第十型非揮發性可編程邏輯驅動器610中,垂直地位在邏輯驅動器300的上方的每一NVM晶片封裝結構510的非揮發性記憶體IC晶片250可用作於儲存用於配置邏輯驅動器300的FPGAIC晶片200的資料或資訊,每一NVM晶片封裝結構510的非揮發性記憶體IC晶片250可用作為:(1)儲存用於LUTs210的結果值,每一個結果值可依序經由每一NVM晶片封裝結構510的TISD或FISD101之交互連接線金屬層27、位在每一NVM晶片封裝結構510下方的其中之一銲料接點541、位在每一NVM晶片封裝結構510下方的其中之一I/O晶片封裝結構540的BISD79的交互連接線金屬層27(可選擇性地)、其中之一I/O晶片封裝結構540的其中之一銅柱158、其中之一I/O晶片封裝結構540TISD或FISD101之每一交互連接線金屬層27、位在其中之一I/O晶片封裝結構540下方的其中之一銲料接點536、邏輯驅動器300的BISD79之每一交互連接線金屬層27、及邏輯驅動器300之TISD或FISD101之交互連接線金屬層27而被加載至邏輯驅動器300的其中之一FPGAIC晶片200的記憶體單元490;該些結果值與在邏輯驅動器300的其中之一FPGAIC晶片200(如第6A圖所示)之可編程邏輯區塊201的多工器211之第二輸入資料組相關聯,及/或用於儲存通過/不通過開關258或交叉點開關379的編程碼,依序經由每一NVM晶片封裝結構510的TISD或FISD101之交互連接線金屬層27、位在每一NVM晶片封裝結構510下方的其中之一銲料接點541、其中之一I/O晶片封裝結構540之BISD79的交互連接線金屬層27(可選擇性地)、其中之一I/O晶片封裝結構540的其中之一銅柱158、其中之一I/O晶片封裝結構540的TISD或FISD101之每一交互連接線金屬層27、位在其中之一I/O晶片封裝結構540下方的其中之一銲料接點536、邏輯驅動器300的BISD79之每一交互連接線金屬層27、邏輯驅動器300的其中之一銅柱158及邏輯驅動器300之TISD或FISD101之交互連接線金屬層27而被加載至第7A圖至第7C圖中邏輯驅動器300的其中之一FPGAIC晶片200的記憶體單元362中,以控制邏輯驅動器300的其中之一FPGAIC晶片200之通過/不通過開關258或交叉點開關379耦接或不耦接二條可編程交互連接線361,其中可編程交互連接線361經由邏輯驅動器300的一個(或多個)銅柱158及/或邏輯驅動器300的TISD或FISD101及/或BISD79的交互連接線金屬層27所提供,及(2)用於儲存操作邏輯驅動器300的其中之一FPGAIC晶片200的資料或資訊,經由LUTs210的結果值及通過/不通過開關258或交叉點開關379的編程碼配置使其具有特定操作或功能。In the tenth type non-volatile programmable logic driver 610 in FIG. 29J, the non-volatile memory IC chip 250 of each NVM chip package structure 510 vertically above the logic driver 300 can be used for storage In configuring the data or information of the FPGA IC chip 200 of the logic driver 300, the non-volatile memory IC chip 250 of each NVM chip package structure 510 can be used to: (1) store the result values for the LUTs 210, and each result value can be sequence through the interconnection wire metal layer 27 of the TISD or FISD 101 of each NVM chip package structure 510 , one of the solder contacts 541 under each NVM chip package structure 510 Interconnect wire metal layer 27 of BISD 79 of one of the I/O chip packages 540 (optionally), one of the copper pillars 158 of one of the I/O chip packages 540, one of the I/O Each interconnection wire metal layer 27 of the O chip package structure 540 TISD or FISD 101 , one of the solder contacts 536 under one of the I/O chip package structures 540 , each interconnection wire of the BISD 79 of the logic driver 300 The metal layer 27 and the interconnect metal layer 27 of the TISD or FISD 101 of the logical driver 300 are loaded into the memory cell 490 of one of the FPGAIC chips 200 of the logical driver 300 ; associated with the second input data set of the multiplexer 211 of the programmable logic block 201 of an FPGAIC chip 200 (shown in FIG. 6A) and/or used to store the pass/fail switch 258 or crosspoint switch 379 of programming codes are sequentially passed through the interconnection wire metal layer 27 of the TISD or FISD 101 of each NVM chip package structure 510, one of the solder contacts 541 under each NVM chip package structure 510, one of the I The interconnection wire metal layer 27 (optional) of the BISD 79 of the /O chip package structure 540 , one of the copper pillars 158 of one of the I/O chip package structures 540 , one of the I/O chip package structures 540 Each interconnect metal layer 27 of the TISD or FISD 101, one of the solder contacts 536 under one of the I/O chip package structures 540, each interconnect metal layer 27 of the BISD 79 of the logic driver 300 , one of the copper pillars 158 of the logical driver 300 and the interconnect metal layer 27 of the TISD or FISD 101 of the logical driver 300 are loaded into the memory of the FPGAIC chip 200 of one of the logical drivers 300 in FIGS. 7A to 7C in the body unit 362 to control the pass/no pass switch 258 or The cross-point switch 379 is coupled or not coupled to two programmable interconnect lines 361 , wherein the programmable interconnect lines 361 pass through one (or more) copper pillars 158 of the logic driver 300 and/or the TISD or FISD 101 of the logic driver 300 and and/or provided by the interconnect metal layer 27 of the BISD 79, and (2) for storing data or information of one of the FPGAIC chips 200 operating the logic driver 300, the result value via the LUTs 210 and the pass/fail switch 258 or crossover The programming code of the point switch 379 is configured to have a specific operation or function.

例如,在第29J圖中之第十型非揮發性可編程邏輯驅動器610中,左方的NVM晶片封裝結構510上面的非揮發性記憶體IC晶片250可以是NOR快閃記憶體晶片,用於儲存LUTs210的結果值,以經由上述揭露之路徑被下載至邏輯驅動器300的其中之一FPGAIC晶片200之記憶體單元490中,該些結果值與在邏輯驅動器300的其中之一FPGAIC晶片200(如第6A圖所示)之可編程邏輯區塊201的多工器211之第二輸入資料組相關聯,及/或儲存通過/不通過開關258或交叉點開關379的編程碼,以經由上述揭露之路徑被下載至邏輯驅動器300的其中之一FPGAIC晶片200之如第7A圖至第7C圖中的記憶體單元362,以控制邏輯驅動器300的其中之一FPGAIC晶片200之通過/不通過開關258或交叉點開關379耦接或不耦接二條可編程交互連接線361,其中可編程交互連接線361經由邏輯驅動器300的一個(或多個)銅柱158及/或邏輯驅動器300的TISD或FISD101及/或BISD79的交互連接線金屬層27所提供。然而,右邊的NVM晶片封裝結構510的非揮發性記憶體IC晶片250可以是NAND快閃記憶體晶片,用於儲存用於邏輯驅動器300的其中之一FPGAIC晶片200操作的資料或資訊,經由LUTs210的結果值及通過/不通過開關258或交叉點開關379的編程碼配置使FPGAIC晶片200具有特定操作或功能。For example, in the tenth type non-volatile programmable logic driver 610 in FIG. 29J, the non-volatile memory IC chip 250 above the NVM chip package structure 510 on the left can be a NOR flash memory chip for The result values of the LUTs 210 are stored to be downloaded to the memory unit 490 of one of the FPGAIC chips 200 of the logic driver 300 through the paths disclosed above. 6A) associated with the second input data set of the multiplexer 211 of the programmable logic block 201) and/or storing the programming code of the pass/fail switch 258 or the crosspoint switch 379 for the above disclosure The path is downloaded to one of the FPGAIC chips 200 of the logic driver 300 as the memory cell 362 in FIGS. 7A to 7C to control the pass/fail switch 258 of one of the FPGAIC chips 200 of the logic driver 300 or the crosspoint switch 379 is coupled or not coupled to two programmable interconnect lines 361 , wherein the programmable interconnect lines 361 pass through one (or more) copper pillars 158 of the logic driver 300 and/or the TISD or FISD 101 of the logic driver 300 and/or provided by the interconnect metal layer 27 of the BISD 79 . However, the non-volatile memory IC chip 250 of the NVM chip package structure 510 on the right may be a NAND flash memory chip for storing data or information for the operation of one of the FPGA IC chips 200 of the logic driver 300 via the LUTs 210 The resulting value of , and the programming code configuration of pass/fail switch 258 or crosspoint switch 379 enables FPGAIC die 200 to have a particular operation or function.

在第29K圖中之第十一型非揮發性可編程邏輯驅動器610中,垂直地位在邏輯驅動器300的上方的每一NVM晶片封裝結構510的非揮發性記憶體IC晶片250可用作於儲存用於配置邏輯驅動器300的FPGAIC晶片200的資料或資訊,每一NVM晶片封裝結構510的非揮發性記憶體IC晶片250可用作為:(1)儲存用於LUTs210的結果值,每一個結果值可依序經由其中之一NVM晶片封裝結構510的TISD或FISD101之交互連接線金屬層27、位在每一NVM晶片封裝結構510下方的其中之一銲料接點541、位在每一NVM晶片封裝結構510下方的其中之一I/O晶片封裝結構540的BISD79的交互連接線金屬層27(可選擇性地)、其中之一I/O晶片封裝結構540的其中之一銅柱158、其中之一I/O晶片封裝結構540的TISD或FISD101之每一交互連接線金屬層27、位在其中之一I/O晶片封裝結構540下方的其中之一銲料接點558、位在其中之一I/O晶片封裝結構540下方的其中之一HBM晶片封裝結構560之BISD79的交互連接線金屬層27(可選擇性地)、HBM晶片封裝結構560的其中之一銅柱158、HBM晶片封裝結構560的TISD或FISD101之每一交互連接線金屬層27、位在其中之一HBM晶片封裝結構560下方的其中之一銲料接點536及邏輯驅動器300的BISD79之每一交互連接線金屬層27、及邏輯驅動器300之TISD或FISD101之交互連接線金屬層27而被加載至邏輯驅動器300的其中之一FPGAIC晶片200的記憶體單元490,;該些結果值與在邏輯驅動器300的其中之一FPGAIC晶片200(如第6A圖所示)之可編程邏輯區塊201的多工器211之第二輸入資料組相關聯,及/或用於儲存通過/不通過開關258或交叉點開關379的編程碼,依序經由其中之一NVM晶片封裝結構510的TISD或FISD101之交互連接線金屬層27、位在每一NVM晶片封裝結構510下方的其中之一銲料接點541、其中之一I/O晶片封裝結構540之BISD79的交互連接線金屬層27(可選擇性地)、其中之一I/O晶片封裝結構540的其中之一銅柱158、其中之一I/O晶片封裝結構540的TISD或FISD101之每一交互連接線金屬層27、位在其中之一I/O晶片封裝結構540下方的其中之一銲料接點558、位在其中之一I/O晶片封裝結構540下方的其中之一HBM晶片封裝結構560之BISD79的交互連接線金屬層27(可選擇性地)、HBM晶片封裝結構560的其中之一銅柱158、HBM晶片封裝結構560的TISD或FISD101之每一交互連接線金屬層27、位在其中之一HBM晶片封裝結構560下方的其中之一銲料接點536及邏輯驅動器300的BISD79之每一交互連接線金屬層27、邏輯驅動器300的其中之一銅柱158及邏輯驅動器300之TISD或FISD101之交互連接線金屬層27而被加載至第7A圖至第7C圖中邏輯驅動器300的其中之一FPGAIC晶片200的記憶體單元362中,以控制邏輯驅動器300的其中之一FPGAIC晶片200之通過/不通過開關258或交叉點開關379耦接或不耦接二條可編程交互連接線361,其中可編程交互連接線361經由邏輯驅動器300的一個(或多個)銅柱158及/或邏輯驅動器300的TISD或FISD101及/或BISD79的交互連接線金屬層27所提供,及(2)用於儲存操作邏輯驅動器300的其中之一FPGAIC晶片200的資料或資訊,經由LUTs210的結果值及通過/不通過開關258或交叉點開關379的編程碼配置使其具有特定操作或功能。In the eleventh type of non-volatile programmable logic driver 610 in FIG. 29K, the non-volatile memory IC chip 250 of each NVM chip package 510 positioned vertically above the logic driver 300 can be used for storage The data or information used to configure the FPGA IC chip 200 of the logic driver 300, the non-volatile memory IC chip 250 of each NVM chip package 510 can be used to: (1) store the result values for the LUTs 210, each result value can be The interconnection wire metal layer 27 of the TISD or FISD 101 of one of the NVM chip package structures 510, one of the solder contacts 541 located under each of the NVM chip package structures 510, and one of the solder contacts 541 located under each of the NVM chip package structures 510. Interconnect wire metal layer 27 (optional) of BISD 79 of one of the I/O chip packages 540 below 510 , one of the copper pillars 158 of one of the I/O chip packages 540 , one of Each interconnect metal layer 27 of the TISD or FISD 101 of the I/O chip package structure 540, one of the solder contacts 558 under one of the I/O chip package structures 540, one of the I/O chip packages 540 O the interconnecting wire metal layer 27 (optionally) of the BISD79 of one of the HBM chip package structures 560 under the chip package structure 540 , one of the copper pillars 158 of the HBM chip package structure 560 , the HBM chip package structure 560 Each interconnect metal layer 27 of the TISD or FISD 101 , one of the solder contacts 536 under one of the HBM chip package structures 560 and each interconnect metal layer 27 of the BISD 79 of the logic driver 300 , and logic The interconnect metal layer 27 of the TISD or FISD 101 of the driver 300 is loaded into the memory cell 490 of one of the FPGAIC chips 200 of the logic driver 300 ; Associated with the second input data set of the multiplexer 211 of the programmable logic block 201 (shown in FIG. 6A ) and/or used to store the programming code for the pass/fail switch 258 or the crosspoint switch 379, The interconnection wire metal layer 27 of the TISD or FISD 101 of one of the NVM chip package structures 510, one of the solder contacts 541 under each of the NVM chip package structures 510, one of the I/O chip packages, in sequence Interconnect wire metal layer 27 of BISD 79 of structure 540 (optional), one of copper pillars 158 of one of the I/O chip package structures 540, TISD or FISD 101 of one of the I/O chip package structures 540 Each of the interconnect metal layers 27, one of the solder contacts 558 under one of the I/O chip package structures 540, one of the An interconnecting wire metal layer 27 (optional) of the BISD 79 of one of the HBM chip package structures 560 under an I/O chip package structure 540 , one of the copper pillars 158 of the HBM chip package structure 560 , the HBM chip package Each interconnect metal layer 27 of the TISD or FISD 101 of the structure 560 , one of the solder contacts 536 under one of the HBM chip package structures 560 , and each interconnect metal layer 27 of the BISD 79 of the logic driver 300 , one of the copper pillars 158 of the logical driver 300 and the interconnect metal layer 27 of the TISD or FISD 101 of the logical driver 300 are loaded into the memory of the FPGAIC chip 200 of one of the logical drivers 300 in FIGS. 7A to 7C In the body unit 362 , to control the pass/no pass switch 258 or the cross-point switch 379 of one of the FPGAIC chips 200 of the logic driver 300 is coupled or not coupled to two programmable interconnection lines 361 , wherein the programmable interconnection line 361 Provided via one (or more) copper pillar(s) 158 of logical drive 300 and/or the interconnect metal layer 27 of TISD or FISD 101 and/or BISD 79 of logical drive 300 , and (2) for storing and operating logical drive 300 The data or information of one of the FPGAIC chips 200 is configured through the result value of the LUTs 210 and the programming code of the pass/fail switch 258 or the crosspoint switch 379 to have a specific operation or function.

例如,在第29K圖中之第十一型非揮發性可編程邏輯驅動器610中,左方的NVM晶片封裝結構510上面的非揮發性記憶體IC晶片250可以是NOR快閃記憶體晶片,用於儲存LUTs210的結果值,以經由上述揭露之路徑被下載至邏輯驅動器300的其中之一FPGAIC晶片200之記憶體單元490中,該些結果值與在邏輯驅動器300的其中之一FPGAIC晶片200(如第6A圖所示)之可編程邏輯區塊201的多工器211之第二輸入資料組相關聯,及/或儲存通過/不通過開關258或交叉點開關379的編程碼,以經由上述揭露之路徑被下載至邏輯驅動器300的其中之一FPGAIC晶片200之如第7A圖至第7C圖中的記憶體單元362,以控制邏輯驅動器300的其中之一FPGAIC晶片200之通過/不通過開關258或交叉點開關379耦接或不耦接二條可編程交互連接線361,其中可編程交互連接線361經由邏輯驅動器300的一個(或多個)銅柱158及/或邏輯驅動器300的TISD或FISD101及/或BISD79的交互連接線金屬層27所提供。然而,右邊的NVM晶片封裝結構510的非揮發性記憶體IC晶片250可以是NAND快閃記憶體晶片,用於儲存用於邏輯驅動器300的其中之一FPGAIC晶片200操作的資料或資訊,經由LUTs210的結果值及通過/不通過開關258或交叉點開關379的編程碼配置使FPGAIC晶片200具有特定操作或功能。For example, in the eleventh type of non-volatile programmable logic driver 610 in FIG. 29K, the non-volatile memory IC chip 250 on the NVM chip package structure 510 on the left can be a NOR flash memory chip, with The result values stored in the LUTs 210 are downloaded to the memory unit 490 of one of the FPGAIC chips 200 of the logic driver 300 through the path disclosed above, and the result values are the same as those of the one of the FPGAIC chips 200 of the logic driver 300 ( 6A) associated with the second input data set of the multiplexer 211 of the programmable logic block 201), and/or store the programming code of the pass/fail switch 258 or the crosspoint switch 379 for passing through the above-mentioned The exposed path is downloaded to one of the FPGAIC chips 200 of the logic driver 300 as the memory cell 362 in FIGS. 7A to 7C to control the pass/fail switch of one of the FPGAIC chips 200 of the logic driver 300 258 or the cross-point switch 379 is coupled or not coupled to two programmable interconnect lines 361, wherein the programmable interconnect lines 361 pass through one (or more) copper pillars 158 of the logic driver 300 and/or the TISD or TISD of the logic driver 300. Provided by the interconnect metal layer 27 of FISD101 and/or BISD79. However, the non-volatile memory IC chip 250 of the NVM chip package structure 510 on the right may be a NAND flash memory chip for storing data or information for the operation of one of the FPGA IC chips 200 of the logic driver 300 via the LUTs 210 The resulting value of , and the programming code configuration of pass/fail switch 258 or crosspoint switch 379 enables FPGAIC die 200 to have a particular operation or function.

因此,具有創意或應用概念或想法的使用者或開發者可購買第29A圖至第29K圖中的第一至第十一型非揮發性可編程邏輯驅動器610的其中之一種,開發或撰寫軟體碼、資料或程式(即LUTs中的結果值及/或通過/不通過開關或交叉點開關的編程碼)加載至NVM晶片封裝結構510的非揮發性記憶體IC晶片250中,用於實施他們的創意或應用概念或想法。己開發的軟體碼、資料或程式可從NVM晶片封裝結構510的非揮發性記憶體IC晶片250中經由邏輯晶片封裝結構520的TISD或FISD101的交互連接線金屬層27下載至邏輯晶片封裝結構520的FPGAIC晶片200中可編程邏輯電路、單元或區塊201中的記憶體單元490及/或下載至可編程交互連接線的記憶體單元362中。Therefore, users or developers with creative or application concepts or ideas can purchase one of the first to eleventh types of non-volatile programmable logic drivers 610 shown in FIGS. 29A to 29K to develop or write software code, data, or programs (ie, result values in LUTs and/or programming codes with/without switches or crosspoint switches) are loaded into the non-volatile memory IC chips 250 of the NVM chip package 510 for implementing them creative or application concept or idea. The developed software code, data or program can be downloaded from the non-volatile memory IC chip 250 of the NVM chip package 510 to the logic chip package 520 via the interconnect metal layer 27 of the TISD or FISD 101 of the logic chip package 520 The programmable logic circuit in the FPGAIC chip 200, the memory cell 490 in the cell or block 201 and/or the memory cell 362 downloaded to the programmable interconnection wire.

在第29A圖至第29I圖中的每一第一型至第九型非揮發性可編程邏輯驅動器610的邏輯晶片封裝結構520中或在第29J圖至第29K圖中的每一第十型至第十一型非揮發性可編程邏輯驅動器610的邏輯驅動器300中,其FPGAIC晶片200可包括用於設計安全上的一晶片上安全電路(on-chipsecuritycircuit),該晶片上安全電路用作於保護FPGAIC晶片200中的LUTs210中的結果值及保護FPGAIC晶片200中的通過/不通過開關258或交叉點開關379的編程密碼不被複製或經由還原工程還原。FPGAIC晶片200可包括多個專用非揮發性記憶體元件,用以配置儲存128,256,512或1024位元解密鑰匙(decryptionkey),因此,晶片上安全電路可以通過解密與FPGAIC晶片200的查找表(LUT)210的結果值及與FPGAIC晶片200的通過/不通過開關258或交叉點開關379的編程碼相關聯的加密資料來提供晶片上位元流解密,該加密資料係從第29A圖至第29I圖中第一型至第十型非揮發性可編程邏輯驅動器610的NVM晶片封裝結構510之非揮發性記憶體IC晶片250的非揮發性記憶體單元中加載,作為解密資料。與該FPGAIC晶片200的查找表(LUT)210的結果值相關聯的解密資料可通過且儲存在第6A圖中FPGAIC晶片200的記憶體單元490中,且與FPGAIC晶片200的通過/不通過開關258或交叉點開關379的編程碼相關聯的加密資料可通過且儲存在第7A圖至第7C圖中FPGAIC晶片200的記憶體單元362中。因此,FPGAIC晶片200的可編程邏輯區塊或電路201及編程交互連接線361可被配置。該解密鑰匙可從一真實隨機源中被創造產生。FPGAIC晶片200的每一專用非揮發性記憶體元件可包括一MRAM單元或RRAM單元以配置來儲存該解密鑰匙。或者,每一專用非揮發性記憶體元件可包括具有一閘極端的一MOS電晶體(其係是電性的浮空)以配置來儲存該解密鑰匙。或者,每一FPGAIC晶片200可包括複數金屬連接線431,每一個金屬連接線431具有一窄的頸部432配置作為用於其中之一專用非揮發性記憶體元件的一電子保險絲,即第30A圖至第30B圖中的e-fuse,其中第30A圖為本發明一實施例一保險絲的操作方塊示意圖,而第30B圖為本發明一實施例一電子保險絲(e-fuse)的結構上視圖。一對擋條434可由金屬形成位在該電子保險絲432的相對二側上,延著該電子保險絲432延伸以保護該電子保險絲432被損壞,在電子保險絲432編程時為一非揮發性狀態,電子保險絲432的一端可經由FPGAIC晶片200的一開關433切換耦接處於於電源電壓或接地參考電壓的一節點N51,所以一高電流可被選擇性地產生流經該電子保險絲432,以切斷或損壞該電子保險絲432,在電子保險絲432編程後,該電子保險絲432的端點可經由該開關433切換耦接一節點N52,以耦接該晶片上安全電路。或者,FPGAIC晶片200可包括多個抗保險絲(anti-fuses)435,用以配置作為其中之一專用非揮發性記憶體元件,其中每一抗保險絲435可由第30A圖及第30C圖中二個電極436、電極437及一氧化窗(oxidewindow)438(介於電極436與電極437之間)所構成,其中第30C圖為為本發明一實施例中抗保險絲435的剖面示意圖,在其中之一抗保險絲435編程時為一非揮發性狀態,電極436與電極437的其中之一個可經由開關433被切換,以耦接處於於電源電壓或接地參考電壓的一節點N51,所以一高電壓可被施加在電極436與電極437之間,以打破該氧化窗438。在其中之一抗保險絲435編程後,電極436與電極437的其中之一可經由該開關433切換耦接一節點N52,以耦接該晶片上安全電路,電極436與電極437二者可由金屬或多晶矽(polysilicon)所製成;或者,電極436與電極437的其中之一個由金屬製成,而電極436與電極437的另一個則由多晶矽製成。或者,每一專用非揮發性記憶體元件可被具有一專用隨機存取記憶體(RAM)單元所取代,用以儲存該解密鑰匙,其中該專用隨機存取記憶體單元可由外部所連接的電池供電,該解密鑰匙可只能通過FPGAIC晶片200(所述其中之一)的特殊端口被編程到FPGAIC晶片200中(所述其中之一)。In the logic chip package structure 520 of each of the first to ninth type non-volatile programmable logic drivers 610 in FIGS. 29A to 29I or each of the tenth type in FIGS. 29J to 29K In the logic driver 300 to the eleventh type non-volatile programmable logic driver 610, its FPGAIC chip 200 may include an on-chip security circuit for design security, and the on-chip security circuit is used for The programming password that protects the result values in the LUTs 210 in the FPGAIC die 200 and protects the pass/fail switch 258 or the crosspoint switch 379 in the FPGAIC die 200 is not copied or restored via a restore process. The FPGAIC chip 200 may include multiple dedicated non-volatile memory elements configured to store 128, 256, 512 or 1024 bit decryption keys, so that the on-chip security circuit can decrypt and communicate with the lookup table (LUT) 210 of the FPGAIC chip 200 The resulting value of the FPGAIC chip 200 and the encrypted data associated with the programming code of the pass/fail switch 258 or the crosspoint switch 379 of the FPGAIC chip 200 provide on-chip bitstream decryption, the encrypted data from Figures 29A-29I Type 1 to Type 10 non-volatile programmable logic drivers 610 are loaded into the non-volatile memory cells of the non-volatile memory IC chip 250 of the NVM chip package structure 510 as decrypted data. The decrypted data associated with the result value of the lookup table (LUT) 210 of the FPGAIC chip 200 can be passed and stored in the memory cell 490 of the FPGAIC chip 200 in FIG. 6A, and the pass/fail switch of the FPGAIC chip 200 258 or the encryption data associated with the programming code of the crosspoint switch 379 can be passed through and stored in the memory unit 362 of the FPGAIC chip 200 in FIGS. 7A-7C. Thus, the programmable logic blocks or circuits 201 of the FPGAIC chip 200 and the programming interconnection lines 361 can be configured. The decryption key can be created from a source of true randomness. Each dedicated non-volatile memory element of FPGAIC chip 200 may include an MRAM cell or RRAM cell configured to store the decryption key. Alternatively, each dedicated non-volatile memory device may include a MOS transistor (which is electrically floating) with a gate terminal configured to store the decryption key. Alternatively, each FPGAIC chip 200 may include a plurality of metal connection lines 431, each metal connection line 431 having a narrow neck 432 configured as an electronic fuse for one of the dedicated non-volatile memory elements, namely the 30A Figure 30B shows the e-fuse, wherein Figure 30A is a schematic diagram of an operation block of a fuse according to an embodiment of the present invention, and Figure 30B is a top view of the structure of an electronic fuse (e-fuse) according to an embodiment of the present invention. . A pair of retaining bars 434 may be formed of metal on opposite sides of the electronic fuse 432, extend along the electronic fuse 432 to protect the electronic fuse 432 from damage, and are in a non-volatile state when the electronic fuse 432 is programmed. One end of the fuse 432 can be switched and coupled to a node N51 at the power supply voltage or the ground reference voltage via a switch 433 of the FPGAIC chip 200, so a high current can be selectively generated to flow through the electronic fuse 432 to cut off or If the electronic fuse 432 is damaged, after the electronic fuse 432 is programmed, the terminal of the electronic fuse 432 can be switched to be coupled to a node N52 via the switch 433 to be coupled to the on-chip safety circuit. Alternatively, the FPGAIC chip 200 can include a plurality of anti-fuses 435 configured as one of the dedicated non-volatile memory elements, wherein each anti-fuse 435 can be composed of two anti-fuses 435 in FIG. 30A and FIG. 30C An electrode 436, an electrode 437 and an oxide window 438 (between the electrode 436 and the electrode 437) are formed, wherein FIG. 30C is a schematic cross-sectional view of the anti-fuse 435 in an embodiment of the present invention, in one of the Antifuse 435 is programmed in a non-volatile state, one of electrode 436 and electrode 437 can be switched via switch 433 to be coupled to a node N51 at power supply voltage or ground reference voltage, so a high voltage can be Applied between electrode 436 and electrode 437 to break the oxidation window 438 . After one of the anti-fuses 435 is programmed, one of the electrode 436 and the electrode 437 can be switched to be coupled to a node N52 via the switch 433 to be coupled to the on-chip safety circuit. Both the electrode 436 and the electrode 437 can be made of metal or Alternatively, one of the electrodes 436 and 437 is made of metal, and the other of the electrodes 436 and 437 is made of polysilicon. Alternatively, each dedicated non-volatile memory element may be replaced by having a dedicated random access memory (RAM) unit for storing the decryption key, wherein the dedicated random access memory unit may be powered by an externally connected battery Powered on, the decryption key can only be programmed into the FPGAIC die 200 (one of the) through a special port of the FPGAIC die 200 (the one of the).

第29L圖為本發明實施例一POP封裝結構的剖面示意圖,如第29L圖所示,在形成一POP封裝結構611中,在第28K圖中的多個單層晶片封裝結構或小晶片550可被提供,其具有TISD或FISD101或BISD79的交互連接線金屬層27與銅柱158相同電路布局,且單層晶片封裝結構或小晶片550的半導體晶片100可以相同的。上面的單層晶片封裝結構或小晶片550所提供的金屬凸塊、柱或接墊570可黏著下面的單層晶片封裝結構或小晶片550的金屬接墊或凸塊583上,形成厚度介於20μm至100μm之間的一銲料接點526在二者之間,一底部填充材料527(例如是聚合物)可被形成在上面的及下面的單層晶片封裝結構或小晶片550之間並包住該銲料接點526。最底部的單層晶片封裝結構或小晶片550所提供的金屬凸塊、柱或接墊570可黏著至線路板530上形成一銲料接點526於二者之間,底部填充材料527(例如是聚合物)可被形成在最底部的單層晶片封裝結構或小晶片550與線路板530之間並包住銲料接點526。每一半導體晶片100可以是FPGAIC晶片、DPIIC晶片、NVMIC晶片(例如是NAND或NOR快閃記憶體晶片)、專用I/O晶片、PCIC晶片(例如是DSP晶片、CPU晶片、TPU晶片或APU晶片)、HBM晶片(例如DRAM晶片、SRAM晶片、MRAM晶片或RRAM晶片)、專用控制晶片、專用控制及I/O晶片、及I/O晶片、IAC晶片(例如類比IC晶片、混合模組IC晶片、RFIC晶片)、DCIAC晶片或DCDI/OIAC晶片。FIG. 29L is a schematic cross-sectional view of a POP package structure according to an embodiment of the present invention. As shown in FIG. 29L, in forming a POP package structure 611, the plurality of single-layer chip package structures or chiplets 550 in FIG. 28K can be It is provided that the interconnect wire metal layer 27 of TISD or FISD 101 or BISD 79 has the same circuit layout as copper pillars 158 , and the semiconductor die 100 of the single layer die package structure or die 550 may be the same. The metal bumps, pillars or pads 570 provided by the upper single-layer chip package structure or the chiplet 550 can be adhered to the metal pads or bumps 583 of the lower single-layer chip package structure or the chiplet 550 to form a thickness between A solder joint 526 between 20 μm and 100 μm in between, an underfill material 527 (eg, a polymer) can be formed between the overlying and underlying single-layer chip package structures or dielets 550 and encapsulated Hold the solder contact 526. The metal bumps, pillars or pads 570 provided by the bottommost single-layer chip package structure or chiplet 550 can be adhered to the circuit board 530 to form a solder contact 526 therebetween, and an underfill material 527 (such as a polymer) may be formed between the bottommost single-layer die package structure or die 550 and the circuit board 530 and encapsulate the solder contacts 526. Each semiconductor chip 100 may be an FPGAIC chip, a DPIIC chip, an NVMIC chip (eg, a NAND or NOR flash memory chip), a dedicated I/O chip, a PCIC chip (eg, a DSP chip, a CPU chip, a TPU chip, or an APU chip) ), HBM chips (eg, DRAM chips, SRAM chips, MRAM chips, or RRAM chips), dedicated control chips, dedicated control and I/O chips, and I/O chips, IAC chips (eg, analog IC chips, hybrid module IC chips) , RFIC wafer), DCIAC wafer or DCDI/OIAC wafer.

如第29L圖所示,每一單晶片封裝結構或小晶片550可包括如第28L圖中的一旁路交互連接線528,用以耦接第一個該單晶片封裝結構或小晶片550之半導體晶片100(在每一單晶片封裝結構或小晶片550上面)至第二個單晶片封裝結構或小晶片550的半導體晶片100或耦接至位在單晶片封裝結構或小晶片550下方的線路板530(即不耦接單晶片封裝結構或小晶片550的半導體晶片100),每一該單晶片封裝結構或小晶片550的旁路交互連接線528可具有一較高的端點位在單晶片封裝結構或小晶片550的其中之一金屬接墊或凸塊583上及具有一較低的端點位在單晶片封裝結構或小晶片550的其中之一金屬凸塊、柱或接墊570,其中介於較高的端點與較低的端點之間的水平距離可大於或等於單晶片封裝結構或小晶片550的其中之一金屬凸塊、柱或接墊570的最大橫向尺寸,或大於或等於單晶片封裝結構或小晶片550的二相鄰金屬凸塊、柱或接墊570之間的間距,其中較高的端點可依序經由單晶片封裝結構或小晶片550的BISD79之交互連接線金屬層27、單晶片封裝結構或小晶片550的其中之一銅柱及單晶片封裝結構或小晶片550的TISD或FISD101之交互連接線金屬層27耦接至較低的端點;其中第一個單晶片封裝結構或小晶片550的半導體晶片100可依序經由第一個單晶片封裝結構或小晶片550的TISD或FISD101之交互連接線金屬層27、介於第一個單晶片封裝結構或小晶片550與每一個單晶片封裝結構或小晶片550之間的其中之一銲料接點526、每一個單晶片封裝結構或小晶片550的旁路交互連接線528、介於第二個單晶片封裝結構或小晶片550與每一個單晶片封裝結構或小晶片550之間的其中之一銲料接點526、第二個單晶片封裝結構或小晶片550的BISD79之每一交互連接線金屬層27、第二個單晶片封裝結構或小晶片550的其中之一銅柱158及第二個單晶片封裝結構或小晶片550的TISD或FISD101之交互連接線金屬層27耦接至第二個單晶片封裝結構或小晶片550的半導體晶片100;或第一個單晶片封裝結構或小晶片550的半導體晶片100可依據經由第一個單晶片封裝結構或小晶片550的TISD或FISD101之交互連接線金屬層27、介於第一個單晶片封裝結構或小晶片550與每一單晶片封裝結構或小晶片550之間的其中之一銲料接點526、每一單晶片封裝結構或小晶片550的旁路交互連接線528及介於線路板530與每一單晶片封裝結構或小晶片550之間的銲料接點526耦接至線路板530,其中從介於第一個單晶片封裝結構或小晶片550與每一單晶片封裝結構或小晶片550之間的其中之一銲料接點526至介於第二個單晶片封裝結構或小晶片550與每一單晶片封裝結構或小晶片550之間的其中之一銲料接點526之間的水平距離或是介於線路板530與每一單晶片封裝結構或小晶片550之間的水平距離可大於或等於介於第二個單晶片封裝結構或小晶片550與每一單晶片封裝結構或小晶片550之間的其中之一銲料接點526的最大橫向尺寸,或介於線路板530與每一單晶片封裝結構或小晶片550之間的其中之一銲料接點526的最大橫向尺寸可大於或等於介於第二個單晶片封裝結構或小晶片550與每一單晶片封裝結構或小晶片550之間每二相鄰銲料接點526之間的間距,或大於或等於介於線路板530與每一單晶片封裝結構或小晶片550之間的距離。另外POP封裝結構611可包括一電源或接地匯流排529耦接至每一單晶片封裝結構或小晶片550的半導體晶片100且耦接位在該線路板530下方的銲料凸塊或球523,每一單晶片封裝結構或小晶片550的BISD79之每一交互連接線金屬層27、一個(或多個)銅柱158、TISD或FISD101之每一交互連接線金屬層27可提供作為電源或接地匯流排529,以將電源電壓或接地參考電壓傳送到每一單晶片封裝結構或小晶片550的半導體晶片100。As shown in FIG. 29L, each single chip package structure or chiplet 550 may include a bypass interconnection line 528 as shown in FIG. 28L for coupling to the semiconductor of the first single chip package structure or chiplet 550. Die 100 (above each single die package or dielet 550 ) to semiconductor die 100 of a second single die package or dielet 550 or coupled to a circuit board located below the single die package or dielet 550 530 (ie, the semiconductor die 100 not coupled to a single die package or die 550), the bypass interconnect 528 of each single die package or die 550 may have a higher end point on the die on one of the metal pads or bumps 583 of the package structure or chiplet 550 and having a lower end on one of the metal bumps, studs or pads 570 of the single chip package structure or chiplet 550, wherein the horizontal distance between the upper end point and the lower end point may be greater than or equal to the largest lateral dimension of one of the metal bumps, posts or pads 570 of the single chip package structure or die 550, or Greater than or equal to the spacing between two adjacent metal bumps, studs or pads 570 of the single chip package structure or chiplet 550 , wherein the higher end point can pass through one of the BISD79 of the single chip package structure or chiplet 550 in sequence The interconnect metal layer 27, one of the copper pillars of the single chip package structure or chiplet 550 and the interconnect line metal layer 27 of the TISD or FISD 101 of the single chip package structure or chiplet 550 are coupled to the lower terminal; The semiconductor chip 100 of the first single-chip package structure or chiplet 550 can pass through the interconnection wire metal layer 27 of the TISD or FISD101 of the first single-chip package structure or chiplet 550 , between the first single-chip One of the solder joints 526 between the package or die 550 and each single die package or die 550, the bypass interconnect 528 of each single die package or die 550, the second One of the solder joints 526 between a single chip package structure or die 550 and each of the single chip package structures or chiplets 550 , each interconnection line of the BISD 79 of the second single chip package structure or die 550 The metal layer 27, one of the copper pillars 158 of the second single chip package structure or chiplet 550 and the interconnection wire metal layer 27 of the TISD or FISD 101 of the second single chip package structure or chiplet 550 are coupled to the second single chip package structure or chiplet 550 The semiconductor die 100 of a single chip package structure or die 550; or the semiconductor die 100 of the first single die package structure or die 550 may be based on the interaction of the TISD or FISD 101 via the first single die package structure or die 550 wire metal layer 27, one of the solder joints 526 between the first single chip package structure or chiplet 550 and each single chip package structure or chiplet 550, each single chip package structure The bypass interconnects 528 of the or die 550 and the solder contacts 526 between the circuit board 530 and each single die package structure or die 550 are coupled to the circuit board 530, with One of the solder joints 526 between the chip package structure or chiplet 550 and each single chip package structure or chiplet 550 to between the second single chip package structure or chiplet 550 and each single chip package structure or The horizontal distance between one of the solder contacts 526 between the chiplets 550 or the horizontal distance between the circuit board 530 and each single chip package structure or chiplet 550 may be greater than or equal to that between the second one The largest lateral dimension of one of the solder contacts 526 between the single chip package or die 550 and each single chip package or die 550, or between the circuit board 530 and each single chip package or chiplet The largest lateral dimension of one of the solder contacts 526 between 550 may be greater than or equal to every two adjacent solders between the second single chip package structure or die 550 and each single chip package structure or chiplet 550 The spacing between the contacts 526 is greater than or equal to the distance between the circuit board 530 and each single chip package structure or chiplet 550 . In addition, the POP package 611 may include a power or ground bus 529 coupled to the semiconductor die 100 of each single chip package or die 550 and coupled to the solder bumps or balls 523 located under the circuit board 530, each Each interconnect metal layer 27 of BISD 79, one (or more) copper pillar(s) 158, each interconnect metal layer 27 of TISD or FISD 101 of a single chip package structure or die 550 may be provided as a power or ground bus Row 529 to deliver the power supply voltage or ground reference voltage to the semiconductor die 100 of each single die package structure or die 550 .

鰭式場效應電晶體(FinFieldEffectTransistor,FinFET)Fin Field Effect Transistor (FinFieldEffectTransistor, FinFET)

第31A圖為本發明實施例中一鰭式場效應電晶體(FinFET)的結構示意圖,第31B圖為本發明實施例中第31A圖中延著B-B剖面線的FinFET剖面示意圖,如第31A圖及第31B圖所示,一鰭式場效電晶體4可被形成在一P型或N型半導體基板2(即矽基板)上,在此案例中,P型矽基板提供耦接至接地參考電壓Vss的P型矽基板2,該鰭式場效電晶體4可包括:31A is a schematic structural diagram of a fin field effect transistor (FinFET) according to an embodiment of the present invention, and FIG. 31B is a schematic cross-sectional view of the FinFET along the BB section line in FIG. 31A according to an embodiment of the present invention, as shown in FIGS. 31A and 31A. As shown in FIG. 31B, a fin field effect transistor 4 can be formed on a P-type or N-type semiconductor substrate 2 (ie, a silicon substrate), in this case, the P-type silicon substrate provides a ground reference voltage Vss. P-type silicon substrate 2, the fin field effect transistor 4 may include:

(1)P型條731形成具有一P型阱732在P型矽基板2中及一P型鰭733垂直地凸出於P型阱732的上表面上且朝著一第一方向延伸,其中P型阱732可具有一深度d1wP 介於0.3至5μm之間及具有一寬度w1wP 介於20nm至1μm之間,其中該P型鰭733可具有一高度h1fP 介於10至200nm及一寬度w1fP 介於1至100nm。(1) The P-type strip 731 is formed with a P-type well 732 in the P-type silicon substrate 2 and a P-type fin 733 vertically protruding from the upper surface of the P-type well 732 and extending toward a first direction, wherein The P-type well 732 may have a depth d1 wP between 0.3 and 5 μm and a width w1 wP between 20 nm and 1 μm, wherein the P-type fin 733 may have a height h1 fP between 10 and 200 nm and a The width w1 fP is between 1 and 100 nm.

(2)一場氧化物729(例如是氧化矽)位在該P型阱732上且位在P型矽基板2的上方,其中該場氧化物729可具有一厚度tox1 介於20至500nm之間,其中該場氧化物729可被用作為淺溝槽隔離層;(2) A field oxide 729 (eg, silicon oxide) is located on the P-type well 732 and above the P-type silicon substrate 2 , wherein the field oxide 729 may have a thickness tox 1 ranging from 20 to 500 nm time, where the field oxide 729 can be used as a shallow trench isolation layer;

(3)一閘極737朝著一第二方向(大致上垂直於該第一方向)橫向延伸,從P型鰭733的一側壁延伸至P型鰭733的其它側壁且位在場氧化物729的上方,其中該閘極737可具有一閘極長度LgN1 位在P型鰭733的上方且該閘極長度LgN1 可小於或等於10nm,其中該閘極737可包括一工作功能金屬層738位在該P型鰭733的上方且位在P型鰭733的二相對側壁上並位在場氧化物729的上方,其中該工作功能金屬層738可以是厚度介於2nm至20nm之間的鈦層、鉭層、氮化鈦層或氮化鉭層,且一導電金屬層739位在該工作功能金屬層738上、位在P型鰭733上方、位在P型鰭733的相對二側上且位在場氧化物729的上方,其中該導電金屬層739可以是厚度介於10至100nm之間的含鋁金屬層。(3) A gate electrode 737 extends laterally toward a second direction (substantially perpendicular to the first direction), extending from one sidewall of the P-type fin 733 to the other sidewall of the P-type fin 733 and located in the field oxide 729 above, the gate 737 may have a gate length Lg N1 located above the P-type fin 733 and the gate length Lg N1 may be less than or equal to 10 nm, wherein the gate 737 may include a functional metal layer 738 Above the P-type fin 733 and on two opposite sidewalls of the P-type fin 733 and above the field oxide 729, wherein the working functional metal layer 738 may be titanium with a thickness between 2nm and 20nm layer, tantalum layer, titanium nitride layer or tantalum nitride layer, and a conductive metal layer 739 is located on the working functional metal layer 738, located above the P-type fin 733, and located on opposite sides of the P-type fin 733 and above the field oxide 729, wherein the conductive metal layer 739 may be an aluminum-containing metal layer with a thickness between 10 and 100 nm.

(4)一閘極氧化物740朝著第二方向橫向延伸,從P型鰭733的一側壁至P型鰭733的其它側壁且在場氧化物729上,該閘極氧化物740提供位在P型鰭733的相對側壁及頂部上、介於閘極737及P型鰭733的相對側壁及頂部之間及介於閘極737與場氧化物729之間,其中閘極氧化物740可以是物理厚度小於4.5nm、3nm、2nm或介於1nm至3.5nm之間的氧化鉭(TaO)的氧化鉿(HfO)層。(4) A gate oxide 740 extends laterally toward the second direction, from one sidewall of the P-type fin 733 to the other sidewall of the P-type fin 733 and on the field oxide 729, the gate oxide 740 is provided on the On opposing sidewalls and tops of P-type fins 733 , between gate 737 and the opposing sidewalls and tops of P-type fins 733 , and between gate 737 and field oxide 729 , where gate oxide 740 may be A hafnium oxide (HfO) layer of tantalum oxide (TaO) having a physical thickness less than 4.5 nm, 3 nm, 2 nm, or between 1 nm and 3.5 nm.

閘極全環電晶體(Gate-All-AroundField-Effect-Transistor(GAAFET))Gate-All-AroundField-Effect-Transistor (GAAFET)

第32A圖為本發明實施例中閘極全環電晶體的結構的示意圖,第32B圖為第32A圖中閘極全環電晶體延著剖面線C-C之結構剖面示意圖,如第32A圖及第32B圖所示,閘極全環電晶體4可形成在P型或N型半導體基板2(例如矽基板)上,在這案例中,閘極全環電晶體4提供耦接至接地參考電壓Vss的P型矽基板2,該閘極全環電晶體4可包括:FIG. 32A is a schematic diagram of the structure of the gate full-ring transistor in the embodiment of the present invention, and FIG. 32B is a schematic cross-sectional view of the gate full-ring transistor along the section line CC in FIG. 32A, as shown in FIGS. 32A and 32A. As shown in FIG. 32B, the gate full ring transistor 4 may be formed on a P-type or N-type semiconductor substrate 2 (eg, a silicon substrate). In this case, the gate full ring transistor 4 provides a connection to the ground reference voltage Vss The P-type silicon substrate 2, the gate full ring transistor 4 may include:

(1)P型條831形成具有一P型阱832在P型矽基板2中及一P型鰭833垂直地凸出於P型阱832的上表面上且朝著一第一方向延伸,其中P型阱832可具有一深度d2wP 介於0.3至5μm之間及具有一寬度w2wP 介於50nm至1μm之間,其中該P型鰭833可具有一高度h2fP 介於10至200nm及一寬度w2fP 介於1至100nm,其中縱向開口833a可以形成在P型鰭833中,延著第一方向延伸的每一個縱向開口833a相互平行,以形成p型鰭833的多個相互平行的縱向部分833b,其中每一縱向開口833a具有介於5至20nm之間或介於10至200nm之間或介於1至100之間的一寬度sg1 ,寬度sg1 即是介於P型鰭833的每二相鄰縱向部分833b之間的空間。(1) The P-type strip 831 is formed with a P-type well 832 in the P-type silicon substrate 2 and a P-type fin 833 vertically protruding from the upper surface of the P-type well 832 and extending toward a first direction, wherein The P-type well 832 may have a depth d2 wP between 0.3 and 5 μm and a width w2 wP between 50 nm and 1 μm, wherein the P-type fin 833 may have a height h2 fP between 10 and 200 nm and a The width w2 fP ranges from 1 to 100 nm, wherein the longitudinal openings 833 a may be formed in the P-type fins 833 , and each longitudinal opening 833 a extending along the first direction is parallel to each other, so as to form a plurality of mutually parallel longitudinal directions of the p-type fins 833 portion 833b, wherein each longitudinal opening 833a has a width sg 1 between 5 and 20 nm, or between 10 and 200 nm, or between 1 and 100, and the width sg 1 is between the P-type fins 833 space between every two adjacent longitudinal portions 833b.

(2)一場氧化物829(例如氧化矽層)位在P型阱832上及位在P型矽基板2上方,其中該場氧化物829可具有一厚度tox2 介於20至500nm之間,其中該場氧化物829可用作為淺溝槽隔離層;(2) A field oxide 829 (such as a silicon oxide layer) is located on the P-type well 832 and above the P-type silicon substrate 2, wherein the field oxide 829 may have a thickness tox 2 between 20 and 500 nm, Wherein the field oxide 829 can be used as a shallow trench isolation layer;

(3)一閘極837包括一工作功能金屬層838位在該P型鰭833的上方且位在P型鰭833的二相對側壁上(在P型鰭833中的每一縱向開口833a中)並位在場氧化物829的上方,其中該工作功能金屬層838可以是厚度介於2nm至20nm之間的鈦層、鉭層、氮化鈦層或氮化鉭層,且一導電金屬層839位在該工作功能金屬層838上、位在P型鰭833上方、位在P型鰭833的相對二側上(在P型鰭833中的每一縱向開口833a中)且位在場氧化物829的上方,其中該導電金屬層839可以是厚度介於10至100nm之間的含鋁金屬層,其中該閘極837可具有一閘極長度LgN2位在該P型鰭833的上方且閘極長度LgN2可小於或等於10nm,其中該閘極837可,以及。(3) A gate 837 includes a functional metal layer 838 located above the P-type fin 833 and on two opposite sidewalls of the P-type fin 833 (in each longitudinal opening 833a in the P-type fin 833 ) and located above the field oxide 829, wherein the working functional metal layer 838 may be a titanium layer, a tantalum layer, a titanium nitride layer or a tantalum nitride layer with a thickness between 2nm and 20nm, and a conductive metal layer 839 on the working functional metal layer 838, above the P-type fin 833, on opposite sides of the P-type fin 833 (in each longitudinal opening 833a in the P-type fin 833), and in the field oxide Above 829, wherein the conductive metal layer 839 can be an aluminum-containing metal layer with a thickness between 10 and 100 nm, wherein the gate 837 can have a gate length LgN2 is positioned above the P-type fin 833 and the gate is The length LgN2 may be less than or equal to 10 nm, where the gate 837 may be, and.

(4)一閘極氧化物840位在該P型鰭833的頂部、位在P型鰭833的相對側壁上、在P型鰭833中的每一縱向開口833a中及在場氧化物829上,閘極氧化物840可提供位在閘極837與P型鰭833之間及位在閘極837與場氧化物829之間,其中該閘極氧化物840可以是物理厚度小於4.5nm、3nm、2nm或介於1nm至3.5nm之間的氧化鉭(TaO)的氧化鉿(HfO)層。(4) A gate oxide 840 on top of the P-type fin 833, on opposite sidewalls of the P-type fin 833, in each longitudinal opening 833a in the P-type fin 833, and on the field oxide 829 , the gate oxide 840 may be provided between the gate 837 and the P-type fin 833 and between the gate 837 and the field oxide 829, wherein the gate oxide 840 may have a physical thickness of less than 4.5nm, 3nm , 2 nm, or a hafnium oxide (HfO) layer of tantalum oxide (TaO) between 1 nm and 3.5 nm.

因此,如第32A圖至第32B圖所示,P型鰭833的每一縱向部分833b可被閘極氧化物840、該閘極837的工作功能金屬層838及閘極837的導電金屬層839環繞包圍。Therefore, as shown in FIGS. 32A to 32B , each longitudinal portion 833b of the P-type fin 833 can be covered by the gate oxide 840 , the working functional metal layer 838 of the gate 837 and the conductive metal layer 839 of the gate 837 . wrap around.

可編程邏輯區塊programmable logic block

第33圖為本發明實施例中一可編程邏輯區塊(LB)的方塊示意圖,第一型可編程邏輯區塊(LB)中具有第6A圖中的結構。或者,在此頁面的每一實施例中,第一型可編程邏輯區塊(LB)可被第33圖中之第二型可編程邏輯區塊(LB)201取代,如第33圖所示,第二型可編程邏輯區塊(LB)201可包括:(1)二個邏輯閘極或電路2031,每一個可參考至第6A圖中的一個電路且在一第一資料集中具有三個資料輸入且分別耦接至第二型可編程邏輯區塊(LB)201的三個資料輸入A0-A2,其中二個閘極或電路2031中的每一個可依據第一資料組從在一第二資料組中的多個結果值中選擇輸入資料(該些第二資料組係儲存在記憶體490中)作為一資料輸出,(2)具有二位元資料輸入的一固定線加法單元(即全加法器),每一個耦接至其中之一邏輯閘極或電路2031的一資料輸出,其中該加法單元2016可被配置為將其攜帶式資料輸入(carry-indatainput)耦接至第二型可編程邏輯區塊(LB)201的資料輸入Cin且從前一級加法單元2016的一個進位資料輸出,將其兩位資料輸入相加作為其兩個資料輸出,其中之一個可被配置為加法總合的一第一資料輸出,且其它的可被配置為加法進位的一第二個資料輸出耦接至第二種可編程邏輯塊(LB)201的資料輸出Cout,且傳遞給下一級加法單元2016的進位資料輸入,(3)一多工器2032(即LUT選擇多工器),在一第一輸入資料組中的一資料輸入耦接至第二型可編程邏輯區塊(LB)201的一資料輸入A3且在一第二組輸入資料組中之二個資料輸入耦接其中之一邏輯閘極或電路2031的資料輸出,其中多工器2032可依據第一輸入資料組,從第二輸入資料組中選擇一輸入資料作為一資料輸出,(4)一多工器2033(即加法選擇多工器(addition-selectionmultiplexer))具有在第一輸入資料組中的一資料輸入耦接至儲存在第二型可編程邏輯區塊(LB)201中之記憶體單元(未繪示)的一編程碼及在一第二輸入資料組中的二個資料輸入,其中之一個可耦接至固定線加法單元2016的第一資料輸出而其它的可耦接至多工器2032的資料輸出,其中多工器2033可依據第一輸入資料組從第二輸入資料組中選擇一輸入資料作為一資料輸出,其可以是非同步的,(5)D型觸發器電路2034具有一第一資料輸入耦接多工器2033的資料輸出,以被登記或儲存於其中且一第二資料輸入耦接在一時脈匯流排2035上的一時脈訊號clk,其中D型觸發器電路2034可依據第二資料輸入同步產生與第一資料輸入相關聯的一資料輸入及D型觸發器電路2034的資料輸出可與時脈信號clk同步,及(6)一多工器2036(即同步選擇多工器)在一第一輸入組中的一資料輸入耦接第二型可編程邏輯區塊(LB)201的一記憶體單元(未繪示)及在一第二輸入資料組中的二個資料輸入,其中之一個可耦接至多工器2033的資料輸出且其它的可耦接D型觸發器電路2034的的資料輸出,其中多工器2036可依據第一輸入資料組從第二輸入資料組中選擇一輸入資料作為一資料輸出,其可以作為第二型可編程邏輯區塊(LB)201的一資料輸出Dout,每一多工器2033及多工器2036的記憶體單元可以是晶片上揮發性記憶體單元(其可以參考第1A圖或第1B圖中的記憶體單元398)或是一晶片上非揮發性記憶體單元,例如是浮空閘極非揮發性記憶體單元、RRAM單元、MRAM單元或FRAM單元,用以保留或儲存用於每一多工器2033及2036的編程碼。每一個多工器2033及2036的每一個具有第一輸入資料組中的資料輸入(其與每一多工器2033與2036的記憶體單元的一資料輸入(即配置編程記憶體(CPM)資料)相關聯),例如在第1A圖或第1B圖中的記憶體單元398的第一資料輸出Out1及第二資料輸出Out2的其中之一個。當在此頁面中的每一晶片封裝結構550及邏輯驅動器300及610中的每一FPGAIC晶片200可提供具有第二型可編程邏輯區塊(LB)201,用於每一多工器2033及2036的記憶體單元中儲存的資料可從儲存在每一晶片封裝結構550及邏輯驅動器300及610中的非揮發性記憶體晶片250的多個非揮發性記憶體單元中的資料中傳送。FIG. 33 is a schematic block diagram of a programmable logic block (LB) according to an embodiment of the present invention. The first type programmable logic block (LB) has the structure shown in FIG. 6A . Alternatively, in each embodiment of this page, the first type programmable logic block (LB) may be replaced by the second type programmable logic block (LB) 201 in FIG. 33, as shown in FIG. 33 , the second type programmable logic block (LB) 201 may include: (1) two logic gate OR circuits 2031, each of which may refer to a circuit in FIG. 6A and has three in a first data set The data inputs are respectively coupled to the three data inputs A0-A2 of the second type programmable logic block (LB) 201, wherein each of the two gate OR circuits 2031 can be selected from a first data set according to the first data set. Selected input data from multiple result values in two data sets (these second data sets are stored in memory 490) as a data output, (2) a fixed line addition unit with two-bit data input (ie full adder), each coupled to one of the logic gates or a data output of circuit 2031, wherein the adder unit 2016 can be configured to couple its carry-indata input to the second type The data input of the programmable logic block (LB) 201 is Cin and a carry data output from the previous stage addition unit 2016, and its two data inputs are added as its two data outputs, one of which can be configured as an addition sum A first data output of , and a second data output that can be configured as an addition carry is coupled to the data output Cout of the second programmable logic block (LB) 201 and passed to the next-stage addition unit 2016 (3) a multiplexer 2032 (ie, LUT selection multiplexer), a data input in a first input data group is coupled to the second type programmable logic block (LB) 201 A data input A3 and two data inputs in a second set of input data sets are coupled to one of the data outputs of the logic gate OR circuit 2031, wherein the multiplexer 2032 can select from the second input data set according to the first input data set An input data in the input data set is selected as a data output, (4) a multiplexer 2033 (ie an addition-selection multiplexer) has a data input in the first input data set coupled to storage A programming code for memory cells (not shown) in the second type programmable logic block (LB) 201 and two data inputs in a second input data set, one of which can be coupled to a fixed The first data output of the line addition unit 2016 and others can be coupled to the data outputs of the multiplexer 2032, wherein the multiplexer 2033 can select an input data from the second input data set as a data output according to the first input data set , which can be asynchronous, (5) the D-type flip-flop circuit 2034 has a first data input coupled to the data output of the multiplexer 2033 to be registered or stored therein and a second data input coupled to a clock A clock signal clk on the bus bar 2035, wherein the D-type flip-flop circuit 2034 can output according to the second data The in-sync generates a data input associated with the first data input and the data output of the D-type flip-flop circuit 2034 can be synchronized with the clock signal clk, and (6) a multiplexer 2036 (ie, a sync selection multiplexer) in A data input in a first input group is coupled to a memory cell (not shown) of the second type programmable logic block (LB) 201 and two data inputs in a second input data group, wherein One can be coupled to the data output of the multiplexer 2033 and the other can be coupled to the data output of the D-type flip-flop circuit 2034, wherein the multiplexer 2036 can select one from the second input data set according to the first input data set. The input data is used as a data output, which can be used as a data output Dout of the second type programmable logic block (LB) 201, and the memory cells of each multiplexer 2033 and multiplexer 2036 can be on-chip volatile memory A body cell (which can refer to memory cell 398 in Figure 1A or 1B) or an on-chip non-volatile memory cell, such as a floating gate non-volatile memory cell, RRAM cell, MRAM cell or FRAM cells to hold or store the programming code for each multiplexer 2033 and 2036. Each of the multiplexers 2033 and 2036 each has a data input in the first input data set (which is associated with a data input of the memory cells of each multiplexer 2033 and 2036 (ie, configuration programming memory (CPM) data) ) associated with ), such as one of the first data output Out1 and the second data output Out2 of the memory cell 398 in FIG. 1A or FIG. 1B . As each FPGAIC chip 200 in each chip package structure 550 and logic drivers 300 and 610 in this page may be provided with a second type programmable logic block (LB) 201 for each multiplexer 2033 and The data stored in the memory cells of 2036 may be transferred from the data stored in the multiple non-volatile memory cells of the non-volatile memory chips 250 in each chip package structure 550 and logic drives 300 and 610.

第34圖為本發明實施例中一可編程邏輯單元或元件的方塊示意圖。或者,在此頁面的每一實施例中,第一型可編程邏輯區塊(LB)可被第33圖中之第三型可編程邏輯區塊(LB)201取代,如第34圖所示,第三型可編程邏輯區塊(LB)201可包括一個邏輯操作器或電路2037具有在一第一輸入資料組中的4位元資料輸入分別耦接第三型可編程邏輯區塊(LB)201的4個資料輸入A0-A3及在第一輸入資料組的一進位資料輸入(carry-indatainput)耦接至第三型可編程邏輯區塊(LB)201的一資料輸入Cin,其中邏輯操作器或電路2037被配置依據第一輸入資料組從一第二輸入資料組中多個結果值中選擇一第一資料輸入作為一第一資料輸出,其中該些結果值儲存在多個第一記憶體單元,且依據第一輸入資料組從一第二輸入資料組中多個結果值中選擇一第二資料輸入作為一第二資料輸出,其中該些結果值儲存在多個第二記憶體單元(未繪示)。例如,當邏輯操作器或電路2037執行一加法操作時,該邏輯操作器或電路2037可配置從前一階段的另一個邏輯運算器或電路2037的進位資料輸出中獲取其進位資料輸入,以考慮相加4位元資料輸入中的二個作為第一資料輸出,用於加法的總合,其第二資料輸出用於第三型可編程邏輯塊(LB)201的資料輸出Cout處的加法進位,其可與下一階段的另一個邏輯運算器或電路2037之一進位資料輸入相關聯。另一實施例,當邏輯操作器或電路2037執行一邏輯操作時,邏輯操作器或電路2037可被配置依據第一輸入資料組從第二輸入資料組中多個結果值中選擇一資料輸入,以作為用於邏輯操作的第一資料輸出,用於邏輯操作器或電路2037的每一第一及第二記憶體單元可以是是晶片上揮發性記憶體單元(其可以參考第1A圖或第1B圖中的記憶體單元398)或是一晶片上非揮發性記憶體單元,例如是浮空閘極非揮發性記憶體單元、RRAM單元、MRAM單元或FRAM單元,用以保留或儲存用於邏輯運算器或電路2037的結果值,當在此頁面中的每一晶片封裝結構550及邏輯驅動器300及610中的每一FPGAIC晶片200是提供具有第三型可編程邏輯區塊(LB)201,用於邏輯運算器或電路2037的第一及第二記憶體單元中儲存的結果值可從儲存在每一晶片封裝結構550及邏輯驅動器300及610中的非揮發性記憶體晶片250的多個非揮發性記憶體單元中的資料中傳送。FIG. 34 is a schematic block diagram of a programmable logic unit or device according to an embodiment of the present invention. Alternatively, in each embodiment of this page, the first type programmable logic block (LB) may be replaced by the third type programmable logic block (LB) 201 in FIG. 33, as shown in FIG. 34 , the third type programmable logic block (LB) 201 may include a logic operator or circuit 2037 having a 4-bit data input in a first input data set respectively coupled to the third type programmable logic block (LB) ) 201 4 data inputs A0-A3 and a carry-in data input in the first input data group are coupled to a data input Cin of the third type programmable logic block (LB) 201, wherein the logic The operator or circuit 2037 is configured to select a first data input as a first data output from a plurality of result values in a second input data set according to the first input data set, wherein the result values are stored in the plurality of first data outputs a memory unit, and selects a second data input from a plurality of result values in a second input data set as a second data output according to the first input data set, wherein the result values are stored in a plurality of second memories unit (not shown). For example, when a logical operator or circuit 2037 performs an addition operation, the logical operator or circuit 2037 may be configured to obtain its carry data input from the carry data output of another logical operator or circuit 2037 in the previous stage to account for the phase Two of the 4-bit data inputs are added as the first data output for the summation of the addition, and the second data output is used for the addition carry at the data output Cout of the third type programmable logic block (LB) 201, It can be associated with one of the carry data inputs of another logic operator or circuit 2037 in the next stage. In another embodiment, when the logic operator or circuit 2037 performs a logic operation, the logic operator or circuit 2037 may be configured to select a data input from a plurality of result values in the second input data set according to the first input data set, As the first data output for logic operations, each of the first and second memory cells for the logic operator or circuit 2037 may be an on-chip volatile memory cell (which can be referred to in Figure 1A or Section 2037). Memory cell 398 in Figure 1B) or an on-chip non-volatile memory cell, such as a floating-gate non-volatile memory cell, RRAM cell, MRAM cell, or FRAM cell, is reserved or stored for use in The result value of the logic operator OR circuit 2037, when each FPGAIC chip 200 in each chip package structure 550 and logic drivers 300 and 610 in this page is provided with a third-type programmable logic block (LB) 201 , the resultant values stored in the first and second memory cells for the logic operator or circuit 2037 may vary from a plurality of non-volatile memory chips 250 stored in each chip package 550 and logic drivers 300 and 610 data in a non-volatile memory cell.

如第34圖所示,第三型可編程邏輯區塊(LB)201更可包括:(1)級聯電路(cascadecircuit)2038提供具有邏輯閘極的一第一資料輸入(其與在第三型可編程邏輯區塊(LB)201中的一資料輸入Cas_in相關聯)用於級聯資料,經由一個(或多個)硬線從前一階段中另一第三型可編程邏輯區塊(LB)201(其可具有與第34圖中相同的結構)的一資料輸出Cas_out傳輸且與邏輯運算器或電路2037的第一資料輸出相關聯的一第二資料輸入,其中級聯電路2033的邏輯閘可執行AND或OR邏輯操作在第一及第二資料輸入上,作為級聯電路2033的一資料輸出,其中級聯電路2033的資料輸出可以是非同步的,(2)D型觸發器電路2039的第一資料輸入耦接級聯電路2038的資料輸出以登或儲存於其中,且一第二資料輸入耦接在第三型可編程邏輯區塊(LB)201上的時脈匯流排2040的一時脈訊號,其中其D型觸發器電路2039可依據第二資料輸入同步產生,與第一資料輸入相關聯的一資料輸出與D型觸發器電路2039的資料輸出可與時脈訊號同步,(3)一設定/重置控制電路2041耦接D型觸發器電路2039以依據分別耦接第三型可編程邏輯區塊(LB)201之二個資料輸入F0及F1的二個資料輸入,以設定、重置或不改變D型觸發器電路2039,及(4)一時脈控制電路2042可經由時脈匯流排2040耦接D型觸發器電路2039,其中時脈控制電路2042可依據分別耦接至第三型可編程邏輯區塊(LB)201之二個資料輸入CLK0及CLK1的二資料輸入在數種模式中的其中之一種模式下產生時脈訊號。例如,其時脈控制電路2042可依據資料輸入CLK0被控制啟用或禁用,及在一模式中該時脈訊號可依據第三型可編程邏輯區塊(LB)201之資料輸入CLK1而被控制成相同的一參考時脈;在另一模式該時脈訊號可依據第三型可編程邏輯區塊(LB)201的資料輸入CLK1控制時脈信號反轉為參考時脈。As shown in FIG. 34, the third-type programmable logic block (LB) 201 may further include: (1) a cascade circuit 2038 provides a first data input with a logic gate (which is related to the third A data input Cas_in in type programmable logic block (LB) 201 is associated) for cascading data from another third type programmable logic block (LB) in the previous stage via one (or more) hardwires ) 201 (which may have the same structure as in Fig. 34) a data output Cas_out transmitted and a second data input associated with the first data output of the logic operator OR circuit 2037 in which the logic of the cascade circuit 2033 The gate can perform AND or OR logic operation on the first and second data inputs, as a data output of the cascade circuit 2033, wherein the data output of the cascade circuit 2033 can be asynchronous, (2) D-type flip-flop circuit 2039 The first data input is coupled to the data output of the cascade circuit 2038 to be registered or stored therein, and a second data input is coupled to the clock bus 2040 on the third-type programmable logic block (LB) 201 A clock signal, wherein the D-type flip-flop circuit 2039 can be generated synchronously according to the second data input, a data output associated with the first data input and the data output of the D-type flip-flop circuit 2039 can be synchronized with the clock signal, ( 3) A set/reset control circuit 2041 is coupled to the D-type flip-flop circuit 2039 to be respectively coupled to the two data inputs F0 and F1 of the third-type programmable logic block (LB) 201 to Setting, resetting or not changing the D-type flip-flop circuit 2039, and (4) a clock control circuit 2042 can be coupled to the D-type flip-flop circuit 2039 via the clock bus 2040, wherein the clock control circuit 2042 can be respectively coupled according to The two data inputs CLK0 and CLK1 to the third type programmable logic block (LB) 201 generate clock signals in one of several modes. For example, the clock control circuit 2042 thereof can be controlled to be enabled or disabled according to the data input CLK0, and in one mode the clock signal can be controlled according to the data input CLK1 of the third type programmable logic block (LB) 201 to be The same reference clock; in another mode, the clock signal can be inverted to the reference clock according to the data input CLK1 of the third-type programmable logic block (LB) 201 .

如第34圖所示,第三型可編程邏輯區塊(LB)201更可包括一多工器2043(即同步選擇多工器),在一第一輸入資料組中的一資料輸入耦接第三型可編程邏輯區塊(LB)201的一記憶體單元(未繪示)及在一第二輸入資料組中的二個資料輸入,其中的一個可耦接級聯電路2038的資料輸出且其它的可耦接D型觸發器電路2039之資料輸出,其中多工器2043可依據第一輸入資料組從第二輸入資料組中選擇一輸入資料作為一資料輸出,其可以作為第三型可編程邏輯區塊(LB)201的一資料輸出Dout,第三型可編程邏輯區塊(LB)201更可包括用於級聯資料的一資料輸出Cas_out,耦接級聯電路2038的資料輸出,且第三型可編程邏輯區塊(LB)201的資料輸出Cas_out更可包括一資料輸出Cas_out可經由一個(或多個)硬線至下級中另一第三型可編程邏輯區塊(LB)201的資料輸入Cas_in,該第三型可編程邏輯區塊(LB)201具有與第34圖中相同的結構。As shown in FIG. 34, the third-type programmable logic block (LB) 201 may further include a multiplexer 2043 (ie, a synchronous selection multiplexer), and a data input in a first input data set is coupled to A memory cell (not shown) of the third type programmable logic block (LB) 201 and two data inputs in a second input data group, one of which can be coupled to the data output of the cascade circuit 2038 And other can be coupled to the data output of the D-type flip-flop circuit 2039, wherein the multiplexer 2043 can select an input data from the second input data group according to the first input data group as a data output, which can be used as the third type A data output Dout of the programmable logic block (LB) 201, the third type programmable logic block (LB) 201 may further include a data output Cas_out for cascading data, coupled to the data output of the cascading circuit 2038 , and the data output Cas_out of the third-type programmable logic block (LB) 201 may further include a data output Cas_out that can be hard-wired to another third-type programmable logic block (LB) in the lower level through one (or more) hard-wired ) 201 is input to Cas_in, and the third type programmable logic block (LB) 201 has the same structure as in FIG. 34 .

大型顆粒重新配置架構(Coarse-GrainedReconfigurableArchitecture(CGRA))Coarse-GrainedReconfigurableArchitecture(CGRA)

第35圖為本發明另一實施例中矩陣型式之多個CGRA的示意圖,在此頁面中的每一實施例中,第一型可編程邏輯區塊(LB)201可被第35圖中之CGRA2041取代且具有多個大型顆粒重新配置(coarse-grainedreconfigurable(CGR))單元2042(即功能的單元區塊(FUBs))、單元或元件排列成矩陣、多個可編程交互連接線361(每一個)介於二相鄰CGA單元2042之間,及多個交叉點開關379(每一個)具有與第7B圖中相同的揭露說明且具有頂部、左邊、底部及右邊端點耦接至位在頂部、左邊、底部及右邊處的四個可編程交互連接線361。如第35圖所示,每一CGA單元2042可包括:(1)功能單元(FU)2043包括複數硬核(hardmacros),例如是DSP片段、GPU硬核、MU硬核、多工器硬核、加法硬核、乘法硬核、算術邏輯單元(arithmeticlogicunit(ALU))硬核、位移電路硬核、比較電路硬核、浮點計算硬核、寄存器或觸發器硬核及/或I/O接口硬核,其中每一硬核可使用固定的硬線(金屬線或連接線)為電路設計、編程和實現,其中功能單元2043可具有一第一組輸入點2044經由其中之一可編程交互連接線361耦接其中之一交叉點開關379,(2)一寄存區塊2045具有多個寄存器或D型觸發器電路2039,每一個用於在其中寄存或臨時儲存與功能單元2043的一資料輸出相關聯的資料且根據時鐘時脈訊號通過,經由一個(或多個)可編程交互連接線361儲存在每一寄存器或D型觸發器電路2039中的資料,以將在下一階段分發或存取給另一個(或多個)CGR單元2042,其中功能單元2043的的第一組輸入點2044可從之前階段中的另一個(或多個)CGR單元2042中之寄存區塊2045經由己耦接一個(或多個)可編程交互連接線361的一個(或多個)交叉點開關379接收資料,(3)寄存檔案記憶體區塊2046具有多個記憶體單元,每一個可以是第1A圖或第1B圖中的SRAM單元(具有相同的揭露說明),用於臨時儲存寄存檔案於其中,該寄存檔案與功能單元2043在時間段之一內的資料輸出相關聯,且根據時脈信號將存儲在SRAM單元中的資料傳遞到其功能單元2043的第二組輸入點2047之一,(4)一程式計算器(programcounter,(PC))2048(即指令指針(instructionpointer))具有多個第一記憶體單元,例如是用於在其中臨時儲存多個指令位址的指令地址寄存器,在程序序列中指向其功能單元2043的一個(或多個)算術邏輯單元,及(5)一指令記憶體區塊或片段2049具有多個第二記憶體單元,每一個可以是第1A圖或第1B圖中的SRAM單元(具有相同的揭露說明),用於臨時儲存多個指令組,該些指令組是一種機器語言或二進制數字代碼,可以從彙編語言(如MOV、ADD或SUB)翻譯而來,每個由其功能單元2043依據與儲存在程式計算器(PC)2048中的指令位址相關聯的資料獲取以指示,在功能單元2043中的一個(或多個)算術邏輯單元對第一組和第二組輸入點2044和2047處的資料執行特定的一個(或多個)操作或邏輯功能,當在頁面中的每一晶片封裝結構550中的每一FPGAIC晶片200及邏輯驅動器300及610提供具有CGRA2041時,儲存在程式計算器(PC)2048的第一記憶體單元中的指令位址及儲存在指令記憶體區塊或片段2049的第二記憶體單元中的指令組可從儲存在每一晶片封裝結構550中的每一FPGAIC晶片200及邏輯驅動器300及610中的非揮發性記憶體晶片250的多個非揮發性記憶體單元中的資料傳送。FIG. 35 is a schematic diagram of a plurality of CGRAs in matrix form in another embodiment of the present invention. In each embodiment on this page, the first type programmable logic block (LB) 201 can be The CGRA 2041 replaces and has a plurality of coarse-grained reconfigurable (CGR) units 2042 (ie functional unit blocks (FUBs)), units or elements arranged in a matrix, a plurality of programmable interconnect lines 361 (each ) between two adjacent CGA cells 2042, and a plurality of cross-point switches 379 (each) have the same disclosure as in Figure 7B and have top, left, bottom and right endpoints coupled to the top , four programmable interconnect lines 361 at left, bottom and right. As shown in FIG. 35, each CGA unit 2042 may include: (1) the functional unit (FU) 2043 includes a complex number of hard macros (hard macros), such as DSP segments, GPU hard cores, MU hard cores, and multiplexer hard cores , Addition hard core, Multiplication hard core, Arithmetic logic unit (ALU) hard core, Shift circuit hard core, Compare circuit hard core, Floating point calculation hard core, Register or flip-flop hard core and/or I/O interface Hard cores, where each hard core can be designed, programmed and implemented using fixed hard wires (metal wires or connecting wires) for the circuit, where the functional units 2043 can have a first set of input points 2044 that can be interconnected via one of the programmable Line 361 is coupled to one of the cross-point switches 379, (2) a register block 2045 has a plurality of registers or D-type flip-flop circuits 2039, each for registering or temporarily storing a data output from the functional unit 2043 therein The associated data is stored in each register or D-type flip-flop circuit 2039 through one (or more) programmable interconnect lines 361 according to the clock signal to be distributed or accessed in the next stage To another CGR unit (or units) 2042, where the first set of input points 2044 of the functional unit 2043 may be coupled from the register block 2045 in the other (or more) CGR unit(s) 2042 in the previous stage via self-coupling One (or more) cross-point switches 379 of one (or more) programmable interconnect lines 361 receive data, (3) registered file memory block 2046 has a plurality of memory cells, each of which may be a Or the SRAM cell in Figure 1B (with the same disclosure description) for temporarily storing therein a register file associated with the data output of the functional unit 2043 during one of the time periods, and according to the clock signal The data stored in the SRAM unit is passed to one of the second set of input points 2047 of its functional unit 2043, (4) a program counter ((PC)) 2048 (ie, the instruction pointer) has a plurality of first A memory unit, such as an instruction address register for temporarily storing a plurality of instruction addresses therein, one (or more) arithmetic logic unit(s) pointing to its functional unit 2043 in the program sequence, and (5) an instruction memory The bank block or segment 2049 has a plurality of second memory cells, each of which may be an SRAM cell (with the same disclosure description) in Fig. 1A or Fig. 1B, for temporarily storing a plurality of instruction sets, the instructions A group is a machine language or binary numeric code that can be translated from assembly language (such as MOV, ADD, or SUB), each associated by its functional unit 2043 with an instruction address stored in a program calculator (PC) 2048 Linked data is acquired to indicate that one (or more) arithmetic logic unit in functional unit 2043 pairs The data at the first and second sets of input points 2044 and 2047 perform a particular one (or more) operation or logic function when each FPGAIC die 200 and logic driver in each die package structure 550 in the page 300 and 610 provide the instruction address stored in the first memory unit of the Program Calculator (PC) 2048 and the instruction set stored in the second memory unit of the instruction memory block or segment 2049 with the CGRA2041. Data transfer from a plurality of non-volatile memory cells of non-volatile memory chips 250 stored in each FPGAIC chip 200 and logic drivers 300 and 610 in each chip package structure 550.

其它雜項Miscellaneous

因此,在此頁面的每一FPGAIC晶片200可被視為可配置、可重配置、可編程的IC晶片,在此頁面中的每一標準商業化邏輯驅動器300及晶片封裝結構500可視為一非揮發性可配置、重新配置及可編程的裝置。在此頁面的每一標準商業化FPGAIC晶片200具有標準共同特徵、數量或規格:(1)可編程的邏輯區塊、單元或元件201,其包括:(i)多個系統閘極,其數量大於或等於2M,10M,20M,50M或100M;(ii)多個可編程邏輯單元或元件,,其數量大於或等於64K,128K,512K,1M,4M或8M,(iii)多個硬核,例如包括DSP片段、GPU硬核、MU硬核、多工器硬核、加法硬核、乘法硬核、算術邏輯單元(arithmeticlogicunit(ALU))硬核、位移電路硬核、比較電路硬核、浮點計算硬核、寄存器或觸發器硬核及/或I/O接口硬核,其中每一硬核係用固定的硬線設計、編譯和實現的電路,及/或(iv)具有位元數量等於或大於1M,10M,50M,100M,200M或500M之記憶體的多個區塊;(2)每一可編程邏輯區塊201或操作器的輸入數量:大於或等於4,8,16,32,64,128或256;(3)電源供應電壓:介於0.1V至8V之間、介於0.1V至6V之間、介於0.1V至2.5V之間、介於0.1V至2V之間、介於0.1V至1.5V之間或介於0.1V至1V之間;(4)在布局、位置、數量及功能上的I/O金屬接墊。Therefore, each FPGA IC chip 200 in this page can be regarded as a configurable, reconfigurable, programmable IC chip, and each standard commercial logic driver 300 and chip package structure 500 in this page can be regarded as a non- Volatile Configurable, Reconfigurable and Programmable Devices. Each standard commercial FPGA IC die 200 on this page has standard common features, quantities or specifications: (1) a programmable logic block, cell or element 201 comprising: (i) a plurality of system gates, the quantity of which is Greater than or equal to 2M, 10M, 20M, 50M or 100M; (ii) multiple programmable logic cells or elements, the number of which is greater than or equal to 64K, 128K, 512K, 1M, 4M or 8M, (iii) multiple hard cores , for example, including DSP fragments, GPU hard cores, MU hard cores, multiplexer hard cores, addition hard cores, multiplication hard cores, arithmetic logic unit (ALU) hard cores, displacement circuit hard cores, comparison circuit hard cores, Floating-point computing hard cores, register or flip-flop hard cores, and/or I/O interface hard cores, wherein each hard core is a circuit designed, compiled and implemented with fixed hard wires, and/or (iv) has bits Multiple blocks of memory with a quantity equal to or greater than 1M, 10M, 50M, 100M, 200M or 500M; (2) Input quantity of each programmable logic block 201 or operator: greater than or equal to 4, 8, 16 ,32,64,128 or 256; (3) Power supply voltage: between 0.1V to 8V, between 0.1V to 6V, between 0.1V to 2.5V, between 0.1V to 2V , between 0.1V and 1.5V or between 0.1V and 1V; (4) I/O metal pads in layout, location, quantity and function.

結論及優點Conclusion and advantages

因此,現有的邏輯ASIC或COTIC晶片產業可經由使用商業化標準邏輯驅動器300被改變成一商業化邏輯運算IC晶片產業,像是現有商業化DRAM或商業化快閃記憶體IC晶片產業,對於同一創新應用,因為商業化標準邏輯驅動器300性能、功耗及工程及製造成本可比優於或等於ASICIC晶片或COTIC晶片,商業化標準邏輯驅動器300可用於作為設計ASICIC晶片或COTIC晶片的代替品,現有邏輯ASICIC晶片或COTIC晶片設計、製造及(或)生產(包括包括無廠IC晶片設計及生產公司、IC晶圓廠或接單製造(可無產品)、公司及(或)、垂直整合IC晶片設計、製造及生產的公司)可變成像是現有商業化DRAM或快閃記憶體IC晶片設計、製造及(或)製造的公司;或像是DRAM模組設計、製造及(或)生產的公司;或像是記憶體模組、快閃USB棒或驅動器、快閃固態驅動器或硬碟驅動器設計、製造及(或)生產的公司。現有邏輯IC晶片或COTIC晶片設計及(或)製造公司(包括包括無廠IC晶片設計及生產公司、IC晶圓廠或接單製造(可無產品)、公司及(或)、垂直整合IC晶片設計、製造及生產的公司)可變成以下產業模式的公司:(1)設計、製造及(或)販賣複數商業化標準FPGAIC晶片200的公司;及(或)(2)設計、製造及(或)販賣商業化標準邏輯驅動器300的公司,個人、使用者、客戶、軟體開發者應用程序開發人員可購買此商業化標準邏輯運算器及撰寫軟體之原始碼,進行針對他/她所期待的應用進行程序編寫,例如,在人工智能(ArtificialIntelligence,AI)、機器學習、深度學習、大數據資料庫儲存或分析、物聯網(InternetOfThings,IOT)、虛擬實境(VR)、擴增實境(AR)、車用電子圖形處理(GP)。此邏輯運算器可編寫執行例如是圖形晶片、基頻晶片、以太網路晶片、無線晶片(例如是802.11ac)或人工智能晶片等功能的晶片。此邏輯運算器或者可編寫執行人工智能、機器學習、深度學習、大數據資料庫儲存或分析、物聯網(InternetOfThings,IOT)、虛擬實境(VR)、擴增實境(AR)、車用電子圖形處理(GP)、數位訊號處理(DSP)、微控制器(MC)或中央處理器(CP)等功能或其中的任一種組合之功能。Therefore, the existing logic ASIC or COTIC chip industry can be transformed into a commercial logic operation IC chip industry by using the commercial standard logic driver 300, such as the existing commercial DRAM or commercial flash memory IC chip industry, for the same innovation Applications Since the performance, power consumption, and engineering and manufacturing costs of the commercial standard logic driver 300 are comparable to or equal to that of an ASICIC die or a COTIC die, the commercial standard logic driver 300 can be used as a replacement for designing an ASICIC die or a COTIC die, existing logic ASICIC chip or COTIC chip design, manufacture and/or production (including including fabless IC chip design and production companies, IC fabs or build-to-order (can be productless), companies and/or), vertically integrated IC chip design , manufacturing and production companies) Variable Imaging is a company that designs, manufactures and/or manufactures existing commercial DRAM or flash memory IC chips; or is a company that designs, manufactures and/or produces DRAM modules; Or companies that design, manufacture and/or produce memory modules, flash USB sticks or drives, flash solid state drives or hard disk drives. Existing logic IC chip or COTIC chip design and/or manufacturing companies (including fabless IC chip design and production companies, IC fabs or build-to-order manufacturing (can be product-free), companies and/or), vertically integrated IC chips A company that designs, manufactures, and produces) can become a company with the following industry models: (1) a company that designs, manufactures, and/or sells a plurality of commercialized standard FPGAIC chips 200; and (or) (2) designs, manufactures, and/or ) A company that sells the commercialized standard logic driver 300. Individuals, users, customers, software developers and application developers can purchase this commercialized standard logic operator and write the source code of the software for the application he/she expects Perform programming, for example, in artificial intelligence (Artificial Intelligence, AI), machine learning, deep learning, big data database storage or analysis, Internet of Things (Internet Of Things, IOT), virtual reality (VR), augmented reality (AR) ), automotive electronic graphics processing (GP). The logic operator can be programmed to perform functions such as graphics chips, baseband chips, Ethernet chips, wireless chips (eg, 802.11ac) or artificial intelligence chips. This logic operator can be programmed to perform artificial intelligence, machine learning, deep learning, big data database storage or analysis, Internet of Things (IOT), virtual reality (VR), augmented reality (AR), automotive Electronic graphics processing (GP), digital signal processing (DSP), microcontroller (MC) or central processing unit (CP) functions or any combination of them.

本發明揭露一商業化標準邏輯驅動器,此商業化標準邏輯驅動器為一多晶片封裝用經由現場編程(fieldprogramming)方式達到計算及(或)處理功能,此晶片封裝包括數FPGAIC晶片及一或複數可應用在不同邏輯運算的非揮發性記憶體IC晶片,此二者不同點在於前者是一具有邏輯運算功能的計算/處理器,而後者為一具有記憶體功能的資料儲存器,此商業化標準邏輯驅動器所使用的非揮發性記憶體IC晶片是類似使用一商業化標準固態儲存硬碟(或驅動器)、一資料儲存硬碟、一資料儲存軟碟、一通用序列匯流排(UniversalSerialBus(USB))快閃記憶體碟(或驅動器)、一USB驅動器、一USB記憶棒、一快閃記憶碟或一USB記憶體。The present invention discloses a commercial standard logic driver. The commercial standard logic driver is a multi-chip package used to achieve computing and/or processing functions through field programming. The chip package includes several FPGA IC chips and one or more can Non-volatile memory IC chips used in different logic operations. The difference between the two is that the former is a computing/processor with logic operation function, while the latter is a data storage device with memory function. This commercial standard The non-volatile memory IC chip used in the logical drive is similar to using a commercial standard solid state storage hard disk (or drive), a data storage hard disk, a data storage floppy disk, a Universal Serial Bus (USB) ) flash memory disk (or drive), a USB drive, a USB memory stick, a flash memory disk or a USB memory.

本發明揭露一種商業化標準邏輯驅動器,可配設在熱插拔裝置內,供主機在運作時,可以在不斷電的情況下,將該熱插拔裝置插入於該主機上並與該主機耦接,使得該主機可配合該熱插拔裝置內的該邏輯驅動器運作。The present invention discloses a commercial standard logical driver, which can be arranged in a hot-swap device, so that when a host is running, the hot-swap device can be inserted into the host and communicated with the host under the condition of uninterrupted power supply. coupled so that the host can cooperate with the logical drive in the hot-plug device.

本發明另一方面更揭露一降低NRE成本方法,此方法係經由商業化標準邏輯驅動器實現在半導體IC晶片上的創新及應用。具有創新想法或創新應用的人、使用者或開發者需購買此商業化標準邏輯驅動器及可寫入(或載入)此商業化標準邏輯驅動器的一開發或撰寫軟體原始碼或程式,用以實現他/她的創新想法或創新應用。此實現的方法與經由開發一ASIC晶片或COTIC晶片實現的方法相比較,本發明所提供實現的方法可降低NRE成本大於2.5倍或10倍以上。對於先進半導體技術或下一製程世代技術時(例如發展至小於30奈米(nm)或20奈米(nm)),對於ASIC晶片或COT晶片的NRE成本大幅地增加,例如增加超過美金5百萬元、美金1千萬元,甚至超過2千萬元、5千萬元或1億元。如ASIC晶片或COTIC晶片的16奈米技術或製程世代所需的光罩的成本就超過美金2百萬元、美金5百萬元或美金1千萬元,若使用邏輯驅動器實現相同或相似的創新或應用可將此NRE成本費用降低小於美金1仟萬元,甚至可小於美金7百萬元、美金5百萬元、美金3百萬元、美金2百萬元或美金1百萬元。本發明可激勵創新及降低實現IC晶片設計在創新上的障礙以及使用先進IC製程或下一製程世代上的障礙,例如使用比30奈米、20奈米或10奈米更先進的IC製程技術。Another aspect of the present invention further discloses a method for reducing the cost of NRE, and the method realizes innovation and application on semiconductor IC chips through commercialized standard logic drivers. Persons, users or developers with innovative ideas or innovative applications need to purchase this commercial standard logical drive and a development or writing software source code or program that can write (or load) this commercial standard logical drive for Realize his/her innovative idea or innovative application. Compared with the method realized by developing an ASIC chip or COTIC chip, the realized method provided by the present invention can reduce the NRE cost by more than 2.5 times or more than 10 times. For advanced semiconductor technologies or next-generation technologies (eg, to less than 30 nanometers (nm) or 20 nanometers (nm)), the cost of NRE for ASIC wafers or COT wafers increases substantially, for example, by over US$500 10,000 yuan, 10 million yuan, or even more than 20 million yuan, 50 million yuan or 100 million yuan. 16nm technology or process generations such as ASIC chips or COTIC chips require masks in excess of $2 million, $5 million or $10 million if logic drivers are used to achieve the same or similar Innovations or applications can reduce this NRE cost by less than $10 million, or even less than $7 million, $5 million, $3 million, $2 million, or $1 million. The present invention stimulates innovation and lowers barriers to innovation in implementing IC chip designs and barriers to using advanced IC processes or next process generations, such as using more advanced IC process technologies than 30nm, 20nm or 10nm .

本發明另外揭露一種將邏輯ASIC晶片或COT晶片硬體產業模式經由商業化標準邏輯運算器改變成一軟體產業模式。在同一創新及應用上,標準商業邏輯驅動器從效能、功耗、工程及製造成本應可比現有的ASIC晶片或COTIC晶片好或相同,現有的ASIC晶片或COTIC晶片的設計公司或供應商可變成軟體開發商或供應商,及變成以下的產業模式:(1)變成軟體公司針對自有的創新及應用進行軟體研發或軟體販售,進而讓客戶安裝軟體在客戶自己擁有的商業化標準邏輯運算器中;及/或(2)仍是販賣硬體的硬體公司而沒有進行ASIC晶片或COTIC晶片的設計及生產。針對創新或新應用可安裝自我研發的軟體可安裝在販賣的標準商業邏輯驅動器內的一或複數非揮發性記憶體IC晶片內,然後再賣給他們的客戶或使用者。他們也可針對所期望寫軟體原始碼在標準商業邏輯驅動器內(也就是將軟體原始碼安裝在標準商業邏輯驅動器內的非揮發性記憶體IC晶片內),例如在人工智能(ArtificialIntelligence,AI)、機器學習、物聯網(InternetOfThings,IOT)、虛擬實境(VR)、擴增實境(AR)、電子圖形處理(GP)、數位訊號處理(DSP)、微控制器(MC)或中央處理器(CP)等功能。用於系統、電腦、處理器、智慧型手機或電子儀器或裝置的設計、製造及(或)產品的公司可變成:(1)販賣商業化標準硬體的公司,對於本發明而言,此類型的公司仍是硬體公司,而硬體包括記憶體驅動器及邏輯驅動器;(2)為使用者開發系統及應用軟體,而安裝在使用者自有的商業化標準硬體中,對於本發明而言,此類型的公司是軟體公司;(3)安裝第三者所開發系統及應用軟體或程式在商業化標準硬體中以及販賣軟體下載硬體,對於本發明而言,此類型的公司是硬體公司。The present invention further discloses a way to change the logic ASIC chip or COT chip hardware industry model into a software industry model through commercialized standard logic operators. On the same innovation and application, the performance, power consumption, engineering and manufacturing cost of standard commercial logic drivers should be better or the same as existing ASIC chips or COTIC chips, and the design company or supplier of existing ASIC chips or COTIC chips can be turned into software Developers or suppliers, and become the following industry models: (1) Become a software company to develop or sell software for its own innovations and applications, and then allow customers to install the software on their own commercial standard logic operators and/or (2) is still a hardware company that sells hardware without designing and producing ASIC chips or COTIC chips. For innovative or new applications, self-developed software can be installed in one or more non-volatile memory IC chips in standard commercial logic drives that are sold and then sold to their customers or users. They can also write software source code in a standard commercial logic driver (that is, install the software source code in a non-volatile memory IC chip in a standard commercial logic driver) for the desired purpose, such as in artificial intelligence (AI) , Machine Learning, Internet of Things (IOT), Virtual Reality (VR), Augmented Reality (AR), Electronic Graphics Processing (GP), Digital Signal Processing (DSP), Microcontroller (MC) or Central Processing Controller (CP) and other functions. A company that designs, manufactures, and/or products for systems, computers, processors, smart phones, or electronic instruments or devices can become: (1) a company that sells commercialized standard hardware, which, for the purposes of the present invention, is The type of company is still a hardware company, and the hardware includes memory drives and logical drives; (2) The system and application software are developed for users and installed in the user's own commercial standard hardware. For the present invention For example, this type of company is a software company; (3) installs system and application software or programs developed by a third party in commercial standard hardware and sells software download hardware. For the present invention, this type of company is a hardware company.

本發明另一方面揭露一開發套件或工具,作為一使用者或開發者使用(經由)商業化標準邏輯驅動器實現一創新技術或應用技術,具有創新技術、新應用概念或想法的使用者或開發者可購買商業化標準邏輯驅動器及使用相對應開發套件或工具進行開發,或軟體原始碼或程式撰寫而加載至商業化標準邏輯驅動器中的複數非揮發性記憶體晶片中,以作為實現他(或她)的創新技術或應用概念想法。Another aspect of the present invention discloses a development kit or tool as a user or developer using (via) a commercial standard logic driver to implement an innovative technology or application technology, a user or developer with innovative technology, new application concepts or ideas Users can purchase commercial standard logic drivers and use corresponding development kits or tools for development, or write software source code or programming and load them into a plurality of non-volatile memory chips in commercial standard logic drivers, as the realization of his ( or her) innovative technology or application concept ideas.

對於第一種情況,多晶片封裝結構包括:第一晶片封裝結構包括一第一半導體IC晶片、一第一聚合物層位在第一半導體積體電路(IC)晶片的側壁之外並從其延伸的空間中、在第一聚合物層中的第一金屬柱、及位在第一半導體IC晶片下方的第一交互連接線結構、第一聚合物層及第一金屬柱,其中該第一聚合物層的一上表面、第一半導體積體電路(IC)晶片的上表面及第一金屬柱的上表面為共平面,其中第一交互連接線結構包括一第一交互連接線金屬層位在第一半導體IC晶片、第一聚合物層及第一金屬柱的下方,其中第一交互連接線金屬層包括一金屬交互連接線橫跨下方的第一半導體積體電路(IC)晶片的邊界,一第二交互連接線金屬層位在該第一交互連接線金屬層下方且一第一絕緣介電層介於第一及第二交互連接線金屬層之間,其中第一半導體IC晶片經由第一交互連接線金屬層耦接至第一金屬柱,其中第一半導體IC晶片包括多個揮發性記憶體單元及一多工器,揮發性記憶體單元可配置為儲存與用於LUT的多個結果值相關聯的第一資料,而多工器包括用於一邏輯操作的一第一輸入資料組的一第一組輸入點,其中該多工器可配置依據第一輸入資料組從第二輸入資料組中選擇一資料作為用於邏輯操作的一輸出資料;一第一金屬凸塊位在第一晶片封裝結構下方,其中該第一金屬凸塊耦接第二交互連接線金屬層;及一非揮發性記憶體IC晶片位在第一晶片封裝結構的上方,其中非揮發性記憶體IC晶片依序經由第一金屬柱及第一交互連接線金屬層耦接第一半導體IC晶片,其中非揮發性記憶體IC晶片包括多個非揮發性記憶體單元配置以儲存與LUT的多個結果值相關聯的第二資料,其中該第一資料與第二資料相關聯。For the first case, the multi-chip package structure includes: the first chip package structure includes a first semiconductor IC die, a first polymer layer outside and from the sidewalls of the first semiconductor integrated circuit (IC) die a first metal pillar in the first polymer layer in the extended space, and a first interconnect structure, a first polymer layer and a first metal pillar under the first semiconductor IC chip, wherein the first An upper surface of the polymer layer, the upper surface of the first semiconductor integrated circuit (IC) chip and the upper surface of the first metal pillar are coplanar, wherein the first interconnection line structure includes a first interconnection line metal layer Below the first semiconductor IC die, the first polymer layer, and the first metal pillar, wherein the first interconnect metal layer includes a metal interconnect across the boundary of the underlying first semiconductor integrated circuit (IC) die , a second interconnecting wire metal layer is located under the first interconnecting wire metal layer and a first insulating dielectric layer is between the first and second interconnecting wire metal layers, wherein the first semiconductor IC chip is The first interconnect metal layer is coupled to the first metal pillar, wherein the first semiconductor IC chip includes a plurality of volatile memory cells and a multiplexer, and the volatile memory cells can be configured to store and use multiple LUTs. first data associated with a result value, and the multiplexer includes a first set of input points for a first set of input data of a logical operation, wherein the multiplexer is configurable from the first set of input data according to the first set of input data One data from the two input data sets is selected as an output data for logic operation; a first metal bump is located under the first chip package structure, wherein the first metal bump is coupled to the second interconnect metal layer; and a non-volatile memory IC chip located above the first chip packaging structure, wherein the non-volatile memory IC chip is sequentially coupled to the first semiconductor IC chip through the first metal pillar and the first interconnecting wire metal layer, The non-volatile memory IC chip includes a plurality of non-volatile memory cells configured to store second data associated with a plurality of result values of the LUT, wherein the first data is associated with the second data.

在第一種情況中:在第一方面中,多晶片封裝結構是配置用於一非揮發可編程邏輯裝置,用作為一ASIC晶片。In the first case: In the first aspect, the multi-die package structure is configured for a non-volatile programmable logic device for use as an ASIC die.

在第一種情況中:在第二方面中,該第一半導體IC晶片包括多個晶片上專用非揮發性記憶體元件及一晶片上安全電路,晶片上專用非揮發性記憶體元件可配置儲存第三資料,而該晶片上安全電路配置依據第三資料以解密來自於非揮發性記憶體IC晶片中的第二資料相關聯的加密資料。第一半導體IC晶片包括二電極及位在二電極之間的一氧化窗口,其中該二電極及氧化窗口配置作為一抗保險絲,用於多個晶片上專用非揮發性記憶體元件,該第一半導體IC晶片包括多個第二非揮發性記憶體單元配置作為晶片上專用非揮發性記憶體元件。In the first case: In the second aspect, the first semiconductor IC chip includes a plurality of on-chip dedicated non-volatile memory elements and an on-chip security circuit, the on-chip dedicated non-volatile memory elements can be configured to store The third data, and the on-chip security circuit is configured to decrypt encrypted data associated with the second data from the non-volatile memory IC chip according to the third data. The first semiconductor IC chip includes two electrodes and an oxide window located between the two electrodes, wherein the two electrodes and the oxide window are configured as a primary anti-fuse for dedicated non-volatile memory elements on a plurality of chips, the first The semiconductor IC chip includes a plurality of second non-volatile memory cells configured as on-chip dedicated non-volatile memory elements.

在第三種情況中:在第三方面中,第一金屬凸塊包括厚度介於20至100μm之間的一銲料層,第一金屬柱包括厚度介於10至100μm之間的一銅層,第一晶片封裝結構更可包括一金屬接墊位在第一金屬柱的上表面上,其中厚度介於1至10μm之間的金屬接墊耦接至非揮發性記憶體IC晶片,該金屬接墊包括厚度介於1至10μm之間的銅層。In a third case: in the third aspect, the first metal bump includes a solder layer having a thickness between 20 and 100 μm, the first metal pillar includes a copper layer having a thickness between 10 and 100 μm, The first chip package structure may further include a metal pad on the upper surface of the first metal pillar, wherein the metal pad with a thickness between 1 and 10 μm is coupled to the non-volatile memory IC chip, and the metal pad is connected to the non-volatile memory IC chip. The pads include a copper layer with a thickness between 1 and 10 μm.

在第一種情況中:在第四方面中,第一晶片封裝結構更包括一金屬接墊垂直地位在第一半導體IC晶片的上方,其中該金屬接墊包括厚度介於1至10μm之間的銅層。In the first case: in the fourth aspect, the first chip package structure further includes a metal pad vertically positioned above the first semiconductor IC chip, wherein the metal pad includes a thickness of between 1 and 10 μm. copper layer.

對於第一種情況:在第五方面中,非揮發性記憶體IC晶片可經由多晶片封裝結構中的一第二晶片封裝結構提供,其中第二晶片封裝結構係位在第一晶片封裝結構上方,其中多晶片封裝結構中更包括一第二金屬凸塊位在第二晶片封裝結構下方,其中第二晶片封裝結構經由第二金屬凸塊耦接第一晶片封裝結構,其中第二晶片封裝結構包括一第二聚合物層位在非揮發性記憶體IC晶片的側壁之外並從側壁向外延伸在空間中,其中第二聚合物層的上表面及非揮發性記憶體IC晶片的上表面共平面,且該第二交互連接線結構位在非揮發性記憶體IC晶片及第二聚合物層的下方,其中一第三交互連接線金屬層包括一金屬交互連接線橫跨位在下方非揮發性記憶體IC晶片的邊界,一第四交互連接線金屬層位在第三交互連接線金屬層下方且一第二絕緣介電層介於第三及第四交互連接線金屬層之間,其中該非揮發性記憶體IC晶片依序經由第三交互連接線金屬層、第四交互連接線金屬層、第二金屬凸塊、第一金屬柱及第一交互連接線金屬層耦接第一半導體IC晶片。For the first case: In the fifth aspect, the non-volatile memory IC chip can be provided via a second chip package structure in the multi-chip package structure, wherein the second chip package structure is positioned over the first chip package structure , wherein the multi-chip package structure further includes a second metal bump located under the second chip package structure, wherein the second chip package structure is coupled to the first chip package structure through the second metal bump, wherein the second chip package structure Including a second polymer layer located outside the sidewall of the non-volatile memory IC chip and extending outwardly from the sidewall in the space, wherein the upper surface of the second polymer layer and the upper surface of the non-volatile memory IC chip Coplanar, and the second interconnection structure is located below the non-volatile memory IC chip and the second polymer layer, wherein a third interconnection metal layer includes a metal interconnection located across the underlying non-volatile memory IC chip. At the boundary of the volatile memory IC chip, a fourth interconnecting line metal layer is located under the third interconnecting line metal layer and a second insulating dielectric layer is between the third and fourth interconnecting line metal layers, The non-volatile memory IC chip is sequentially coupled to the first semiconductor through the third interconnection wire metal layer, the fourth interconnection wire metal layer, the second metal bump, the first metal pillar and the first interconnection wire metal layer IC chips.

在第一種情況中:在第六方面中,非揮發性記憶體IC晶片可經由多晶片封裝結構中的一第二晶片封裝結構提供,其中第二晶片封裝結構係位在第一晶片封裝結構上方,其中多晶片封裝結構中更包括一第二金屬凸塊位在第二晶片封裝結構下方,其中第二晶片封裝結構經由第二金屬凸塊耦接第一晶片封裝結構,其中多晶片封裝結構包括一第三晶片封裝結構介於第一及第二晶片封裝結構之間,其中第二晶片封裝結構經由第三晶片封裝結構耦接至第一晶片封裝結構,及一第三金屬凸塊介於第一及第三晶片封裝結構之間,其中第三金屬凸塊耦接第三晶片封裝結構至第一晶片封裝結構,其中第二金屬凸塊耦接第二晶片封裝結構至第三晶片封裝結構,該第三晶片封裝結構包括一第二半導體IC晶片、一第二聚合物層位在第二半導體IC晶片的側壁之外並從側壁向外延伸在空間中,且第二金屬柱在第二聚合物層中,其中第二聚合物層的上表面、第二半導體IC晶片的上表面及第二金屬柱的上表面共平面,且該第二交互連接線結構位在第二半導體IC晶片、第二聚合物層及第二金屬柱的下方,其中一第三交互連接線金屬層包括一金屬交互連接線橫跨位在下方第二半導體IC晶片的邊界,一第四交互連接線金屬層位在第三交互連接線金屬層下方且一第二絕緣介電層介於第三及第四交互連接線金屬層之間,其中第三金屬凸塊耦接第四交互連接線金屬層,第二金屬柱包括厚度介於10至100微米之間的銅層,該非揮發性記憶體IC晶片依序經由第二金屬凸塊、第二金屬柱、第三金屬凸塊、第一金屬柱及第一交互連接線金屬層耦接第一半導體IC晶片。第三金屬凸塊包括厚度介於20至100微米之間的銲料層,第二金屬柱包括厚度介於10至100微米之間的銅層,第三晶片封裝結構更包括一金屬接墊位在第二金屬柱的上表面上,其中金屬接墊接合第二金屬凸塊且厚度介於1至10微米之間,位在第二金屬柱上表面的金屬接墊包括厚度介於1至10微米之間的銅層。In the first case: In the sixth aspect, the non-volatile memory IC chip can be provided via a second chip package structure in the multi-chip package structure, wherein the second chip package structure is located in the first chip package structure Above, wherein the multi-chip package structure further includes a second metal bump located under the second chip package structure, wherein the second chip package structure is coupled to the first chip package structure through the second metal bump, wherein the multi-chip package structure A third chip package structure is included between the first and second chip package structures, wherein the second chip package structure is coupled to the first chip package structure through the third chip package structure, and a third metal bump is interposed therebetween. Between the first and third chip package structures, wherein the third metal bump is coupled to the third chip package structure to the first chip package structure, wherein the second metal bump is coupled to the second chip package structure to the third chip package structure , the third chip package structure includes a second semiconductor IC chip, a second polymer layer located outside the sidewall of the second semiconductor IC chip and extending outwardly from the sidewall in the space, and a second metal pillar on the second In the polymer layer, wherein the upper surface of the second polymer layer, the upper surface of the second semiconductor IC chip and the upper surface of the second metal pillar are coplanar, and the second interconnecting wire structure is located on the second semiconductor IC chip, Below the second polymer layer and the second metal pillar, a third interconnect metal layer includes a metal interconnect line across the boundary of the second semiconductor IC chip below, a fourth interconnect line metal layer Below the third interconnect metal layer and a second insulating dielectric layer between the third and fourth interconnect metal layers, wherein the third metal bump is coupled to the fourth interconnect metal layer, the second The metal pillar includes a copper layer with a thickness between 10 and 100 microns, and the non-volatile memory IC chip is sequentially passed through the second metal bump, the second metal pillar, the third metal bump, the first metal pillar and the first metal pillar. The interconnect metal layer is coupled to the first semiconductor IC chip. The third metal bump includes a solder layer with a thickness between 20 and 100 microns, the second metal pillar includes a copper layer with a thickness between 10 and 100 microns, and the third chip package structure further includes a metal pad located on the On the upper surface of the second metal pillar, the metal pad is connected to the second metal bump and has a thickness of 1 to 10 microns, and the metal pad on the upper surface of the second metal pillar includes a thickness of 1 to 10 microns between the copper layers.

在第一種情況中:在第七方面中,非揮發性記憶體IC晶片可經由多晶片封裝結構中的一第二晶片封裝結構提供,其中第二晶片封裝結構係位在第一晶片封裝結構上方,其中多晶片封裝結構中更包括一第二金屬凸塊位在第二晶片封裝結構下方,其中第二晶片封裝結構經由第二金屬凸塊耦接第一晶片封裝結構,其中多晶片封裝結構包括一第三晶片封裝結構介於第一及第二晶片封裝結構之間,其中第二晶片封裝結構經由第三晶片封裝結構耦接至第一晶片封裝結構,及一第三金屬凸塊介於第一及第三晶片封裝結構之間,其中第三金屬凸塊耦接第三晶片封裝結構至第一晶片封裝結構,其中第二金屬凸塊耦接第二晶片封裝結構至第三晶片封裝結構,該第三晶片封裝結構包括一第二半導體IC晶片、一第二聚合物層位在第二半導體IC晶片的側壁之外並從側壁向外延伸在空間中,且第二金屬柱在第二聚合物層中,其中第二聚合物層的上表面、第二半導體IC晶片的上表面及第二金屬柱的上表面共平面,且該第二交互連接線結構位在第二半導體IC晶片、第二聚合物層及第二金屬柱的下方,其中一第三交互連接線金屬層包括一金屬交互連接線橫跨位在下方第二半導體IC晶片的邊界,一第四交互連接線金屬層位在第三交互連接線金屬層下方且一第二絕緣介電層介於第三及第四交互連接線金屬層之間,其中第三金屬凸塊耦接第四交互連接線金屬層,多晶片封裝結構更包括第四金屬凸塊介於第一及第三晶片封裝結構之間且垂直位在第二半導體IC晶片上方,且第三晶片封裝結構更包括一金屬接墊垂直地位在第二半導體IC晶片上方,其中垂直地位在第二半導體IC晶片上方的金屬接墊接合至其中之一第四金屬凸塊且厚度介於1至10微米之間,垂直地位在第二半導體IC晶片上方的金屬接墊包括厚度介於1至10微米之間的銅層。In the first case: In the seventh aspect, the non-volatile memory IC chip can be provided via a second chip package structure in the multi-chip package structure, wherein the second chip package structure is located on the first chip package structure Above, wherein the multi-chip package structure further includes a second metal bump located under the second chip package structure, wherein the second chip package structure is coupled to the first chip package structure through the second metal bump, wherein the multi-chip package structure A third chip package structure is included between the first and second chip package structures, wherein the second chip package structure is coupled to the first chip package structure through the third chip package structure, and a third metal bump is interposed therebetween. Between the first and third chip package structures, wherein the third metal bump is coupled to the third chip package structure to the first chip package structure, wherein the second metal bump is coupled to the second chip package structure to the third chip package structure , the third chip package structure includes a second semiconductor IC chip, a second polymer layer located outside the sidewall of the second semiconductor IC chip and extending outwardly from the sidewall in the space, and a second metal pillar on the second In the polymer layer, wherein the upper surface of the second polymer layer, the upper surface of the second semiconductor IC chip and the upper surface of the second metal pillar are coplanar, and the second interconnecting wire structure is located on the second semiconductor IC chip, Below the second polymer layer and the second metal pillar, a third interconnect metal layer includes a metal interconnect line across the boundary of the second semiconductor IC chip below, a fourth interconnect line metal layer Below the third interconnect metal layer and a second insulating dielectric layer between the third and fourth interconnect metal layers, wherein the third metal bump is coupled to the fourth interconnect metal layer, multi-chip The package structure further includes a fourth metal bump between the first and third chip package structures and is vertically positioned above the second semiconductor IC chip, and the third chip package structure further includes a metal pad vertically positioned on the second semiconductor IC Above the IC chip, wherein the metal pads positioned vertically above the second semiconductor IC chip are bonded to one of the fourth metal bumps and have a thickness between 1 and 10 microns, and the metal positioned vertically above the second semiconductor IC chip The pads include a copper layer having a thickness between 1 and 10 microns.

在第一種情況中:在第八方面中,非揮發性記憶體IC晶片可經由多晶片封裝結構中的一第二晶片封裝結構提供,其中第二晶片封裝結構係位在第一晶片封裝結構上方,其中多晶片封裝結構中更包括一第二金屬凸塊位在第二晶片封裝結構下方,其中第二晶片封裝結構經由第二金屬凸塊耦接第一晶片封裝結構,其中多晶片封裝結構包括一第三晶片封裝結構介於第一及第二晶片封裝結構之間,其中第二晶片封裝結構經由第三晶片封裝結構耦接至第一晶片封裝結構,及一第三金屬凸塊介於第一及第三晶片封裝結構之間,其中第三金屬凸塊耦接第三晶片封裝結構至第一晶片封裝結構,其中第二金屬凸塊耦接第二晶片封裝結構至第三晶片封裝結構,該第三晶片封裝結構包括一第二半導體IC晶片、一第二聚合物層位在第二半導體IC晶片的側壁之外並從側壁向外延伸在空間中,且第二金屬柱在第二聚合物層中,其中第二聚合物層的上表面、第二半導體IC晶片的上表面及第二金屬柱的上表面共平面,且該第二交互連接線結構位在第二半導體IC晶片、第二聚合物層及第二金屬柱的下方,其中一第三交互連接線金屬層包括一金屬交互連接線橫跨位在下方第二半導體IC晶片的邊界,一第四交互連接線金屬層位在第三交互連接線金屬層下方且一第二絕緣介電層介於第三及第四交互連接線金屬層之間,其中第三金屬凸塊耦接第四交互連接線金屬層。多晶片封裝結構更包括一第四金屬凸塊介於第及第三晶片封裝結構之間,其中第一晶片封裝結構更包括一第三金屬柱在第一聚合物層之中,其中第一聚合物層的上表面、第一半導體IC晶片的上表面、第一金屬柱上表面及第三金屬柱的上表面共平面,其中第二半導體IC晶片依序經由第三交互連接線金屬層、第四交互連接線金屬層、第四金屬凸塊、第三金屬柱及第一交互連接線金屬層耦接第一半導體IC晶片。In the first case: In the eighth aspect, the non-volatile memory IC chip can be provided via a second chip package structure in the multi-chip package structure, wherein the second chip package structure is located on the first chip package structure Above, wherein the multi-chip package structure further includes a second metal bump located under the second chip package structure, wherein the second chip package structure is coupled to the first chip package structure through the second metal bump, wherein the multi-chip package structure A third chip package structure is included between the first and second chip package structures, wherein the second chip package structure is coupled to the first chip package structure through the third chip package structure, and a third metal bump is interposed therebetween. Between the first and third chip package structures, wherein the third metal bump is coupled to the third chip package structure to the first chip package structure, wherein the second metal bump is coupled to the second chip package structure to the third chip package structure , the third chip package structure includes a second semiconductor IC chip, a second polymer layer located outside the sidewall of the second semiconductor IC chip and extending outwardly from the sidewall in the space, and a second metal pillar on the second In the polymer layer, wherein the upper surface of the second polymer layer, the upper surface of the second semiconductor IC chip and the upper surface of the second metal pillar are coplanar, and the second interconnecting wire structure is located on the second semiconductor IC chip, Below the second polymer layer and the second metal pillar, a third interconnect metal layer includes a metal interconnect line across the boundary of the second semiconductor IC chip below, a fourth interconnect line metal layer Below the third interconnecting wire metal layer and a second insulating dielectric layer is between the third and fourth interconnecting wire metal layers, wherein the third metal bump is coupled to the fourth interconnecting wire metal layer. The multi-chip package structure further includes a fourth metal bump between the first and third chip package structures, wherein the first chip package structure further includes a third metal pillar in the first polymer layer, wherein the first polymer The upper surface of the material layer, the upper surface of the first semiconductor IC chip, the upper surface of the first metal pillar and the upper surface of the third metal pillar are coplanar, wherein the second semiconductor IC chip is sequentially connected through the third interconnecting wire metal layer, the first metal pillar and the third metal pillar. The four interconnected metal layers, the fourth metal bump, the third metal pillar and the first interconnected metal layer are coupled to the first semiconductor IC chip.

在第一種情況中:在第九方面中,非揮發性記憶體IC晶片可經由多晶片封裝結構中的一第二晶片封裝結構提供,其中第二晶片封裝結構係位在第一晶片封裝結構上方,其中多晶片封裝結構中更包括一第二金屬凸塊位在第二晶片封裝結構下方,其中第二晶片封裝結構經由第二金屬凸塊耦接第一晶片封裝結構,其中多晶片封裝結構包括一第三晶片封裝結構介於第一及第二晶片封裝結構之間,其中第二晶片封裝結構經由第三晶片封裝結構耦接至第一晶片封裝結構,及一第三金屬凸塊介於第一及第三晶片封裝結構之間,其中第三金屬凸塊耦接第三晶片封裝結構至第一晶片封裝結構,其中第二金屬凸塊耦接第二晶片封裝結構至第三晶片封裝結構,該第三晶片封裝結構包括一第二半導體IC晶片、一第二聚合物層位在第二半導體IC晶片的側壁之外並從側壁向外延伸在空間中,且第二金屬柱在第二聚合物層中,其中第二聚合物層的上表面、第二半導體IC晶片的上表面及第二金屬柱的上表面共平面,且該第二交互連接線結構位在第二半導體IC晶片、第二聚合物層及第二金屬柱的下方,其中一第三交互連接線金屬層包括一金屬交互連接線橫跨位在下方第二半導體IC晶片的邊界,一第四交互連接線金屬層位在第三交互連接線金屬層下方且一第二絕緣介電層介於第三及第四交互連接線金屬層之間,其中第三金屬凸塊耦接第四交互連接線金屬層。第二半導體IC晶片為一專用I/O晶片,該多晶片封裝結構更包括一第四金屬凸塊位在第一及第三晶片封裝結構之間,其中第一晶片封裝結構更包括一第三金屬柱在第一聚合物層中,其中該第一聚合物層的上表面、第一半導體IC晶片的上表面、第一金屬柱的上表面及第三金屬柱的上表面共平面,其中專用I/O晶片包括一驅動器依序經由第三交互連接線金屬層、第四交互連接線金屬層、第四金屬凸塊、第三金屬柱及第一交互連接線金屬層耦接第一半導體IC晶片,其中該驅動器具有一驅動能力介於0.1至2pF之間,該多晶片封裝結構更包括一第四金屬凸塊介於第二及第三晶片封裝結構之間,其中多個第二金屬凸塊係介於第第二及第三晶片封裝結構之間,其中第三晶片封裝結構更包括一第三金屬柱在第二聚合物層中,其中第二聚合物層的上表面、專用I/O晶片的上表面、第二金屬柱的上表面及第三金屬柱的上表面共平面,其中專用I/O晶片包括一驅動器依序經由第三交互連接線金屬層、第三金屬柱及其中之一第四金屬凸塊耦接非揮發性記憶體IC晶片,其中該驅動器具有一驅動能力介於0.1至2pF之間,該多晶片封裝結構更包括一第四金屬凸塊介於第一及第三晶片封裝結構之間,其中第一晶片封裝結構更包括一第三金屬柱在第一聚合物層中,其中該第一聚合物層的上表面、第一半導體IC晶片的上表面、第一金屬柱的上表面及第三金屬的上表面共平面,其中專用I/O晶片包括一驅動器依序經由第三交互連接線金屬層、第四交互連接線金屬層、第四金屬凸塊、第三金屬柱、第一交互連接線金屬層及第二交互連接線金屬層耦接第一金屬凸塊,其中該驅動器具有一驅動能力大於2pF。In the first case: In the ninth aspect, the non-volatile memory IC chip can be provided via a second chip package structure in the multi-chip package structure, wherein the second chip package structure is located in the first chip package structure Above, wherein the multi-chip package structure further includes a second metal bump located under the second chip package structure, wherein the second chip package structure is coupled to the first chip package structure through the second metal bump, wherein the multi-chip package structure A third chip package structure is included between the first and second chip package structures, wherein the second chip package structure is coupled to the first chip package structure through the third chip package structure, and a third metal bump is interposed therebetween. Between the first and third chip package structures, wherein the third metal bump is coupled to the third chip package structure to the first chip package structure, wherein the second metal bump is coupled to the second chip package structure to the third chip package structure , the third chip package structure includes a second semiconductor IC chip, a second polymer layer located outside the sidewall of the second semiconductor IC chip and extending outwardly from the sidewall in the space, and a second metal pillar on the second In the polymer layer, wherein the upper surface of the second polymer layer, the upper surface of the second semiconductor IC chip and the upper surface of the second metal pillar are coplanar, and the second interconnecting wire structure is located on the second semiconductor IC chip, Below the second polymer layer and the second metal pillar, a third interconnect metal layer includes a metal interconnect line across the boundary of the second semiconductor IC chip below, a fourth interconnect line metal layer Below the third interconnecting wire metal layer and a second insulating dielectric layer is between the third and fourth interconnecting wire metal layers, wherein the third metal bump is coupled to the fourth interconnecting wire metal layer. The second semiconductor IC chip is a dedicated I/O chip, and the multi-chip package structure further includes a fourth metal bump located between the first and third chip package structures, wherein the first chip package structure further includes a third The metal pillar is in the first polymer layer, wherein the upper surface of the first polymer layer, the upper surface of the first semiconductor IC chip, the upper surface of the first metal pillar and the upper surface of the third metal pillar are coplanar, and the special The I/O chip includes a driver sequentially coupled to the first semiconductor IC through the third interconnection wire metal layer, the fourth interconnection wire metal layer, the fourth metal bump, the third metal pillar and the first interconnection wire metal layer The chip, wherein the driver has a driving capability between 0.1 and 2pF, the multi-chip package structure further includes a fourth metal bump between the second and third chip package structures, wherein a plurality of second metal bumps The block is interposed between the second and third chip package structures, wherein the third chip package structure further includes a third metal post in the second polymer layer, wherein the upper surface of the second polymer layer, the dedicated I/O The upper surface of the O chip, the upper surface of the second metal pillar, and the upper surface of the third metal pillar are coplanar, wherein the dedicated I/O chip includes a driver through the third interconnection wire metal layer, the third metal pillar and the A fourth metal bump is coupled to the non-volatile memory IC chip, wherein the driver has a driving capability between 0.1 and 2 pF, and the multi-chip package structure further includes a fourth metal bump between the first and the Between the third chip package structures, wherein the first chip package structure further includes a third metal pillar in the first polymer layer, wherein the upper surface of the first polymer layer, the upper surface of the first semiconductor IC chip, the first polymer layer The upper surface of a metal pillar and the upper surface of the third metal are coplanar, wherein the dedicated I/O chip includes a driver sequentially passing through the third interconnecting wire metal layer, the fourth interconnecting wire metal layer, the fourth metal bump, The third metal pillar, the first interconnect metal layer and the second interconnect metal layer are coupled to the first metal bump, wherein the driver has a driving capability greater than 2pF.

在第一種情況中:在第十方面中,非揮發性記憶體IC晶片可經由多晶片封裝結構中的一第二晶片封裝結構提供,其中第二晶片封裝結構係位在第一晶片封裝結構上方,其中多晶片封裝結構中更包括一第二金屬凸塊位在第二晶片封裝結構下方,其中第二晶片封裝結構經由第二金屬凸塊耦接第一晶片封裝結構,第二晶片封裝結構為一BGA晶片封裝結構,其包括一線路板,其中該非揮發性記憶體IC晶片經由線路板耦接該第二金屬凸塊,其中第二金屬凸塊係為多個金屬凸塊的其中之一個位在第二晶片封裝結構下方,其中多個金屬凸塊係以矩陣型式設置。In the first case: In the tenth aspect, the non-volatile memory IC chip can be provided via a second chip package structure in the multi-chip package structure, wherein the second chip package structure is located on the first chip package structure Above, the multi-chip package structure further includes a second metal bump located under the second chip package structure, wherein the second chip package structure is coupled to the first chip package structure through the second metal bump, and the second chip package structure It is a BGA chip package structure, which includes a circuit board, wherein the non-volatile memory IC chip is coupled to the second metal bump through the circuit board, wherein the second metal bump is one of a plurality of metal bumps Under the second chip package structure, a plurality of metal bumps are arranged in a matrix form.

在第一種情況中:在第十一方面中,非揮發性記憶體IC晶片可經由多晶片封裝結構中的一第二晶片封裝結構提供,其中第二晶片封裝結構係位在第一晶片封裝結構上方,其中第二晶片封裝結構係薄型小尺寸封裝(thin-small-outline-package(TSOP)),其包括一導線架且具有非揮發性記憶體IC晶片接合在上面,並且一灌模材料包住該導線架及該非揮發性記憶體IC晶片,其中該非揮發性記憶體IC晶片經由該導線架耦接第一晶片封裝結構。In the first case: In the eleventh aspect, the non-volatile memory IC chip can be provided via a second chip package structure in the multi-chip package structure, wherein the second chip package structure is located in the first chip package Above the structure, wherein the second chip package structure is a thin-small-outline-package (TSOP), which includes a lead frame and has a non-volatile memory IC chip bonded thereon, and a potting material The lead frame and the non-volatile memory IC chip are wrapped, wherein the non-volatile memory IC chip is coupled to the first chip package structure through the lead frame.

在第一種情況中:在第十二方面中,非揮發性記憶體IC晶片可經由多晶片封裝結構中的一第二晶片封裝結構提供,其中第二晶片封裝結構係位在第一晶片封裝結構上方,其中第二晶片封裝結構係一晶片級封裝結構(CSP),其中晶片級封裝結構與該非揮發性記憶體IC晶片之間的面積比值係等於或小於1.5。In the first case: In the twelfth aspect, the non-volatile memory IC chip can be provided via a second chip package structure in the multi-chip package structure, wherein the second chip package structure is located in the first chip package Above the structure, wherein the second chip package structure is a chip level package structure (CSP), wherein the area ratio between the chip level package structure and the non-volatile memory IC chip is equal to or less than 1.5.

在第一種情況中:在第十三方面中,第一晶片封裝結構更包括一第二交互連接線結構位在第一半導體IC晶片、第一聚合物層及第一金屬柱的上方,其中第二交互連接線結構包括一第三交互連接線金屬層位在該第一半導體IC晶片、第一聚合物層及第一金屬柱的上方,一第二絕緣介電層位在該第三交互連接線金屬層上方,其中該第三交互連接線金屬層包括一金屬交互連接線橫跨位在下方第一半導體IC晶片的一邊界,其中非揮發性記憶體IC晶片依序經由第三交互連接線金屬層、第一金屬柱及第一交互連接線金屬層耦接第一半導體IC晶片。In the first case: in the thirteenth aspect, the first chip package structure further includes a second interconnecting wire structure located above the first semiconductor IC chip, the first polymer layer and the first metal pillar, wherein The second interconnect structure includes a third interconnect metal layer over the first semiconductor IC chip, the first polymer layer and the first metal pillar, and a second insulating dielectric layer over the third interconnect above the interconnect metal layer, wherein the third interconnect metal layer includes a metal interconnect straddle a boundary of the underlying first semiconductor IC chip, wherein the non-volatile memory IC chips are sequentially connected through the third interconnect The wire metal layer, the first metal post and the first interconnecting wire metal layer are coupled to the first semiconductor IC chip.

在第一種情況中:在第十四方面中,第一半導體IC晶片係一FPGAIC晶片,而非揮發性記憶體IC晶片為一NAND快閃記憶體晶片或NOR快閃記憶體晶片。In the first case: In the fourteenth aspect, the first semiconductor IC chip is an FPGAIC chip, and the non-volatile memory IC chip is a NAND flash memory chip or a NOR flash memory chip.

在第二種情況中,多晶片封裝結構包括:第一晶片封裝結構包括一第一半導體IC晶片、一第一聚合物層位在第一半導體積體電路(IC)晶片的側壁之外並從其延伸的空間中、在第一聚合物層中的第一金屬柱、及位在第一半導體IC晶片下方的第一交互連接線結構、第一聚合物層及第一金屬柱,其中該第一聚合物層的一上表面、第一半導體積體電路(IC)晶片的上表面及第一金屬柱的上表面為共平面,其中第一交互連接線結構包括一第一交互連接線金屬層位在第一半導體IC晶片、第一聚合物層及第一金屬柱的下方,其中第一交互連接線金屬層包括一金屬交互連接線橫跨下方的第一半導體積體電路(IC)晶片的邊界,一第二交互連接線金屬層位在該第一交互連接線金屬層下方且一第一絕緣介電層介於第一及第二交互連接線金屬層之間,其中第一半導體IC晶片經由第一交互連接線金屬層耦接至第一金屬柱,其中第一半導體IC晶片包括多個揮發性記憶體單元及一多工器,揮發性記憶體單元可配置為儲存與多個編程碼相關聯的第一資料,一開關、一第一可編程交互連接線耦接該開關及一第二可編程交互連接線耦接該開關,其中該開關係用以依據該第一資料去控制第一可編程交互連接線與第二可編程交互連接線之間的連接;一第一金屬凸塊位在第一晶片封裝結構下方,其中該第一金屬凸塊耦接第二交互連接線金屬層;及一非揮發性記憶體IC晶片位在第一晶片封裝結構的上方,其中非揮發性記憶體IC晶片依序經由第一金屬柱及第一交互連接線金屬層耦接第一半導體IC晶片,其中非揮發性記憶體IC晶片包括多個非揮發性記憶體單元配置以儲存與多個編程碼相關聯的第二資料,其中該第一資料與第二資料相關聯。In a second case, the multi-chip package structure includes: the first chip package structure includes a first semiconductor IC die, a first polymer layer located outside the sidewalls of the first semiconductor integrated circuit (IC) die and extending from the sidewall of the first semiconductor integrated circuit (IC) die. In the space where it extends, the first metal pillar in the first polymer layer, and the first interconnecting line structure, the first polymer layer and the first metal pillar under the first semiconductor IC chip, wherein the first An upper surface of a polymer layer, an upper surface of a first semiconductor integrated circuit (IC) chip, and an upper surface of the first metal pillar are coplanar, wherein the first interconnection line structure includes a first interconnection line metal layer under the first semiconductor IC chip, the first polymer layer, and the first metal pillar, wherein the first interconnect metal layer includes a metal interconnect line across the underlying first semiconductor integrated circuit (IC) chip. boundary, a second interconnecting wire metal layer is located under the first interconnecting wire metal layer and a first insulating dielectric layer is between the first and second interconnecting wire metal layers, wherein the first semiconductor IC chip The metal layer is coupled to the first metal column through the first interconnection wire, wherein the first semiconductor IC chip includes a plurality of volatile memory cells and a multiplexer, and the volatile memory cells can be configured to store and store a plurality of programming codes. The associated first data, a switch, a first programmable interconnection line coupled to the switch, and a second programmable interconnection line coupled to the switch, wherein the switch relationship is used to control the first data according to the first data. A connection between a programmable interconnection line and a second programmable interconnection line; a first metal bump is located under the first chip package structure, wherein the first metal bump is coupled to the metal layer of the second interconnection line ; and a non-volatile memory IC chip located above the first chip package structure, wherein the non-volatile memory IC chip is sequentially coupled to the first semiconductor IC chip through the first metal pillar and the first interconnecting wire metal layer , wherein the non-volatile memory IC chip includes a plurality of non-volatile memory cells configured to store second data associated with a plurality of programming codes, wherein the first data is associated with the second data.

在第二種情況中:在第一方面中,多晶片封裝結構係配置用於非揮發性可編程邏輯裝置,以作為一ASIC晶片。In the second case: In the first aspect, the multi-die package structure is configured for a non-volatile programmable logic device as an ASIC die.

在第二種情況中:在第二方面中,該第一半導體IC晶片包括多個晶片上專用非揮發性記憶體元件及一晶片上安全電路,晶片上專用非揮發性記憶體元件可配置儲存第三資料,而該晶片上安全電路配置依據第三資料以解密來自於非揮發性記憶體IC晶片中的第二資料相關聯的加密資料。In the second case: In the second aspect, the first semiconductor IC chip includes a plurality of on-chip dedicated non-volatile memory elements and an on-chip security circuit, the on-chip dedicated non-volatile memory elements can be configured to store The third data, and the on-chip security circuit is configured to decrypt encrypted data associated with the second data from the non-volatile memory IC chip according to the third data.

在第二種情況中:在第二方面中,該第一半導體IC晶片包括多個晶片上專用非揮發性記憶體元件己配置用於儲存第三資料及一晶片上安全電路己配置用於依據第三資料解密該加密資料(與從非揮發性記憶體IC晶片中的第二資料相關聯),第一半導體IC晶片包括二電極及位在二電極之間的一氧化窗口,其中該二電極及氧化窗口配置作為一抗保險絲,用於多個晶片上專用非揮發性記憶體元件。In a second case: In the second aspect, the first semiconductor IC chip includes a plurality of on-chip dedicated non-volatile memory elements configured to store third data and an on-chip security circuit configured to The third data decrypts the encrypted data (associated with the second data from the non-volatile memory IC chip), the first semiconductor IC chip includes two electrodes and an oxidation window between the two electrodes, wherein the two electrodes and oxide windows configured as primary anti-fuses for dedicated non-volatile memory devices on multiple chips.

在第二種情況中:在第四方面中,該第一半導體IC晶片包括多個晶片上專用非揮發性記憶體元件己配置用於儲存第三資料及一晶片上安全電路己配置用於依據第三資料解密該加密資料(與從非揮發性記憶體IC晶片中的第二資料相關聯),第一半導體IC晶片包括多個第二非揮發性記憶體單元配置作為晶片上專用非揮發性記憶體元件。In the second case: In the fourth aspect, the first semiconductor IC chip includes a plurality of on-chip dedicated non-volatile memory elements configured to store third data and an on-chip security circuit configured to The third data decrypts the encrypted data (associated with the second data from the non-volatile memory IC chip), the first semiconductor IC chip includes a plurality of second non-volatile memory cells configured as on-chip dedicated non-volatile memory memory components.

在第二種情況中:在第五方面中,第一金屬凸塊包括厚度介於20至100μm之間的一銲料層,第一金屬柱包括厚度介於10至100μm之間的一銅層,第一晶片封裝結構可包括一金屬接墊位在第一金屬柱的上表面上,其中厚度介於1至10μm之間的金屬接墊耦接至非揮發性記憶體IC晶片,該金屬接墊包括厚度介於1至10μm之間的銅層。In the second case: in the fifth aspect, the first metal bump includes a solder layer having a thickness between 20 and 100 μm, the first metal pillar includes a copper layer having a thickness between 10 and 100 μm, The first chip package structure may include a metal pad on the upper surface of the first metal pillar, wherein the metal pad with a thickness of 1 to 10 μm is coupled to the non-volatile memory IC chip, the metal pad A copper layer with a thickness between 1 and 10 μm is included.

在第二種情況中:在第六方面中,第一晶片封裝結構更包括一金屬接墊垂直地位在第一半導體IC晶片的上方,其中該金屬接墊包括厚度介於1至10微米之間的銅層。In the second case: In the sixth aspect, the first chip package structure further includes a metal pad vertically above the first semiconductor IC chip, wherein the metal pad includes a thickness between 1 and 10 microns copper layer.

對於第二種情況:在第七方面中,非揮發性記憶體IC晶片可經由多晶片封裝結構中的一第二晶片封裝結構提供,其中第二晶片封裝結構係位在第一晶片封裝結構上方,其中多晶片封裝結構中更包括多個第二金屬凸塊位在第二晶片封裝結構下方,其中第二晶片封裝結構經由多個第二金屬凸塊耦接第一晶片封裝結構,其中第二晶片封裝結構包括一第二聚合物層位在非揮發性記憶體IC晶片的側壁之外並從側壁向外延伸在空間中,其中第二聚合物層的上表面及非揮發性記憶體IC晶片的上表面共平面,且該第二交互連接線結構位在非揮發性記憶體IC晶片及第二聚合物層的下方,其中一第三交互連接線金屬層包括一金屬交互連接線橫跨位在下方非揮發性記憶體IC晶片的邊界,一第四交互連接線金屬層位在第三交互連接線金屬層下方且一第二絕緣介電層介於第三及第四交互連接線金屬層之間,其中該非揮發性記憶體IC晶片依序經由第三交互連接線金屬層、第四交互連接線金屬層、第一金屬柱及第一交互連接線金屬層耦接第一半導體IC晶片。For the second case: In the seventh aspect, the non-volatile memory IC chip can be provided via a second chip package structure in the multi-chip package structure, wherein the second chip package structure is positioned over the first chip package structure , wherein the multi-chip package structure further includes a plurality of second metal bumps located under the second chip package structure, wherein the second chip package structure is coupled to the first chip package structure through a plurality of second metal bumps, wherein the second chip package structure is The chip package structure includes a second polymer layer located outside the sidewall of the non-volatile memory IC chip and extending outward from the sidewall in the space, wherein the upper surface of the second polymer layer and the non-volatile memory IC chip The upper surface of the second interconnection line is coplanar, and the second interconnection line structure is located under the non-volatile memory IC chip and the second polymer layer, wherein a third interconnection line metal layer includes a metal interconnection line spanning bit At the boundary of the underlying non-volatile memory IC chip, a fourth interconnect metal layer is located below the third interconnect metal layer and a second insulating dielectric layer is located between the third and fourth interconnect metal layers wherein the non-volatile memory IC chip is sequentially coupled to the first semiconductor IC chip through the third interconnecting wire metal layer, the fourth interconnecting wire metal layer, the first metal pillar and the first interconnecting wire metal layer.

在第二種情況中:在第八方面中,非揮發性記憶體IC晶片可經由多晶片封裝結構中的一第二晶片封裝結構提供,其中第二晶片封裝結構係位在第一晶片封裝結構上方,其中多晶片封裝結構中更包括多個第二金屬凸塊位在第二晶片封裝結構下方,其中第二晶片封裝結構經由該些第二金屬凸塊耦接第一晶片封裝結構,其中多晶片封裝結構包括一第三晶片封裝結構介於第一及第二晶片封裝結構之間,其中第二晶片封裝結構經由第三晶片封裝結構耦接至第一晶片封裝結構,及一第三金屬凸塊介於第一及第三晶片封裝結構之間,其中第三金屬凸塊耦接第三晶片封裝結構至第一晶片封裝結構,其中每一第二金屬凸塊耦接第二晶片封裝結構至第三晶片封裝結構,該第三晶片封裝結構包括一第二半導體IC晶片、一第二聚合物層位在第二半導體IC晶片的側壁之外並從側壁向外延伸在空間中,且第二金屬柱在第二聚合物層中,其中第二聚合物層的上表面、第二半導體IC晶片的上表面及第二金屬柱的上表面共平面,且該第二交互連接線結構位在第二半導體IC晶片、第二聚合物層及第二金屬柱的下方,其中一第三交互連接線金屬層包括一金屬交互連接線橫跨位在下方第二半導體IC晶片的邊界,一第四交互連接線金屬層位在第三交互連接線金屬層下方且一第二絕緣介電層介於第三及第四交互連接線金屬層之間,其中第三金屬凸塊耦接第四交互連接線金屬層,第二金屬柱包括厚度介於10至100微米之間的銅層,該非揮發性記憶體IC晶片依序經由第二金屬凸塊、第二金屬柱、第三金屬凸塊、第一金屬柱及第一交互連接線金屬層耦接第一半導體IC晶片,該第三金屬凸塊包括厚度介於20至100微米之間的銲料層,第二金屬柱包括厚度介於10至100微米之間的銅層,第三晶片封裝結構包括一金屬接墊位在第二金屬柱的上表面上,其中該金屬接墊接合至其中之一第二金屬凸塊且其厚度介於10至100微米之間,該金屬接墊包括厚度介於1至10微米之間的銅層。In the second case: In the eighth aspect, the non-volatile memory IC chip can be provided via a second chip package structure in the multi-chip package structure, wherein the second chip package structure is located in the first chip package structure Above, wherein the multi-chip package structure further includes a plurality of second metal bumps located under the second chip package structure, wherein the second chip package structure is coupled to the first chip package structure through the second metal bumps, wherein many The chip package structure includes a third chip package structure between the first and second chip package structures, wherein the second chip package structure is coupled to the first chip package structure through the third chip package structure, and a third metal bump The block is interposed between the first and third chip package structures, wherein the third metal bumps are coupled to the third chip package structure to the first chip package structure, wherein each of the second metal bumps is coupled to the second chip package structure to A third chip package structure includes a second semiconductor IC chip, a second polymer layer located outside the sidewall of the second semiconductor IC chip and extending outwardly from the sidewall in the space, and a second polymer layer The metal column is in the second polymer layer, wherein the upper surface of the second polymer layer, the upper surface of the second semiconductor IC chip and the upper surface of the second metal column are coplanar, and the second interconnecting line structure is located on the first Below the two semiconductor IC chips, the second polymer layer and the second metal pillars, wherein a third interconnecting metal layer includes a metal interconnecting line across the boundary of the second semiconductor IC chip below, a fourth interconnecting The connection line metal layer is located under the third interconnection line metal layer and a second insulating dielectric layer is between the third and fourth interconnection line metal layers, wherein the third metal bump is coupled to the fourth interconnection line The metal layer, the second metal pillar includes a copper layer with a thickness between 10 and 100 microns, the non-volatile memory IC chip is sequentially passed through the second metal bump, the second metal pillar, the third metal bump, the first The metal pillar and the first interconnecting wire metal layer are coupled to the first semiconductor IC chip, the third metal bump includes a solder layer with a thickness between 20 and 100 microns, and the second metal pillar includes a thickness between 10 and 100 microns the copper layer between, the third chip package structure includes a metal pad on the upper surface of the second metal pillar, wherein the metal pad is bonded to one of the second metal bumps and has a thickness of 10 to 100 Å microns, the metal pad includes a copper layer having a thickness of between 1 and 10 microns.

在第二種情況中:在第九方面中,非揮發性記憶體IC晶片可經由多晶片封裝結構中的一第二晶片封裝結構提供,其中第二晶片封裝結構係位在第一晶片封裝結構上方,其中多晶片封裝結構中更包括多個第二金屬凸塊位在第二晶片封裝結構下方,其中第二晶片封裝結構經由該些第二金屬凸塊耦接第一晶片封裝結構,其中多晶片封裝結構包括一第三晶片封裝結構介於第一及第二晶片封裝結構之間,其中第二晶片封裝結構經由第三晶片封裝結構耦接至第一晶片封裝結構,及一第三金屬凸塊介於第一及第三晶片封裝結構之間,其中第三金屬凸塊耦接第三晶片封裝結構至第一晶片封裝結構,其中每第二金屬凸塊耦接第二晶片封裝結構至第三晶片封裝結構,該第三晶片封裝結構包括一第二半導體IC晶片、一第二聚合物層位在第二半導體IC晶片的側壁之外並從側壁向外延伸在空間中,且第二金屬柱在第二聚合物層中,其中第二聚合物層的上表面、第二半導體IC晶片的上表面及第二金屬柱的上表面共平面,且該第二交互連接線結構位在第二半導體IC晶片、第二聚合物層及第二金屬柱的下方,其中一第三交互連接線金屬層包括一金屬交互連接線橫跨位在下方第二半導體IC晶片的邊界,一第四交互連接線金屬層位在第三交互連接線金屬層下方且一第二絕緣介電層介於第三及第四交互連接線金屬層之間,其中第三金屬凸塊耦接第四交互連接線金屬層,第三晶片封裝結構更包括一金屬接墊垂直地位在第二半導體IC晶片上方,其中該金屬接墊接合至其中之一第二金屬凸塊且厚度介於1至10微米之間,該金屬接墊包括厚度介於1至10微米之間的銅層。In the second case: In the ninth aspect, the non-volatile memory IC chip can be provided via a second chip package structure in the multi-chip package structure, wherein the second chip package structure is located in the first chip package structure Above, wherein the multi-chip package structure further includes a plurality of second metal bumps located under the second chip package structure, wherein the second chip package structure is coupled to the first chip package structure through the second metal bumps, wherein many The chip package structure includes a third chip package structure between the first and second chip package structures, wherein the second chip package structure is coupled to the first chip package structure through the third chip package structure, and a third metal bump The block is interposed between the first and third chip package structures, wherein the third metal bump is coupled to the third chip package structure to the first chip package structure, wherein each of the second metal bumps is coupled to the second chip package structure to the first chip package structure. Three-chip package structure, the third chip package structure includes a second semiconductor IC chip, a second polymer layer located outside the sidewall of the second semiconductor IC chip and extending outwardly from the sidewall in the space, and a second metal layer The pillar is in the second polymer layer, wherein the upper surface of the second polymer layer, the upper surface of the second semiconductor IC chip and the upper surface of the second metal pillar are coplanar, and the second interconnecting line structure is located on the second Below the semiconductor IC chip, the second polymer layer and the second metal pillar, wherein a third interconnection metal layer includes a metal interconnection line across the boundary of the second semiconductor IC chip below, a fourth interconnection The line metal layer is located under the third interconnection line metal layer and a second insulating dielectric layer is between the third and fourth interconnection line metal layers, wherein the third metal bump is coupled to the fourth interconnection line metal layer layer, the third chip package structure further includes a metal pad vertically above the second semiconductor IC chip, wherein the metal pad is bonded to one of the second metal bumps and has a thickness between 1 and 10 microns, the The metal pads include a copper layer having a thickness between 1 and 10 microns.

在第二種情況中:在第十方面中,非揮發性記憶體IC晶片可經由多晶片封裝結構中的一第二晶片封裝結構提供,其中第二晶片封裝結構係位在第一晶片封裝結構上方,其中多晶片封裝結構中更包括多個第二金屬凸塊位在第二晶片封裝結構下方,其中第二晶片封裝結構經由該些第二金屬凸塊耦接第一晶片封裝結構,其中多晶片封裝結構包括一第三晶片封裝結構介於第一及第二晶片封裝結構之間,其中第二晶片封裝結構經由第三晶片封裝結構耦接至第一晶片封裝結構,及一第三金屬凸塊介於第一及第三晶片封裝結構之間,其中第三金屬凸塊耦接第三晶片封裝結構至第一晶片封裝結構,其中每一第二金屬凸塊耦接第二晶片封裝結構至第三晶片封裝結構,該第三晶片封裝結構包括一第二半導體IC晶片、一第二聚合物層位在第二半導體IC晶片的側壁之外並從側壁向外延伸在空間中,且第二金屬柱在第二聚合物層中,其中第二聚合物層的上表面、第二半導體IC晶片的上表面及第二金屬柱的上表面共平面,且該第二交互連接線結構位在第二半導體IC晶片、第二聚合物層及第二金屬柱的下方,其中一第三交互連接線金屬層包括一金屬交互連接線橫跨位在下方第二半導體IC晶片的邊界,一第四交互連接線金屬層位在第三交互連接線金屬層下方且一第二絕緣介電層介於第三及第四交互連接線金屬層之間,其中第三金屬凸塊耦接第四交互連接線金屬層。該多晶片封裝結構更包括一第四金屬凸塊位在第一及第三晶片封裝結構之間,其中第一晶片封裝結構更包括一第三金屬柱在第一聚合物層中,其中該第一聚合物層的上表面、第一半導體IC晶片的上表面、第一金屬柱的上表面及第三金屬柱的上表面共平面,其中第二半導體IC晶片依序經由第三交互連接線金屬層、第四交互連接線金屬層、第四金屬凸塊、第三金屬柱及第一交互連接線金屬層耦接第一半導體IC晶片。In the second case: In the tenth aspect, the non-volatile memory IC chip can be provided via a second chip package structure in the multi-chip package structure, wherein the second chip package structure is located in the first chip package structure Above, wherein the multi-chip package structure further includes a plurality of second metal bumps located under the second chip package structure, wherein the second chip package structure is coupled to the first chip package structure through the second metal bumps, wherein many The chip package structure includes a third chip package structure between the first and second chip package structures, wherein the second chip package structure is coupled to the first chip package structure through the third chip package structure, and a third metal bump The block is interposed between the first and third chip package structures, wherein the third metal bumps are coupled to the third chip package structure to the first chip package structure, wherein each of the second metal bumps is coupled to the second chip package structure to A third chip package structure includes a second semiconductor IC chip, a second polymer layer located outside the sidewall of the second semiconductor IC chip and extending outwardly from the sidewall in the space, and a second polymer layer The metal column is in the second polymer layer, wherein the upper surface of the second polymer layer, the upper surface of the second semiconductor IC chip and the upper surface of the second metal column are coplanar, and the second interconnecting line structure is located on the first Below the two semiconductor IC chips, the second polymer layer and the second metal pillars, wherein a third interconnecting metal layer includes a metal interconnecting line across the boundary of the second semiconductor IC chip below, a fourth interconnecting The connection line metal layer is located under the third interconnection line metal layer and a second insulating dielectric layer is between the third and fourth interconnection line metal layers, wherein the third metal bump is coupled to the fourth interconnection line metal layer. The multi-chip package structure further includes a fourth metal bump located between the first and third chip package structures, wherein the first chip package structure further includes a third metal post in the first polymer layer, wherein the first chip package structure The upper surface of a polymer layer, the upper surface of the first semiconductor IC chip, the upper surface of the first metal pillar, and the upper surface of the third metal pillar are coplanar, wherein the second semiconductor IC chip is sequentially metallized through the third interconnecting wire The layer, the fourth interconnecting wire metal layer, the fourth metal bump, the third metal pillar and the first interconnecting wire metal layer are coupled to the first semiconductor IC chip.

在第二種情況中:在第十一方面中,非揮發性記憶體IC晶片可經由多晶片封裝結構中的一第二晶片封裝結構提供,其中第二晶片封裝結構係位在第一晶片封裝結構上方,其中多晶片封裝結構中更包括多個第二金屬凸塊位在第二晶片封裝結構下方,其中第二晶片封裝結構經由該些第二金屬凸塊耦接第一晶片封裝結構,其中多晶片封裝結構包括一第三晶片封裝結構介於第一及第二晶片封裝結構之間,其中第二晶片封裝結構經由第三晶片封裝結構耦接至第一晶片封裝結構,及一第三金屬凸塊介於第一及第三晶片封裝結構之間,其中第三金屬凸塊耦接第三晶片封裝結構至第一晶片封裝結構,其中每一第二金屬凸塊耦接第二晶片封裝結構至第三晶片封裝結構,該第三晶片封裝結構包括一第二半導體IC晶片、一第二聚合物層位在第二半導體IC晶片的側壁之外並從側壁向外延伸在空間中,且第二金屬柱在第二聚合物層中,其中第二聚合物層的上表面、第二半導體IC晶片的上表面及第二金屬柱的上表面共平面,且該第二交互連接線結構位在第二半導體IC晶片、第二聚合物層及第二金屬柱的下方,其中一第三交互連接線金屬層包括一金屬交互連接線橫跨位在下方第二半導體IC晶片的邊界,一第四交互連接線金屬層位在第三交互連接線金屬層下方且一第二絕緣介電層介於第三及第四交互連接線金屬層之間,其中第三金屬凸塊耦接第四交互連接線金屬層。該多晶片封裝結構更包括一第四金屬凸塊位在第一及第三晶片封裝結構之間,其中第一晶片封裝結構更包括一第三金屬柱在第一聚合物層中,其中該第一聚合物層的上表面、第一半導體IC晶片的上表面、第一金屬柱的上表面及第三金屬柱的上表面共平面,其中第二半導體IC晶片依序經由第三交互連接線金屬層、第四交互連接線金屬層、第四金屬凸塊、第三金屬柱及第一交互連接線金屬層耦接第一半導體IC晶片,其中該驅動器具有一驅動能力介於0.1至2pF之間,其中多個第二金屬凸塊係介於第第二及第三晶片封裝結構之間,其中第三晶片封裝結構更包括一第三金屬柱在第二聚合物層中,其中第二聚合物層的上表面、專用I/O晶片的上表面、第二金屬柱的上表面及第三金屬柱的上表面共平面,其中專用I/O晶片包括一驅動器依序經由第三交互連接線金屬層、第三金屬柱及其中之一第二金屬凸塊耦接非揮發性記憶體IC晶片,其中該驅動器具有一驅動能力介於0.1至2pF之間。In the second case: In the eleventh aspect, the non-volatile memory IC chip can be provided via a second chip package structure in the multi-chip package structure, wherein the second chip package structure is located in the first chip package Above the structure, wherein the multi-chip package structure further includes a plurality of second metal bumps located under the second chip package structure, wherein the second chip package structure is coupled to the first chip package structure through the second metal bumps, wherein The multi-chip package structure includes a third chip package structure between the first and second chip package structures, wherein the second chip package structure is coupled to the first chip package structure through the third chip package structure, and a third metal The bumps are interposed between the first and third chip package structures, wherein the third metal bumps are coupled to the third chip package structure to the first chip package structure, wherein each of the second metal bumps is coupled to the second chip package structure To a third chip package structure, the third chip package structure includes a second semiconductor IC chip, a second polymer layer located outside the sidewall of the second semiconductor IC chip and extending outwardly from the sidewall in the space, and the first Two metal pillars are in the second polymer layer, wherein the upper surface of the second polymer layer, the upper surface of the second semiconductor IC chip and the upper surface of the second metal pillar are coplanar, and the second interconnecting line structure is located at Below the second semiconductor IC chip, the second polymer layer, and the second metal pillar, a third interconnect metal layer includes a metal interconnect line across the boundary of the lower second semiconductor IC chip, a fourth interconnect line The interconnect metal layer is located under the third interconnect metal layer and a second insulating dielectric layer is between the third and fourth interconnect metal layers, wherein the third metal bump is coupled to the fourth interconnect wire metal layer. The multi-chip package structure further includes a fourth metal bump located between the first and third chip package structures, wherein the first chip package structure further includes a third metal post in the first polymer layer, wherein the first chip package structure The upper surface of a polymer layer, the upper surface of the first semiconductor IC chip, the upper surface of the first metal pillar, and the upper surface of the third metal pillar are coplanar, wherein the second semiconductor IC chip is sequentially metallized through the third interconnecting wire The layer, the fourth interconnection wire metal layer, the fourth metal bump, the third metal pillar and the first interconnection wire metal layer are coupled to the first semiconductor IC chip, wherein the driver has a driving capability between 0.1 to 2pF , wherein a plurality of second metal bumps are interposed between the second and third chip package structures, wherein the third chip package structure further includes a third metal pillar in the second polymer layer, wherein the second polymer The upper surface of the layer, the upper surface of the dedicated I/O chip, the upper surface of the second metal pillar and the upper surface of the third metal pillar are coplanar, wherein the dedicated I/O chip includes a driver in sequence through the third interconnection wire metal The layer, the third metal pillar and one of the second metal bumps are coupled to the non-volatile memory IC chip, wherein the driver has a driving capability between 0.1 and 2 pF.

在第二種情況中:在第十二方面中,非揮發性記憶體IC晶片可經由多晶片封裝結構中的一第二晶片封裝結構提供,其中第二晶片封裝結構係位在第一晶片封裝結構上方,其中多晶片封裝結構中更包括多個第二金屬凸塊位在第二晶片封裝結構下方,其中第二晶片封裝結構經由該些第二金屬凸塊耦接第一晶片封裝結構,其中多晶片封裝結構包括一第三晶片封裝結構介於第一及第二晶片封裝結構之間,其中第二晶片封裝結構經由第三晶片封裝結構耦接至第一晶片封裝結構,及一第三金屬凸塊介於第一及第三晶片封裝結構之間,其中第三金屬凸塊耦接第三晶片封裝結構至第一晶片封裝結構,其中每一第二金屬凸塊耦接第二晶片封裝結構至第三晶片封裝結構,該第三晶片封裝結構包括一第二半導體IC晶片、一第二聚合物層位在第二半導體IC晶片的側壁之外並從側壁向外延伸在空間中,且第二金屬柱在第二聚合物層中,其中第二聚合物層的上表面、第二半導體IC晶片的上表面及第二金屬柱的上表面共平面,且該第二交互連接線結構位在第二半導體IC晶片、第二聚合物層及第二金屬柱的下方,其中一第三交互連接線金屬層包括一金屬交互連接線橫跨位在下方第二半導體IC晶片的邊界,一第四交互連接線金屬層位在第三交互連接線金屬層下方且一第二絕緣介電層介於第三及第四交互連接線金屬層之間,其中第三金屬凸塊耦接第四交互連接線金屬層。該多晶片封裝結構更包括一第四金屬凸塊位在第一及第三晶片封裝結構之間,其中第一晶片封裝結構更包括一第三金屬柱在第一聚合物層中,其中該第一聚合物層的上表面、第一半導體IC晶片的上表面、第一金屬柱的上表面及第三金屬柱的上表面共平面,其中第二半導體IC晶片依序經由第三交互連接線金屬層、第四交互連接線金屬層、第四金屬凸塊、第三金屬柱及第一交互連接線金屬層耦接第一半導體IC晶片,其中該驅動器具有一驅動能力介於0.1至2pF之間,該多晶片封裝結構更包括一第四金屬凸塊介於第二及第三晶片封裝結構之間,其中多個第二金屬凸塊係介於第第二及第三晶片封裝結構之間,其中第三晶片封裝結構更包括一第三金屬柱在第二聚合物層中,其中第二聚合物層的上表面、專用I/O晶片的上表面、第二金屬柱的上表面及第三金屬柱的上表面共平面,其中專用I/O晶片包括一驅動器依序經由第三交互連接線金屬層、第四交互連接線金屬層、第四金屬凸塊、第三金屬柱、第一交互連接線金屬層及第二交互連接線金屬層耦接第一金屬凸塊,其中該驅動器具有一驅動能力大於2pF。In the second case: In the twelfth aspect, the non-volatile memory IC chip can be provided via a second chip package structure in the multi-chip package structure, wherein the second chip package structure is located in the first chip package Above the structure, wherein the multi-chip package structure further includes a plurality of second metal bumps located under the second chip package structure, wherein the second chip package structure is coupled to the first chip package structure through the second metal bumps, wherein The multi-chip package structure includes a third chip package structure between the first and second chip package structures, wherein the second chip package structure is coupled to the first chip package structure through the third chip package structure, and a third metal The bumps are interposed between the first and third chip package structures, wherein the third metal bumps are coupled to the third chip package structure to the first chip package structure, wherein each of the second metal bumps is coupled to the second chip package structure To a third chip package structure, the third chip package structure includes a second semiconductor IC chip, a second polymer layer located outside the sidewall of the second semiconductor IC chip and extending outwardly from the sidewall in the space, and the first Two metal pillars are in the second polymer layer, wherein the upper surface of the second polymer layer, the upper surface of the second semiconductor IC chip and the upper surface of the second metal pillar are coplanar, and the second interconnecting line structure is located at Below the second semiconductor IC chip, the second polymer layer, and the second metal pillar, a third interconnect metal layer includes a metal interconnect line across the boundary of the lower second semiconductor IC chip, a fourth interconnect line The interconnect metal layer is located under the third interconnect metal layer and a second insulating dielectric layer is between the third and fourth interconnect metal layers, wherein the third metal bump is coupled to the fourth interconnect wire metal layer. The multi-chip package structure further includes a fourth metal bump located between the first and third chip package structures, wherein the first chip package structure further includes a third metal post in the first polymer layer, wherein the first chip package structure The upper surface of a polymer layer, the upper surface of the first semiconductor IC chip, the upper surface of the first metal pillar, and the upper surface of the third metal pillar are coplanar, wherein the second semiconductor IC chip is sequentially metallized through the third interconnecting wire The layer, the fourth interconnection wire metal layer, the fourth metal bump, the third metal pillar and the first interconnection wire metal layer are coupled to the first semiconductor IC chip, wherein the driver has a driving capability between 0.1 to 2pF , the multi-chip package structure further includes a fourth metal bump between the second and third chip package structures, wherein a plurality of second metal bumps are between the second and third chip package structures, The third chip package structure further includes a third metal pillar in the second polymer layer, wherein the upper surface of the second polymer layer, the upper surface of the dedicated I/O chip, the upper surface of the second metal pillar and the third The upper surfaces of the metal pillars are coplanar, wherein the dedicated I/O chip includes a driver sequentially through the third interconnection line metal layer, the fourth interconnection line metal layer, the fourth metal bump, the third metal pillar, and the first interconnection The connecting wire metal layer and the second interconnecting wire metal layer are coupled to the first metal bump, wherein the driver has a driving capability greater than 2pF.

在第二種情況中:在第十三方面中,非揮發性記憶體IC晶片可經由多晶片封裝結構中的一第二晶片封裝結構提供,其中第二晶片封裝結構係位在第一晶片封裝結構上方,其中多晶片封裝結構中更包括多個第二金屬凸塊位在第二晶片封裝結構下方,其中第二晶片封裝結構經由該些第二金屬凸塊耦接第一晶片封裝結構,第二晶片封裝結構為一BGA晶片封裝結構,其包括一線路板耦接該非揮發性記憶體IC晶片至該些第二金屬凸塊,其中第二金屬凸塊係以矩陣型式設置。In the second case: In the thirteenth aspect, the non-volatile memory IC chip can be provided via a second chip package structure in the multi-chip package structure, wherein the second chip package structure is located in the first chip package Above the structure, wherein the multi-chip package structure further includes a plurality of second metal bumps located under the second chip package structure, wherein the second chip package structure is coupled to the first chip package structure through the second metal bumps, the first The two-chip package structure is a BGA chip package structure, which includes a circuit board coupling the non-volatile memory IC chip to the second metal bumps, wherein the second metal bumps are arranged in a matrix.

在第二種情況中:在第十四方面中,非揮發性記憶體IC晶片可經由多晶片封裝結構中的一第二晶片封裝結構提供,其中第二晶片封裝結構係位在第一晶片封裝結構上方,其中第二晶片封裝結構係薄型小尺寸封裝(thin-small-outline-package(TSOP)),其包括一導線架且具有非揮發性記憶體IC晶片接合在上面,並且一灌模材料包住該導線架及該非揮發性記憶體IC晶片,其中該非揮發性記憶體IC晶片經由該導線架耦接第一晶片封裝結構。In the second case: In the fourteenth aspect, the non-volatile memory IC chip can be provided via a second chip package structure in the multi-chip package structure, wherein the second chip package structure is located in the first chip package Above the structure, wherein the second chip package structure is a thin-small-outline-package (TSOP), which includes a lead frame and has a non-volatile memory IC chip bonded thereon, and a potting material The lead frame and the non-volatile memory IC chip are wrapped, wherein the non-volatile memory IC chip is coupled to the first chip package structure through the lead frame.

在第二種情況中:在第十五方面中,非揮發性記憶體IC晶片可經由多晶片封裝結構中的一第二晶片封裝結構提供,其中第二晶片封裝結構係位在第一晶片封裝結構上方,其中第二晶片封裝結構係一晶片級封裝結構(CSP),其中晶片級封裝結構與該非揮發性記憶體IC晶片之間的面積比值係等於或小於1.5。In the second case: In the fifteenth aspect, the non-volatile memory IC chip can be provided via a second chip package structure in the multi-chip package structure, wherein the second chip package structure is located in the first chip package Above the structure, wherein the second chip package structure is a chip level package structure (CSP), wherein the area ratio between the chip level package structure and the non-volatile memory IC chip is equal to or less than 1.5.

在第二種情況中:在第十六方面中,第一晶片封裝結構更包括一第二交互連接線結構位在第一半導體IC晶片、第一聚合物層及第一金屬柱的上方,其中第二交互連接線結構包括一第三交互連接線金屬層位在該第一半導體IC晶片、第一聚合物層及第一金屬柱的上方,一第二絕緣介電層位在該第三交互連接線金屬層上方,其中該第三交互連接線金屬層包括一金屬交互連接線橫跨位在下方第一半導體IC晶片的一邊界,其中非揮發性記憶體IC晶片依序經由第三交互連接線金屬層、第一金屬柱及第一交互連接線金屬層耦接第一半導體IC晶片。In the second case: in the sixteenth aspect, the first chip package structure further includes a second interconnecting wire structure located above the first semiconductor IC chip, the first polymer layer and the first metal pillar, wherein The second interconnect structure includes a third interconnect metal layer over the first semiconductor IC chip, the first polymer layer and the first metal pillar, and a second insulating dielectric layer over the third interconnect above the interconnect metal layer, wherein the third interconnect metal layer includes a metal interconnect straddle a boundary of the underlying first semiconductor IC chip, wherein the non-volatile memory IC chips are sequentially connected through the third interconnect The wire metal layer, the first metal post and the first interconnecting wire metal layer are coupled to the first semiconductor IC chip.

在第二種情況中:在第十七方面中,第一半導體IC晶片係一FPGAIC晶片,而非揮發性記憶體IC晶片為一NAND快閃記憶體晶片或NOR快閃記憶體晶片。In the second case: In the seventeenth aspect, the first semiconductor IC chip is an FPGAIC chip, and the non-volatile memory IC chip is a NAND flash memory chip or a NOR flash memory chip.

在第三種情況中,一晶片封裝結構包括:半導體IC晶片、一第一聚合物層位在該半導體積體電路(IC)晶片的側壁之外並從其延伸的空間中、在第一聚合物層中的第一金屬柱,其中該第一聚合物層的一上表面、半導體積體電路(IC)晶片的上表面及第一金屬柱的上表面為共平面,一交互連接線結構位在半導體積體電路(IC)晶片、第一聚合物層及第一金屬柱的下方,其中第一交互連接線結構包括一第一交互連接線金屬層位在半導體IC晶片、第一聚合物層及第一金屬柱的下方,其中第一交互連接線金屬層包括一金屬交互連接線橫跨下方的半導體積體電路(IC)晶片的邊界,一第二交互連接線金屬層位在該第一交互連接線金屬層下方且一第一絕緣介電層介於第一及第二交互連接線金屬層之間,一第一金屬凸塊位在交互連接線結構的下方,其中第一金屬凸塊包括銲料層且其高度介於20至100微米之間;一第二聚合物層位在半導體積體電路(IC)晶片、第一聚合物層及第一金屬柱的上方,其中在第二聚合物層中的一第一開口位在第一金屬柱的上方;且第一金屬接墊位在第一金屬柱的上表面上,其中第一金屬柱的上表面位在第一開口的底部,其中該第一金屬接墊包括厚度介於1至10微米之間的銅層,其中第一金屬接墊經由第一金屬柱及第一交互連接線金屬層耦接半導體積體電路(IC)晶片。In a third case, a chip package structure includes a semiconductor IC chip, a first polymer layer located outside and extending from sidewalls of the semiconductor integrated circuit (IC) chip in a space extending therefrom, within the first polymer layer The first metal pillar in the material layer, wherein an upper surface of the first polymer layer, the upper surface of the semiconductor integrated circuit (IC) chip and the upper surface of the first metal pillar are coplanar, and an interconnecting wire structure is located Below the semiconductor integrated circuit (IC) chip, the first polymer layer and the first metal pillar, wherein the first interconnecting wire structure includes a first interconnecting wire metal layer located on the semiconductor IC chip, the first polymer layer and below the first metal pillar, wherein the first interconnect metal layer includes a metal interconnect line across the boundary of the underlying semiconductor integrated circuit (IC) chip, and a second interconnect metal layer is located on the first interconnect line A first insulating dielectric layer is located under the metal layer of the interconnection line and between the first and second metal layers of the interconnection line, and a first metal bump is located under the structure of the interconnection line, wherein the first metal bump including a solder layer and having a height between 20 and 100 microns; a second polymer layer overlying the semiconductor integrated circuit (IC) wafer, the first polymer layer and the first metal pillar, wherein the second polymer layer A first opening in the material layer is located above the first metal column; and the first metal pad is located on the upper surface of the first metal column, wherein the upper surface of the first metal column is located at the bottom of the first opening, Wherein the first metal pad includes a copper layer with a thickness between 1 and 10 microns, wherein the first metal pad is coupled to a semiconductor integrated circuit (IC) chip through a first metal pillar and a first interconnecting wire metal layer .

在第三種情況中:在第一方面中,第一金屬接墊更經由第一金屬柱、第一交互連接線金屬層及第二交互連接線金屬層耦接至第一金屬凸塊,第一金屬凸塊依序經由第二交互連接線金屬層及第一交互連接線金屬層耦接至半導體積體電路(IC)晶片。In the third case: in the first aspect, the first metal pad is further coupled to the first metal bump through the first metal pillar, the first interconnection wire metal layer and the second interconnection wire metal layer, the first A metal bump is sequentially coupled to the semiconductor integrated circuit (IC) chip through the second interconnect metal layer and the first interconnect metal layer.

在第三種情況中:在第二方面中,該晶片封裝結構更包括一第二金屬柱位在第一聚合物層中,其中第一聚合物層的上表面、半導體積體電路(IC)晶片的上表面、第一金屬柱的上表面及第二金屬柱的上表面為共平面,第二金屬凸塊位在交互連接線結構的下方,其中第一金屬凸塊包括銲料層且其高度介於20至100微米之間;且一第二金屬接墊位在第二金屬柱的上表面上,其中第一金屬柱的上表面位在第二聚合物層中的一第一開口底部;其中該第二金屬接墊包括厚度介於1至10微米之間的銅層,其中第二金屬接墊經由第二金屬柱、第一交互連接線金屬層及第二交互連接線金屬層耦接第二金屬凸塊,其中第二金屬接墊不連接至在晶片封裝結構中之任何的半導體積體電路(IC)晶片。In the third case: In the second aspect, the chip package structure further includes a second metal pillar located in the first polymer layer, wherein the upper surface of the first polymer layer, the semiconductor integrated circuit (IC) The upper surface of the chip, the upper surface of the first metal pillar and the upper surface of the second metal pillar are coplanar, the second metal bump is located under the interconnecting wire structure, wherein the first metal bump includes a solder layer and its height between 20 and 100 microns; and a second metal pad is located on the upper surface of the second metal pillar, wherein the upper surface of the first metal pillar is located at the bottom of a first opening in the second polymer layer; Wherein the second metal pad includes a copper layer with a thickness between 1 and 10 microns, wherein the second metal pad is coupled through the second metal pillar, the first interconnecting wire metal layer and the second interconnecting wire metal layer The second metal bump, wherein the second metal pad is not connected to any semiconductor integrated circuit (IC) chip in the chip package structure.

在第三種情況中:在第三方面中,半導體積體電路(IC)晶片包括一標準商業化FPGAIC晶片、專用控制晶片、專用I/O晶片、專用控制及I/O晶片、CPU晶片、DSP晶片、GPU晶片、TPU晶片或APU晶片、IAC晶片、ASIC晶片、NAND或NOR快閃非揮發性記憶體晶片、HBM晶片(例如DRAM晶片、SRAM晶片、MRAM晶片或RRAM晶片)、類比IC晶片、混合模組IC晶片、RFIC晶片)。In the third case: In the third aspect, the semiconductor integrated circuit (IC) chip includes a standard commercial FPGA IC chip, a dedicated control chip, a dedicated I/O chip, a dedicated control and I/O chip, a CPU chip, DSP chips, GPU chips, TPU chips or APU chips, IAC chips, ASIC chips, NAND or NOR flash non-volatile memory chips, HBM chips (eg DRAM chips, SRAM chips, MRAM chips or RRAM chips), analog IC chips , Hybrid module IC chips, RFIC chips).

在第四種情況中,一晶片封裝結構包括:半導體IC晶片、一聚合物層位在該半導體積體電路(IC)晶片的側壁之外並從其延伸的空間中、在聚合物層中的第一金屬柱,其中該聚合物層的一上表面、半導體積體電路(IC)晶片的上表面及第一金屬柱的上表面為共平面,一第一交互連接線結構位在半導體積體電路(IC)晶片、聚合物層及第一金屬柱的下方,其中第一交互連接線結構包括一第一交互連接線金屬層位在半導體IC晶片、聚合物層及第一金屬柱的下方,其中第一交互連接線金屬層包括一金屬交互連接線橫跨下方的半導體積體電路(IC)晶片的邊界,一第二交互連接線金屬層位在該第一交互連接線金屬層下方且一第一絕緣介電層介於第一及第二交互連接線金屬層之間,一第一金屬凸塊位在第一交互連接線結構的下方,其中第一金屬凸塊包括銲料層且其高度介於20至100微米之間;一第二交互連接線結構位在半導體積體電路(IC)晶片、聚合物層及第一金屬柱的上方,其中第二交互連接線結構包括一第二絕緣介電層位在半導體積體電路(IC)晶片及聚合物層上,一第三交互連接線金屬層位在該第二絕緣介電層上方及一第三絕緣介電層位在第三交互連接線金屬層上,其中該第三交互連接線金屬層包括一金屬交互連接線橫跨位在半導體積體電路(IC)晶片上方的邊界,其中位在第三絕緣介電層中的第一開口係位在第三交互連接線金屬層上方,且位在第三交互連接線金屬層上的第一金屬接墊位在第一開口的底部,其中該第一金屬接墊包括厚度介於1至10微米之間的銅層,其中第一金屬接墊經由第三交互連接線金屬層、第一金屬柱及第一交互連接線金屬層耦接半導體積體電路(IC)晶片。In a fourth case, a chip package structure includes a semiconductor IC chip, a polymer layer in a space beyond and extending from sidewalls of the semiconductor integrated circuit (IC) chip, a polymer layer in the polymer layer The first metal pillar, wherein an upper surface of the polymer layer, the upper surface of the semiconductor integrated circuit (IC) chip and the upper surface of the first metal pillar are coplanar, and a first interconnecting wire structure is located on the semiconductor IC The circuit (IC) chip, the polymer layer and the bottom of the first metal pillar, wherein the first interconnection line structure includes a first interconnection line metal layer located under the semiconductor IC chip, the polymer layer and the first metal pillar, Wherein the first interconnect metal layer includes a metal interconnect line spanning the boundary of the underlying semiconductor integrated circuit (IC) chip, a second interconnect line metal layer is located under the first interconnect line metal layer and a The first insulating dielectric layer is between the first and second interconnecting metal layers, a first metal bump is located under the first interconnecting structure, wherein the first metal bump includes a solder layer and its height is between 20 and 100 microns; a second interconnect structure is located over the semiconductor integrated circuit (IC) chip, the polymer layer and the first metal pillar, wherein the second interconnect structure includes a second insulating Dielectric layers are located on the semiconductor integrated circuit (IC) chip and polymer layer, a third interconnect metal layer is located over the second insulating dielectric layer and a third insulating dielectric layer is located on the third interconnect on a metal layer of interconnects, wherein the third layer of interconnect metal includes a metal interconnect spanning a boundary above the semiconductor integrated circuit (IC) die, wherein the first interconnect in the third insulating dielectric layer The opening is located above the metal layer of the third interconnection line, and the first metal pad located on the metal layer of the third interconnection line is located at the bottom of the first opening, wherein the first metal pad has a thickness of 1 to a copper layer between 10 microns, wherein the first metal pad is coupled to the semiconductor integrated circuit (IC) chip through the third interconnection wire metal layer, the first metal pillar and the first interconnection wire metal layer.

在第四種情況中:在第一方面中,第一金屬接墊另外依序經由第三交互連接線金屬層、第一金屬柱、第一交互連接線金屬層及第二交互連接線金屬層耦接至第一金屬凸塊。In a fourth case: In the first aspect, the first metal pad is additionally passed through the third interconnection wire metal layer, the first metal pillar, the first interconnection wire metal layer, and the second interconnection wire metal layer in sequence coupled to the first metal bump.

在第四種情況中:在第二方面中,第一金屬凸塊依序經由第一交互連接線金屬層及第二交互連接線金屬層耦接至半導體積體電路(IC)晶片。In a fourth case: In the second aspect, the first metal bump is coupled to a semiconductor integrated circuit (IC) chip via the first interconnect metal layer and the second interconnect metal layer in sequence.

在第四種情況中:在第三方面中,該晶片封裝結構更包括一第二金屬柱位在聚合物層中,其中聚合物層的上表面、半導體積體電路(IC)晶片的上表面、第一金屬柱的上表面及第二金屬柱的上表面為共平面,第二金屬凸塊位在交互連接線結構的下方,其中第一金屬凸塊包括銲料層且其高度介於20至100微米之間;且位在第三交互連接線金屬層上的一第二金屬接墊位在第二聚合物層中的一第一開口底部;其中該第二金屬接墊包括厚度介於1至10微米之間的銅層,其中第二金屬接墊經由第三交互連接線金屬層、第二金屬柱、第一交互連接線金屬層及第二交互連接線金屬層耦接第二金屬凸塊,其中第二金屬接墊不連接至在晶片封裝結構中之任何的半導體積體電路(IC)晶片。In the fourth case: In the third aspect, the chip package structure further includes a second metal pillar located in the polymer layer, wherein the upper surface of the polymer layer, the upper surface of the semiconductor integrated circuit (IC) chip , the upper surface of the first metal column and the upper surface of the second metal column are coplanar, the second metal bump is located under the interconnecting line structure, wherein the first metal bump includes a solder layer and its height is between 20 and between 100 microns; and a second metal pad located on the third interconnect metal layer is located at the bottom of a first opening in the second polymer layer; wherein the second metal pad includes a thickness of 1 to a copper layer between 10 microns, wherein the second metal pad is coupled to the second metal bump through the third interconnection line metal layer, the second metal pillar, the first interconnection line metal layer and the second interconnection line metal layer A block in which the second metal pad is not connected to any semiconductor integrated circuit (IC) chip in the chip package structure.

在第四種情況中:在第四方面中,半導體積體電路(IC)晶片包括一標準商業化FPGAIC晶片、專用控制晶片、專用I/O晶片、專用控制及I/O晶片、CPU晶片、DSP晶片、GPU晶片、TPU晶片或APU晶片、IAC晶片、ASIC晶片、NAND或NOR快閃非揮發性記憶體晶片、HBM晶片(例如DRAM晶片、SRAM晶片、MRAM晶片或RRAM晶片)、類比IC晶片、混合模組IC晶片、RFIC晶片)。In the fourth case: In the fourth aspect, the semiconductor integrated circuit (IC) chip includes a standard commercial FPGA IC chip, a dedicated control chip, a dedicated I/O chip, a dedicated control and I/O chip, a CPU chip, DSP chips, GPU chips, TPU chips or APU chips, IAC chips, ASIC chips, NAND or NOR flash non-volatile memory chips, HBM chips (eg DRAM chips, SRAM chips, MRAM chips or RRAM chips), analog IC chips , Hybrid module IC chips, RFIC chips).

在第五種情況中,一晶片封裝結構包括:半導體IC晶片、一聚合物層位在該半導體積體電路(IC)晶片的側壁之外並從其延伸的空間中、在聚合物層中的第一金屬柱,其中該聚合物層的一上表面、半導體積體電路(IC)晶片的上表面及第一金屬柱的上表面為共平面,一第一交互連接線結構位在半導體積體電路(IC)晶片、聚合物層及第一金屬柱的下方,其中第一交互連接線結構包括一第一交互連接線金屬層位在半導體IC晶片、聚合物層及第一金屬柱的下方,其中第一交互連接線金屬層包括一金屬交互連接線橫跨下方的半導體積體電路(IC)晶片的邊界,一第二交互連接線金屬層位在該第一交互連接線金屬層下方且一第一絕緣介電層介於第一及第二交互連接線金屬層之間,一第一金屬凸塊位在第一交互連接線結構的下方,其中第一金屬凸塊包括銲料層且其高度介於20至100微米之間;一第二交互連接線結構位在半導體積體電路(IC)晶片、聚合物層及第一金屬柱的上方,其中第二交互連接線結構包括一第二絕緣介電層位在半導體積體電路(IC)晶片及聚合物層上,一第三交互連接線金屬層位在該第二絕緣介電層上方及一第三絕緣介電層位在第三交互連接線金屬層上,其中該第三交互連接線金屬層包括一金屬交互連接線橫跨位在半導體積體電路(IC)晶片上方的邊界,其中位在第三絕緣介電層中的第一開口係位在第三交互連接線金屬層上方,且位在第三交互連接線金屬層上的第一金屬接墊位在第一開口的底部,其中該第一金屬接墊包括厚度介於1至10微米之間的銅層,其中第一金屬接墊經由第三交互連接線金屬層、第一金屬柱及第一交互連接線金屬層耦接半導體積體電路(IC)晶片。In a fifth case, a chip package structure includes a semiconductor IC chip, a polymer layer in a space beyond and extending from a sidewall of the semiconductor integrated circuit (IC) chip, a polymer layer in the polymer layer The first metal pillar, wherein an upper surface of the polymer layer, the upper surface of the semiconductor integrated circuit (IC) chip and the upper surface of the first metal pillar are coplanar, and a first interconnecting wire structure is located on the semiconductor IC The circuit (IC) chip, the polymer layer and the bottom of the first metal pillar, wherein the first interconnection line structure includes a first interconnection line metal layer located under the semiconductor IC chip, the polymer layer and the first metal pillar, Wherein the first interconnect metal layer includes a metal interconnect line spanning the boundary of the underlying semiconductor integrated circuit (IC) chip, a second interconnect line metal layer is located under the first interconnect line metal layer and a The first insulating dielectric layer is between the first and second interconnecting metal layers, a first metal bump is located under the first interconnecting structure, wherein the first metal bump includes a solder layer and its height is between 20 and 100 microns; a second interconnect structure is located over the semiconductor integrated circuit (IC) chip, the polymer layer and the first metal pillar, wherein the second interconnect structure includes a second insulating Dielectric layers are located on the semiconductor integrated circuit (IC) chip and polymer layer, a third interconnect metal layer is located over the second insulating dielectric layer and a third insulating dielectric layer is located on the third interconnect on a metal layer of interconnects, wherein the third layer of interconnect metal includes a metal interconnect spanning a boundary above the semiconductor integrated circuit (IC) die, wherein the first interconnect in the third insulating dielectric layer The opening is located above the metal layer of the third interconnection line, and the first metal pad located on the metal layer of the third interconnection line is located at the bottom of the first opening, wherein the first metal pad has a thickness of 1 to a copper layer between 10 microns, wherein the first metal pad is coupled to the semiconductor integrated circuit (IC) chip through the third interconnection wire metal layer, the first metal pillar and the first interconnection wire metal layer.

在第五種情況中:在第一方面中,第一金屬凸塊垂直地位在第一金屬柱下方。In a fifth case: In the first aspect, the first metal bump is positioned vertically below the first metal pillar.

在第五種情況中:在第二方面中,第一金屬凸塊垂直地位在半導體積體電路(IC)晶片下方。In a fifth case: In the second aspect, the first metal bumps are positioned vertically below the semiconductor integrated circuit (IC) wafer.

在第五種情況中:在第三方面中,第一金屬凸塊沒有垂直地位在第一金屬柱下方。In a fifth case: In the third aspect, the first metal bump is not positioned vertically below the first metal pillar.

在第五種情況中:在第四方面中,晶片封裝結構更包括一第二交互連接線結構位在半導體IC晶片、聚合物層及第一金屬柱的上方,其中第二交互連接線結構包括一第二絕緣介電層在半導體IC晶片及聚合物層上,第三交互連接線金屬層位在該第二絕緣介電層上,及一第三絕緣介電層在第三交互連接線金屬層上,其中該第三交互連接線金屬層包括一金屬交互連接線橫跨位在下方半導體IC晶片的一邊界,其中在第三絕緣介電層中的一開口位在第三交互連接線金屬層的上方且位在第三交互連接線金屬層的一金屬接墊位在該開口的底部,其中該金屬接墊包括厚度介於1至10微米之間的銅層,其中該金屬接墊經由第三交互連接線金屬層耦接至第一金屬柱,該金屬接墊垂直位在該第一金屬柱上方。In a fifth case: In the fourth aspect, the chip package structure further includes a second interconnecting wire structure located above the semiconductor IC chip, the polymer layer and the first metal pillar, wherein the second interconnecting wire structure includes A second insulating dielectric layer is on the semiconductor IC chip and the polymer layer, a third interconnecting wire metal layer is on the second insulating dielectric layer, and a third insulating dielectric layer is on the third interconnecting wire metal layer layer, wherein the third interconnect metal layer includes a metal interconnect across a boundary of the underlying semiconductor IC chip, wherein an opening in the third insulating dielectric layer is located at the third interconnect metal A metal pad above the layer and located on the third interconnect metal layer is located at the bottom of the opening, wherein the metal pad includes a copper layer having a thickness between 1 and 10 microns, wherein the metal pad is The third interconnect metal layer is coupled to the first metal column, and the metal pad is vertically positioned above the first metal column.

在第五種情況中:在第五方面中,晶片封裝結構更包括一第二交互連接線結構位在半導體IC晶片、聚合物層及第一金屬柱的上方,其中第二交互連接線結構包括一第二絕緣介電層在半導體IC晶片及聚合物層上,第三交互連接線金屬層位在該第二絕緣介電層上,及一第三絕緣介電層在第三交互連接線金屬層上,其中該第三交互連接線金屬層包括一金屬交互連接線橫跨位在下方半導體IC晶片的一邊界,其中在第三絕緣介電層中的一開口位在第三交互連接線金屬層的上方且位在第三交互連接線金屬層的一金屬接墊位在該開口的底部,其中該金屬接墊包括厚度介於1至10微米之間的銅層,其中該金屬接墊經由第三交互連接線金屬層耦接至第一金屬柱,該金屬接墊垂直位在該半導體IC晶片上方。In a fifth case: In the fifth aspect, the chip package structure further includes a second interconnecting wire structure located above the semiconductor IC chip, the polymer layer and the first metal pillar, wherein the second interconnecting wire structure includes A second insulating dielectric layer is on the semiconductor IC chip and the polymer layer, a third interconnecting wire metal layer is on the second insulating dielectric layer, and a third insulating dielectric layer is on the third interconnecting wire metal layer layer, wherein the third interconnect metal layer includes a metal interconnect across a boundary of the underlying semiconductor IC chip, wherein an opening in the third insulating dielectric layer is located at the third interconnect metal A metal pad above the layer and located on the third interconnect metal layer is located at the bottom of the opening, wherein the metal pad includes a copper layer having a thickness between 1 and 10 microns, wherein the metal pad is The third interconnect metal layer is coupled to the first metal column, and the metal pad is vertically positioned above the semiconductor IC chip.

在第五種情況中:在第六方面中,晶片封裝結構更包括一第二交互連接線結構位在半導體IC晶片、聚合物層及第一金屬柱的上方,其中第二交互連接線結構包括一第二絕緣介電層在半導體IC晶片及聚合物層上,第三交互連接線金屬層位在該第二絕緣介電層上,及一第三絕緣介電層在第三交互連接線金屬層上,其中該第三交互連接線金屬層包括一金屬交互連接線橫跨位在下方半導體IC晶片的一邊界,其中在第三絕緣介電層中的一開口位在第三交互連接線金屬層的上方且位在第三交互連接線金屬層的一金屬接墊位在該開口的底部,其中該金屬接墊包括厚度介於1至10微米之間的銅層,其中該金屬接墊經由第三交互連接線金屬層耦接至第一金屬柱,該金屬接墊沒有垂直位在該第一金屬柱上方。In the fifth case: In the sixth aspect, the chip package structure further includes a second interconnecting wire structure located above the semiconductor IC chip, the polymer layer and the first metal pillar, wherein the second interconnecting wire structure includes A second insulating dielectric layer is on the semiconductor IC chip and the polymer layer, a third interconnecting wire metal layer is on the second insulating dielectric layer, and a third insulating dielectric layer is on the third interconnecting wire metal layer layer, wherein the third interconnect metal layer includes a metal interconnect across a boundary of the underlying semiconductor IC chip, wherein an opening in the third insulating dielectric layer is located at the third interconnect metal A metal pad above the layer and located on the third interconnect metal layer is located at the bottom of the opening, wherein the metal pad includes a copper layer having a thickness between 1 and 10 microns, wherein the metal pad is The third interconnect metal layer is coupled to the first metal pillar, and the metal pad is not positioned vertically above the first metal pillar.

在第五種情況中:在第七方面中,晶片封裝結構更包括一第二交互連接線結構位在半導體IC晶片、聚合物層及第一金屬柱的上方,其中第二交互連接線結構包括一第二絕緣介電層在半導體IC晶片及聚合物層上,第三交互連接線金屬層位在該第二絕緣介電層上,及一第三絕緣介電層在第三交互連接線金屬層上,其中該第三交互連接線金屬層包括一金屬交互連接線橫跨位在下方半導體IC晶片的一邊界,其中在第三絕緣介電層中的一開口位在第三交互連接線金屬層的上方且位在第三交互連接線金屬層的一金屬接墊位在該開口的底部,其中該金屬接墊包括厚度介於1至10微米之間的銅層,其中該金屬接墊經由第三交互連接線金屬層耦接至第一金屬柱,介於該金屬接墊與第一金屬凸塊之間的一水平距離係大於第一金屬凸塊的一最大橫向尺寸。In a fifth case: In the seventh aspect, the chip package structure further includes a second interconnecting wire structure located above the semiconductor IC chip, the polymer layer and the first metal pillar, wherein the second interconnecting wire structure includes A second insulating dielectric layer is on the semiconductor IC chip and the polymer layer, a third interconnecting wire metal layer is on the second insulating dielectric layer, and a third insulating dielectric layer is on the third interconnecting wire metal layer layer, wherein the third interconnect metal layer includes a metal interconnect across a boundary of the underlying semiconductor IC chip, wherein an opening in the third insulating dielectric layer is located at the third interconnect metal A metal pad above the layer and located on the third interconnect metal layer is located at the bottom of the opening, wherein the metal pad includes a copper layer having a thickness between 1 and 10 microns, wherein the metal pad is The third interconnect metal layer is coupled to the first metal pillar, and a horizontal distance between the metal pad and the first metal bump is greater than a maximum lateral dimension of the first metal bump.

在第五種情況中:在第八方面中,該晶片封裝結構更包括一第二金屬柱位在聚合物層中,其中聚合物層的上表面、半導體積體電路(IC)晶片的上表面、第一金屬柱的上表面及第二金屬柱的上表面為共平面,其中第二金屬柱經由第一交互連接線金屬層耦接至半導體積體電路(IC)晶片。In the fifth aspect: in the eighth aspect, the chip package structure further includes a second metal pillar located in the polymer layer, wherein the upper surface of the polymer layer, the upper surface of the semiconductor integrated circuit (IC) chip , The upper surface of the first metal column and the upper surface of the second metal column are coplanar, wherein the second metal column is coupled to the semiconductor integrated circuit (IC) chip through the first interconnecting wire metal layer.

在第五種情況中:在第九方面中,半導體積體電路(IC)晶片包括一標準商業化FPGAIC晶片、專用控制晶片、專用I/O晶片、專用控制及I/O晶片、CPU晶片、DSP晶片、GPU晶片、TPU晶片或APU晶片、IAC晶片、ASIC晶片、NAND或NOR快閃非揮發性記憶體晶片、HBM晶片(例如DRAM晶片、SRAM晶片、MRAM晶片或RRAM晶片)、類比IC晶片、混合模組IC晶片、RFIC晶片)。In the fifth case: In the ninth aspect, the semiconductor integrated circuit (IC) chip includes a standard commercial FPGA IC chip, a dedicated control chip, a dedicated I/O chip, a dedicated control and I/O chip, a CPU chip, DSP chips, GPU chips, TPU chips or APU chips, IAC chips, ASIC chips, NAND or NOR flash non-volatile memory chips, HBM chips (eg DRAM chips, SRAM chips, MRAM chips or RRAM chips), analog IC chips , Hybrid module IC chips, RFIC chips).

保護範圍之限制係僅由申請專利範圍所定義,保護範圍係意圖及應該以在申請專利範圍中所使用之用語之一般意義來做成寬廣之解釋,並可根據說明書及之後的審查過程對申請專利範圍做出解釋,在解釋時亦會包含其全部結構上及功能上之均等物件。The limitation of the scope of protection is only defined by the scope of the patent application. The scope of protection is intended and should be construed broadly based on the general meaning of the terms used in the scope of the patent application. The scope of the patent is explained, and all structural and functional equivalents are also included in the explanation.

除非另有述及,否則經敘述於本專利說明書中之所有度量值、數值、等級、位置、程度、大小及其他規格,包括在下文請求項中,係為近似或額定值,而未必精確;其係意欲具有合理範圍其係與其有關聯之功能及與此項技藝中所習用與其相關者一致。Unless otherwise stated, all measurements, values, grades, locations, degrees, sizes and other specifications recited in this patent specification, including in the claims below, are approximate or nominal and not necessarily exact ; which are intended to have a reasonable range of functions associated therewith and are consistent with those conventionally used in the art with respect to them.

已被陳述或說明者之中全無意欲或應被解釋為會造成任何組件、步驟、特徵、目的、利益、優點或公開之相當事物之專用,而不管其是否被敘述於請求項中。Nothing in what has been stated or illustrated is intended or should be construed to result in the exclusive use of any component, step, feature, object, benefit, advantage or equivalent disclosed, whether or not recited in the claim.

447:電晶體 448:電晶體 449:開關 451:字元線 452:位元線 453:位元線 258:通過/不通開關 222:電晶體 223:電晶體 533:通過/不通開關反向器 292:三態緩衝器 293:電晶體 294:電晶體 295:電晶體 296:電晶體 297:反向器 398:SRAM單元 446:記憶體單元 379:交叉點開關 211:多工器 215:三態緩衝器 216:三態緩衝器 217:三態緩衝器 218:三態緩衝器 219:反向器 220:反向器 207:反向器 208:反向器 231:電晶體 232:電晶體 233:反向器 272:I/O接墊 273:靜電放電(ESD)保護電路 274:大型驅動器 275:大型接收器 341:大型I/O電路 282:二極體 283:二極體 281:節點 285:電晶體 286:電晶體 287:非及(NAND)閘 288:非或(NOR)閘 289:反向器 290:非及(NAND)閘 291:反向器 372:I/O接墊 373:靜電放電(ESD)保護電路 374:小型驅動器 375:小型接收器 203:小型I/O電路 382:二極體 383:二極體 381:節點 385:電晶體 386:電晶體 387:非及(NAND)閘 388:非或(NOR)閘 389:反向器 391:反向器 390:非及(NAND)閘 210:查找表(LUT) 201:可編程邏輯區塊(LB) 490:記憶單元 212:及(AND)閘 213:非及(NAND)閘 214:非及(NAND)閘 236:及(AND)閘 237:及(AND)閘 238:互斥或(ExOR)閘 234:及(AND)閘 239:及(AND)閘 242:互斥或(ExOR)閘 253:及(AND)閘 361:可編程交互連接線 362:記憶單元 262:記憶單元 364:固定交互連接線 200:標準商業化FPGAIC晶片 502:晶片內交互連接線 206:接地接墊 2:半導體基底 395:記憶體陣列區塊 279:繞道交互連接線 278:區域 455:連接區塊(CB) 456:開關區塊(SB) 276:修復用輸入開關陣列 277:修復用輸出開關陣列 410:積體電路(IC)晶片 423:記憶陣列區塊 205:電源接墊 265:專用於輸入/輸出(I/O)之晶片 300:標準商業化邏輯驅動器 250:非揮發性記憶體(NVM)積體電路(IC)晶片 260:專用控制晶片 371:晶片間交互連接線 321:NVM:IC晶片 266:專用控制晶片及專用I/O晶片 402:IAC晶片 267:DCIAC晶片 268:DCDI/OIAC晶片 269:PCIC晶片 324:揮發性(VM)積體電路(IC)晶片 251:高速高頻寬的記憶體(HBM)積體電路(IC)晶片 271:外部電路 360:控制方塊 340:緩衝/驅動單元 337:控制單元 586:接合連接點 336:開關 454:字元線 4:半導體元件 20:交互連接線結構/FISC 6:交互連接線金屬層 10:金屬栓塞 8:金屬接墊、線及連接線 12:絕緣介電層 12a:底部區分蝕刻停止層 15:光阻層 15a:溝槽或複數開孔 12d:溝槽或複數開孔 18:黏著層 22:電鍍用種子層 24:銅金屬層 12e:介電層 12g:頂層低介電SiOC層 12f:中間區分蝕刻停止層 12h:頂層區分蝕刻停止層 12i:溝槽或頂部開口 17:第二光阻層 17a:溝槽或複數開孔 12j:孔洞或底部開口 16:金屬接墊 14:保護層 14a:開口 26:黏著層 28:種子層 30:光阻層 30a:開口 32:金屬層/銅層 34:微型凸塊或金屬柱 36:聚合物層 38:光阻層 38a:溝槽或複數開孔 40:金屬層 27交互連接線金屬層 42:聚合物層 51:聚合物層 29:SISC 42a:開口 27a:金屬栓塞 27b:金屬接墊、金屬線或連接線 51a:開口 202:可編程交互連接線 44:黏著層 46:電鍍用種子層 48:光阻層 48a:開口 50:銅金屬層 100:半導體晶片 90:載體基板 88:黏著材料 92:聚合物層 93:聚合物層 93a:開口 94:黏著/種子層 96:光阻層 96a:開孔 98:金屬層 99:交互連接線金屬層 99a:金屬栓塞 104:聚合物層 104a:開口 101:TISD 99b:金屬接墊、金屬線或連接線 116:黏著/種子層 118:光阻層 118a:開口 120:金屬層 122:金屬柱或凸塊 126:軟性電路板或薄膜 148:聚合物層 146:銅接合線 150:聚合物保護層 152:銲錫層 154:錫金合金 156:聚合物材質 158:TPVs 91:絕緣層 97:聚合物層 97a:開口 140:黏著/種子層 142:光阻層 142a:開口 144:銅層 158a:背面 110:電路載體或基板 109:金屬接墊 114:底部填充材料 112:銲錫、銲膏或助銲劑 325:銲錫球 113:基板單元 79:BISD 81:黏著層 83:電鍍用種子層 75:光阻層 75a:開孔 85:金屬層 77:交互連接線金屬層 94a:開口 77a:金屬栓塞 77b:金屬接墊、金屬線或連接線 87:聚合物層 87a:開口 77c:金屬平面 77d:金屬平面 140b:電鍍用種子層 140a:黏著層 53:區域 77e:接墊 583:銲錫/金屬凸塊 54:微型凸塊 411:第一交互連接線網 412:第二交互連接線網 413:第三交互連接線網 414:第四交互連接線網 415:第五交互連接線網 416:第六交互連接線網 417:第七交互連接線網 418:第八交互連接線網 419:第九交互連接線網 420:第十交互連接線 421:第十一交互連接線 422:第十二交互連接線 461:內部驅動交互連接線 462:第二內部驅動交互連接線 463:第三內部驅動交互連接線 464:第四內部驅動交互連接線 482:交互連接線 201:神經元或神經細胞 481:樹突 322:非揮發性記憶體驅動器 323:揮發性記憶體驅動器 305:I/Os連接埠 301:基頻處理器 330:桌上型或膝上型電腦、手機或智慧型手機或AI機械人 302:應用處理器 303:其它處理器 304:電源管理 306:無線訊號通訊元件 308:照相機 307:顯示裝置 309:音頻設置 310:記憶體驅動器、磁碟或裝置 311:鍵盤 312:乙太網路 314:I/O連接埠 313:電源管理晶片 587:堆疊路徑 610:非揮發性可編程邏輯驅動器/POP封裝結構 510:NVM晶片封裝結構 520:邏輯晶片封裝結構 550:單層晶片封裝結構或小晶片 516:銲料接合點 517:底部填充材料 511:黏著層 513:線路板 524:黏著層 514:打線導線 515:灌模聚合物 519:聚合物層 518:交互連接線金屬層 570:金屬凸塊、柱或接墊 530:線路板 521:銲料接合點 522:底部填充材料 523:銲料凸塊或球 92:聚合物層 531:接合接點 534:銅凸塊 535:含錫金屬層 532:底部填充材料 540:I/O晶片封裝結構 536:銲料接合點 542:銲料接合點 541:底部填充材料 108:金屬接墊、線或連接線 536:銲料接點 560:HBM晶片封裝結構 558:銲料接點 559:底部填充材料 537:底部填充材料 431:金屬連接線 432:頸部 434:擋條 433:開關 435:抗保險絲 436:電極 437:電極 438:氧化窗 611:POP封裝結構 526:銲料接點 527:底部填充材料 528:旁路交互連接線 529:匯流排 733:P型鰭 732:P型阱 729:場氧化物 737:閘極 738:金屬層 739:導電金屬層 740:閘極氧化物 832:P型阱 831:P型條 833:P型鰭 833a:開口 833b:縱向部分 829:場氧化物 837:閘極 838:工作功能金屬層 839:導電金屬層 840:閘極氧化物 2031:邏輯閘極或電路 2016:加法單元 2032:多工器 2033:多工器 2034:D型觸發器電路 2036:多工器 2037:邏輯操作器或電路 2039:D型觸發器電路 2038:耦接級聯電路 2040:時脈匯流排 2041:設定/重置控制電路 2042:時脈控制電路 2043:多工器 2042:大型顆粒重新配置單元 2043:功能單元(FU) 2044:輸入點 2046:寄存檔案記憶體區塊447: Transistor 448: Transistor 449: Switch 451: word line 452: bit line 453: bit line 258: Pass/No Pass switch 222: Transistor 223: Transistor 533: Pass/No Pass Switch Inverter 292: Tri-state buffer 293: Transistor 294: Transistor 295: Transistor 296: Transistor 297: Inverter 398: SRAM cell 446: memory unit 379: Crosspoint Switch 211: Multiplexer 215: Tri-state buffer 216: Tri-state buffer 217: Tri-state buffer 218: Tri-state buffer 219: reverser 220: reverser 207: Inverter 208: Inverter 231: Transistor 232: Transistor 233: Inverter 272: I/O pads 273: Electrostatic Discharge (ESD) Protection Circuits 274: Large Drive 275: Large Receiver 341: Large I/O Circuits 282: Diode 283: Diode 281: Node 285: Transistor 286: Transistor 287:Non and (NAND) gate 288: Non-OR (NOR) gate 289: Inverter 290:Non and (NAND) gate 291: Inverter 372: I/O pads 373: Electrostatic Discharge (ESD) Protection Circuits 374: Small Drive 375: Small Receiver 203: Small I/O Circuits 382: Diode 383: Diode 381: Node 385: Transistor 386: Transistor 387:Non and (NAND) gate 388: Non-OR (NOR) gate 389: Inverter 391: Inverter 390:Non and (NAND) gate 210: Lookup Table (LUT) 201: Programmable Logic Block (LB) 490: Memory Unit 212: AND (AND) gate 213: Non-and (NAND) gate 214:Non and (NAND) gate 236: AND (AND) gate 237: AND (AND) gate 238: Mutually exclusive OR (ExOR) gate 234: AND (AND) gate 239: AND (AND) gate 242: Mutually exclusive OR (ExOR) gate 253: AND (AND) gate 361: Programmable Interaction Cable 362: Memory Unit 262: Memory Unit 364: Fixed interactive connection line 200: Standard commercial FPGAIC chips 502: Inter-chip interconnection lines 206: Ground Pad 2: Semiconductor substrate 395: Memory array block 279: Bypass Interconnect Line 278: Area 455: Connect Block (CB) 456: Switch Block (SB) 276: Repair with input switch array 277: Repair with output switch array 410: Integrated Circuit (IC) Chips 423: Memory Array Block 205: Power pad 265: a chip dedicated to input/output (I/O) 300: Standard Commercial Logic Drive 250: Non-Volatile Memory (NVM) Integrated Circuit (IC) Chips 260: Dedicated control chip 371: Inter-chip interconnection line 321:NVM:IC chip 266: Dedicated control chip and dedicated I/O chip 402: IAC wafer 267: DCIAC wafer 268: DCDI/OIAC wafer 269: PCIC chip 324: Volatile (VM) Integrated Circuit (IC) Chips 251: High-speed and high-bandwidth memory (HBM) integrated circuit (IC) chips 271: External circuit 360: Control Cube 340: Buffer/Drive Unit 337: Control Unit 586: Splice connection point 336: Switch 454: word line 4: Semiconductor components 20: Interconnecting Line Structure/FISC 6: Interconnect wire metal layer 10: Metal plug 8: Metal pads, wires and connecting wires 12: Insulating dielectric layer 12a: Bottom distinguishing etch stop layer 15: Photoresist layer 15a: Grooves or multiple openings 12d: groove or multiple openings 18: Adhesive layer 22: Seed layer for electroplating 24: Copper metal layer 12e: Dielectric layer 12g: Top low-dielectric SiOC layer 12f: Intermediate differentiated etch stop layer 12h: Top layer distinguishes etch stop layer 12i: Groove or top opening 17: Second photoresist layer 17a: Grooves or multiple openings 12j: Holes or bottom openings 16: Metal pads 14: Protective layer 14a: Opening 26: Adhesive layer 28: Seed Layer 30: photoresist layer 30a: Opening 32: metal layer/copper layer 34: Micro bumps or metal pillars 36: Polymer layer 38: photoresist layer 38a: Grooves or multiple openings 40: Metal layer 27 Interconnect wire metal layer 42: Polymer layer 51: Polymer layer 29: SISC 42a: Opening 27a: Metal plug 27b: Metal pads, wires or connecting wires 51a: Opening 202: Programmable Interaction Cable 44: Adhesive layer 46: Seed layer for electroplating 48: photoresist layer 48a: Opening 50: Copper metal layer 100: Semiconductor wafer 90: carrier substrate 88: Adhesive material 92: polymer layer 93: Polymer layer 93a: Opening 94: Adhesion/Seed Layer 96: photoresist layer 96a: Opening 98: Metal layer 99: Interconnect wire metal layer 99a: Metal plug 104: Polymer Layer 104a: Opening 101:TISD 99b: Metal pads, wires or connecting wires 116: Adhesion/Seed Layer 118: photoresist layer 118a: Opening 120: metal layer 122: Metal pillars or bumps 126: Flexible circuit board or film 148: Polymer Layer 146: Copper Bonding Wire 150: Polymer protective layer 152: Solder layer 154: tin gold alloy 156: polymer material 158:TPVs 91: Insulation layer 97: Polymer layer 97a: Opening 140: Adhesion/Seed Layer 142: photoresist layer 142a: Opening 144: Copper layer 158a: Back 110: Circuit carrier or substrate 109: Metal Pad 114: Underfill material 112: Solder, Solder Paste or Flux 325: Solder Ball 113: Substrate unit 79: BISD 81: Adhesive layer 83: Seed layer for electroplating 75: photoresist layer 75a: Opening 85: Metal layer 77: Interconnect wire metal layer 94a: Opening 77a: Metal plug 77b: Metal pads, wires or connecting wires 87: Polymer layer 87a: Opening 77c: Metal Flat 77d: Metal Flat 140b: Seed layer for electroplating 140a: Adhesive layer 53: Area 77e: Pad 583: Solder/Metal Bumps 54: Micro bumps 411: First Interactive Connection Wire Net 412: Second Interactive Connection Net 413: Third Interconnection Wire Net 414: Fourth Interconnection Net 415: Fifth Interconnection Wire Net 416: Sixth Interconnection Wire Net 417: Seventh Interconnection Wire Net 418: The Eighth Interconnection Network 419: Ninth Interconnection Network 420: Tenth Interconnection Line 421: Eleventh Interconnect Line 422: Twelfth Interconnect Line 461: Internal drive interactive connection line 462: Second internal drive interactive connection line 463: The third internal drive interactive connection line 464: Fourth internal drive interaction connection line 482: Interactive Connection Line 201: Neuron or nerve cell 481:Dendrite 322: Non-volatile memory drive 323: Volatile Memory Drive 305: I/Os port 301: Baseband processor 330: Desktop or Laptop, Mobile or Smartphone or AI Robot 302: Application Processor 303: Other processors 304: Power Management 306: Wireless Signal Communication Components 308: Camera 307: Display device 309: Audio Settings 310: Memory drive, disk or device 311: Keyboard 312: Ethernet 314: I/O port 313: Power management chip 587: stack path 610: Nonvolatile Programmable Logic Driver/POP Package Structure 510:NVM chip package structure 520: Logic chip package structure 550: Single-layer chip package structure or small chip 516: Solder Joints 517: Underfill material 511: Adhesive layer 513: circuit board 524: Adhesive layer 514: Wire Wire 515: Molded Polymer 519: Polymer Layer 518: Interconnect wire metal layer 570: Metal bumps, posts or pads 530: circuit board 521: Solder Joints 522: Underfill material 523: Solder bumps or balls 92: polymer layer 531: junction contacts 534: Copper bumps 535: Tin-containing metal layer 532: Underfill material 540: I/O Chip Package Structure 536: Solder Joints 542: Solder Joints 541: Underfill material 108: Metal pads, wires or connecting wires 536: Solder Contacts 560:HBM chip package structure 558: Solder Contacts 559: Underfill material 537: Underfill material 431: Metal connecting wire 432: Neck 434: Barrier 433: switch 435: Anti-fuse 436: Electrodes 437: Electrodes 438: Oxidation window 611: POP package structure 526: Solder Contact 527: Underfill material 528: Bypass Interconnect Line 529: Busbar 733: P-fin 732: P-well 729: Field Oxide 737: Gate 738: Metal Layer 739: Conductive metal layer 740: gate oxide 832: P-well 831: P-strip 833: P-fin 833a: Opening 833b: Longitudinal section 829: Field Oxide 837: Gate 838: Working functional metal layer 839: Conductive metal layer 840: gate oxide 2031: Logic Gate OR Circuits 2016: Addition Unit 2032: Multiplexer 2033: Multiplexer 2034: D-type flip-flop circuit 2036: Multiplexer 2037: Logic Operators or Circuits 2039: D-Type Flip-Flop Circuits 2038: Coupling Cascade Circuit 2040: Clock Bus 2041: Set/Reset Control Circuit 2042: Clock Control Circuit 2043: Multiplexer 2042: Large Granular Reconfiguration Unit 2043: Functional Unit (FU) 2044: input point 2046: Register file memory block

圖式揭示本發明之說明性實施例。其並未闡述所有實施例。可另外或替代使用其他實施例。為節省空間或更有效地說明,可省略顯而易見或不必要之細節。相反,可實施一些實施例而不揭示所有細節。當相同數字出現在不同圖式中時,其係指相同或類似組件或步驟。The drawings disclose illustrative embodiments of the invention. It does not describe all embodiments. Other embodiments may additionally or alternatively be used. Details that are obvious or unnecessary may be omitted to save space or to illustrate more efficiently. Rather, some embodiments may be practiced without disclosing all details. When the same numbers appear in different drawings, they refer to the same or similar components or steps.

當以下描述連同隨附圖式一起閱讀時,可更充分地理解本發明之態樣,該等隨附圖式之性質應視為說明性而非限制性的。該等圖式未必按比例繪製,而是強調本發明之原理。Aspects of the present invention may be more fully understood when the following description is read in conjunction with the accompanying drawings, which are to be regarded in an illustrative rather than a restrictive nature. The drawings are not necessarily to scale, emphasizing the principles of the invention.

第1A圖及第1B圖為本發明實施例中各種類型的複數記憶體單元電路圖。1A and 1B are circuit diagrams of various types of complex memory cells according to an embodiment of the present invention.

第2A圖至第2F圖為本發明實施例中各種類型的通過/不通過開關電路圖。2A to 2F are circuit diagrams of various types of pass/no-pass switches in embodiments of the present invention.

第3A圖至第3D圖為本發明實施例中各種類型的複數交叉點開關方塊圖。3A to 3D are block diagrams of various types of complex cross-point switches according to embodiments of the present invention.

第4A圖及第4C圖至第4J圖為本發明實施例中各種類型的複數多工器電路圖。FIG. 4A and FIGS. 4C to 4J are circuit diagrams of various types of complex multiplexers in the embodiments of the present invention.

第4B圖為本發明實施例中多工器中的一三態緩衝器電路圖。FIG. 4B is a circuit diagram of a tri-state buffer in the multiplexer according to the embodiment of the present invention.

第5A圖為本發明實施例中大型I/O電路之電路圖。FIG. 5A is a circuit diagram of a large I/O circuit in an embodiment of the present invention.

第5B圖為本發明實施例中小型I/O電路之電路圖。FIG. 5B is a circuit diagram of a small and medium-sized I/O circuit according to an embodiment of the present invention.

第6A圖為本發明實施例中可編程邏輯運算方塊示意圖。FIG. 6A is a schematic diagram of a programmable logic operation block according to an embodiment of the present invention.

第6B圖為本發明實施例中邏輯運算操作單元之電路圖。FIG. 6B is a circuit diagram of a logic operation operation unit in an embodiment of the present invention.

第6C圖為本發明實施例中第6B圖之邏輯運算操作單元的查找表(look-uptable)。FIG. 6C is a look-up table of the logical operation unit of FIG. 6B according to an embodiment of the present invention.

第6C圖為本發明實施例中第6E圖之計算運算操作單元的查找表。FIG. 6C is a look-up table of the calculation operation unit of FIG. 6E in the embodiment of the present invention.

第6E圖為本發明實施例中計算運算操作單元之電路圖。FIG. 6E is a circuit diagram of a computing operation unit in an embodiment of the present invention.

第7A圖至第7C圖為本發明實施例中複數可編程交互連接線經由通過/不通過開關或交叉點開關編程的方塊圖。FIGS. 7A to 7C are block diagrams of programming of a plurality of programmable interconnect lines via pass/no pass switches or crosspoint switches in accordance with an embodiment of the present invention.

第8A圖至第8H圖為本發明實施例中商業化標準FPGAIC晶片各種佈置的上視圖。Figures 8A to 8H are top views of various arrangements of commercial standard FPGAIC chips in embodiments of the present invention.

第8I圖至第8J圖為本發明實施例中各種修復算法的方塊圖。8I to 8J are block diagrams of various repair algorithms according to embodiments of the present invention.

第9圖為本發明實施例中專用可編程交互連接線(dedicatedprogrammable-interconnection,DPI)在積體電路(IC)晶片的方塊上視圖。FIG. 9 is a block top view of a dedicated programmable interconnection (DPI) on an integrated circuit (IC) chip according to an embodiment of the present invention.

第10圖為本發明實施例中專用輸入/輸出(I/O)晶片的方塊上視圖。FIG. 10 is a block top view of a dedicated input/output (I/O) chip in accordance with an embodiment of the present invention.

第11A圖至第11N圖為本發明實施例中各種類型的邏輯驅動器佈置之上視圖。11A to 11N are top views of various types of logical drive arrangements in an embodiment of the present invention.

第12A圖至第12C圖為本發明實施例中在邏輯驅動器中複數晶片之間的各種類型之連接的方塊圖。12A to 12C are block diagrams of various types of connections between multiple chips in a logic driver according to an embodiment of the present invention.

第13A圖至第13B圖為本發明實施例中用於資料加載至複數記體體單元的方塊圖。13A to 13B are block diagrams for loading data into a plurality of memory cells in an embodiment of the present invention.

第14A圖為本發明實施例中半導體晶圓剖面圖。FIG. 14A is a cross-sectional view of a semiconductor wafer according to an embodiment of the present invention.

第14B圖至第14H圖為本發明實施例中以單一鑲嵌製程(singledamasceneprocess)形成第一交互連接線結構的剖面圖。FIGS. 14B to 14H are cross-sectional views illustrating the formation of the first interconnect structure by a single damascene process according to an embodiment of the present invention.

第14I圖至第14Q圖為本發明實施例中以雙鑲嵌製程(doubledamasceneprocess)形成第一交互連接線結構的剖面圖。FIGS. 14I to 14Q are cross-sectional views illustrating the formation of the first interconnect structure by a double damascene process according to an embodiment of the present invention.

第15A圖至第15H圖為本發明實施例中形成微型凸塊或微型金屬柱在一晶片上的製程剖面圖。FIGS. 15A to 15H are cross-sectional views of the process of forming micro bumps or micro metal pillars on a wafer according to an embodiment of the present invention.

第16A圖至第16L圖及第17圖為本發明實施例中形成第二交互連接線結構在一保護層上及形成複數微型金屬柱或微型凸塊在第二交互連接線金屬層上的製程剖面圖。FIGS. 16A to 16L and FIG. 17 illustrate the process of forming a second interconnecting line structure on a protective layer and forming a plurality of micro metal pillars or micro bumps on the second interconnecting line metal layer in accordance with an embodiment of the present invention Sectional drawing.

第18A圖至第18W圖為本發明實施中依據FOIT形成單層封裝邏輯驅動器之製程示意圖。18A to 18W are schematic diagrams of the process of forming a single-level package logic driver according to FOIT in the implementation of the present invention.

第19A圖至19L圖為本發明實施例中依據TPVS及FOIT形成單層封裝邏輯驅動器之製程剖面示意圖。FIGS. 19A to 19L are cross-sectional schematic diagrams of a process for forming a single-level package logic driver according to TPVS and FOIT according to an embodiment of the present invention.

第19A圖至19L圖為本發明實施例中封裝-至-封裝(POP)封裝製程之剖面示意圖。19A to 19L are schematic cross-sectional views of a package-to-package (POP) packaging process according to an embodiment of the present invention.

第19S圖至19Z圖為本發明實施例中依據TPVS及FOIT形成單層封裝邏輯驅動器之製程剖面示意圖。FIGS. 19S to 19Z are cross-sectional schematic diagrams of a process of forming a single-level package logic driver according to TPVS and FOIT according to an embodiment of the present invention.

第20A圖至20M圖為本發明實施例中形成BISD在載體基板上之製程示意圖。20A to 20M are schematic diagrams of a process of forming a BISD on a carrier substrate according to an embodiment of the present invention.

第20N圖為本發明實施例中金屬平面之上視圖。FIG. 20N is a top view of the metal plane in the embodiment of the present invention.

第20O圖至20R圖為本發明實施例中形成複數封裝穿孔(TPV)在BISD上之製程剖面示意圖。20O to 20R are schematic cross-sectional views of the process of forming a plurality of package vias (TPVs) on the BISD according to the embodiment of the present invention.

第20S圖至20Z圖為本發明實施例中形成單層封裝邏輯驅動器之製程剖面示意圖。FIGS. 20S to 20Z are schematic cross-sectional views of a process for forming a single-level package logic driver according to an embodiment of the present invention.

第21A圖至21B圖為本發明實施例中TPVS的上視圖。21A to 21B are top views of the TPVS in the embodiment of the present invention.

第21B圖至21G圖為本發明實施例中各種交互連接線網在單層封裝邏輯驅動器之剖面示意圖。FIGS. 21B to 21G are schematic cross-sectional views of various interconnected interconnection nets in a single-layer packaged logic driver according to an embodiment of the present invention.

第21H圖為第25G圖的下視圖,顯示為本發明實施例中邏輯驅動器中複數金屬接墊的佈局示意圖。FIG. 21H is a bottom view of FIG. 25G, which is a schematic diagram of the layout of the plurality of metal pads in the logic driver according to the embodiment of the present invention.

第22A圖至22I圖為本發明實施例中製造POP封裝之製程示意圖。22A to 22I are schematic diagrams of a process for manufacturing a POP package in an embodiment of the present invention.

第23A圖至23B圖為本發明實施例中複數邏輯區塊之間的交互連接線從人類神經系統中模擬的概念圖。FIGS. 23A to 23B are conceptual diagrams of the interconnection lines between the plurality of logic blocks simulated from the human nervous system according to the embodiment of the present invention.

第24A圖至第24K圖為本發明實施例中POP封裝的複數種組合用於邏輯運算及記憶體驅動器的示意圖。24A to 24K are schematic diagrams of multiple combinations of POP packages used for logic operations and memory drivers according to an embodiment of the present invention.

第24L圖為本發明實施例中複數POP封裝的上視圖,其中第24K圖係沿著切割線A-A之剖面示意圖。FIG. 24L is a top view of a plurality of POP packages according to an embodiment of the present invention, and FIG. 24K is a schematic cross-sectional view along the cutting line A-A.

第25A圖至第25C圖為本發明實施例中邏輯運算及記憶體驅動器的各種應用之示意圖。25A to 25C are schematic diagrams of various applications of logic operations and memory drivers in embodiments of the present invention.

第26A圖至第26F圖為本發明實施例中各種商業化標準記憶體驅動器之上視圖。FIGS. 26A to 26F are top views of various commercial standard memory drives according to embodiments of the present invention.

第27A圖至第27C圖為本發明實施例中用於邏輯及記憶體驅動器各種封裝之剖面示意圖。27A to 27C are schematic cross-sectional views of various packages for logic and memory drivers according to embodiments of the present invention.

第28A圖至第28G圖為本發明形成具有TPVs的晶片封裝結構的第三實施例之製程示意圖。28A to 28G are schematic diagrams of the process of forming the chip package structure with TPVs according to the third embodiment of the present invention.

第28J圖及第28K圖為本發明之第二實施例用於具有BISDs及TPVs的晶片封裝結構的製程示意圖。FIG. 28J and FIG. 28K are schematic diagrams of a process for a chip package structure with BISDs and TPVs according to the second embodiment of the present invention.

第28L圖為為本發明之實施例中形成具有BISD及TPVs的製程示意圖。FIG. 28L is a schematic diagram of a process for forming BISD and TPVs in an embodiment of the present invention.

第29A圖至第29K圖為本發明形實施例的多種型式的非揮發性可編程邏輯驅動器之POP封裝結構,作為一ASIC晶片的剖面示意圖。FIGS. 29A to 29K are schematic cross-sectional views of various types of non-volatile programmable logic drivers in POP packages according to embodiments of the present invention, as an ASIC chip.

第29L圖為本發明實施例一POP封裝結構的剖面示意圖FIG. 29L is a schematic cross-sectional view of a POP packaging structure according to an embodiment of the present invention.

第30A圖為本發明一實施例一保險絲的操作方塊示意圖。FIG. 30A is a schematic diagram of an operation block of a fuse according to an embodiment of the present invention.

第30B圖為本發明一實施例一電子保險絲(e-fuse)的結構上視圖。FIG. 30B is a top view of the structure of an electronic fuse (e-fuse) according to an embodiment of the present invention.

第30C圖為為本發明一實施例中抗保險絲435的剖面示意圖。FIG. 30C is a schematic cross-sectional view of the anti-fuse 435 according to an embodiment of the present invention.

第31A圖為本發明實施例中一鰭式場效應電晶體(FinFET)的結構示意圖。FIG. 31A is a schematic structural diagram of a fin field effect transistor (FinFET) according to an embodiment of the present invention.

第31B圖為本發明實施例中第31A圖中延著B-B剖面線的FinFET剖面示意圖。FIG. 31B is a schematic cross-sectional view of the FinFET along the section line B-B in FIG. 31A according to an embodiment of the present invention.

第32A圖為本發明實施例中閘極全環電晶體的結構的示意圖。FIG. 32A is a schematic diagram of a structure of a gate full ring transistor in an embodiment of the present invention.

第32B圖為第32A圖中閘極全環電晶體延著剖面線C-C之結構剖面示意圖。FIG. 32B is a schematic cross-sectional view of the structure of the gate full-ring transistor along the section line C-C in FIG. 32A.

第33圖為本發明實施例中一可編程邏輯區塊(LB)的方塊示意圖。FIG. 33 is a block diagram of a programmable logic block (LB) according to an embodiment of the present invention.

第34圖為本發明實施例中一可編程邏輯單元或元件的方塊示意圖。FIG. 34 is a schematic block diagram of a programmable logic unit or device according to an embodiment of the present invention.

第35圖為本發明另一實施例中矩陣型式之多個CGRA的示意圖。FIG. 35 is a schematic diagram of a plurality of CGRAs in matrix form according to another embodiment of the present invention.

第36圖為本發明所揭露之非經常性工程(NRE)成本與技術節點之間的關係趨勢圖。FIG. 36 is a trend diagram of the relationship between non-recurring engineering (NRE) costs and technology nodes disclosed in the present invention.

雖然在圖式中已描繪某些實施例,但熟習此項技術者應瞭解,所描繪之實施例為說明性的,且可在本發明之範疇內構想並實施彼等所示實施例之變化以及本文所述之其他實施例。While certain embodiments have been depicted in the drawings, those skilled in the art will appreciate that the depicted embodiments are illustrative and that variations of the embodiments shown may be contemplated and implemented within the scope of the present invention. and other embodiments described herein.

79:BISD 79: BISD

42:聚合物層 42: Polymer layer

27:交互連接線金屬層 27: Interconnect wire metal layer

92:聚合物層 92: polymer layer

101:TISD 101:TISD

521:銲料接合點 521: Solder Joints

523:銲料凸塊或球 523: Solder bumps or balls

136:聚合物層 136: polymer layer

108:金屬接墊、金屬線或連接線 108: Metal pads, wires or connecting wires

200:FPGAIC晶片 200:FPGAIC chip

250:NVMIC晶片 250: NVMIC chip

534:銅凸塊 534: Copper bumps

535:含錫金屬層 535: Tin-containing metal layer

531:接合接點 531: junction contacts

532:底部填充材料 532: Underfill material

520:邏輯晶片封裝結構 520: Logic chip package structure

530:線路板 530: circuit board

610:非揮發性可編程邏輯驅動器 610: Nonvolatile Programmable Logic Driver

Claims (28)

一多晶片封裝結構,包括: 一第一交互連接線結構包括一第一交互連接線金屬層、一第二交互連接線金屬層位在該第二交互連接線金屬層上方,及包括一第一絕緣介電層位在該第一交互連接線金屬層與該第二交互連接線金屬層之間; 一現場可編程邏輯閘陣列(Field Programmable Gate Array (FPGA))積體電路(IC)晶片位在該第一交互連接線結構上方,其中該現場可編程邏輯閘陣列(Field Programmable Gate Array (FPGA))積體電路(IC)晶片耦接至該第二交互連接線金屬層,其中該第一交互連接線結構包括一交互連接線金屬線位在該現場可編程邏輯閘陣列積體電路晶片的下方且橫跨該現場可編程邏輯閘陣列積體電路晶片的一邊界; 一金屬連接通道位在該第一交互連接線結構的上方且與該現場可編程邏輯閘陣列積體電路晶片位在同一水平面上,其中該金屬連接通道係位在該現場可編程邏輯閘陣列積體電路晶片的一側壁之外且在一水平方向上延伸的一空間中,其中該金屬連接通道提供與該水平方向垂直的一垂直方向的連接,其中該金屬連接通道經由該第二交互連接線金屬層耦接該現場可編程邏輯閘陣列積體電路晶片,其中該金屬連接通道包括厚度介於5至300微米之間的一銅層;以及 一非揮發性記憶體積體電路(IC)晶片位在該現場可編程邏輯閘陣列積體電路晶片的上方,其中該非揮發性記憶體積體電路晶片依序經由該金屬連接通道及該第二交互連接線金屬層耦接該現場可編程邏輯閘陣列積體電路晶片。A multi-chip package structure, including: A first interconnection line structure includes a first interconnection line metal layer, a second interconnection line metal layer over the second interconnection line metal layer, and a first insulating dielectric layer over the second interconnection line metal layer between an interconnecting metal layer and the second interconnecting metal layer; A Field Programmable Gate Array (FPGA) integrated circuit (IC) chip is positioned above the first interconnect structure, wherein the Field Programmable Gate Array (FPGA) ) integrated circuit (IC) chip is coupled to the second interconnection line metal layer, wherein the first interconnection line structure includes an interconnection line metal line located under the field programmable logic gate array IC chip and straddles a boundary of the field programmable logic gate array integrated circuit chip; A metal connection channel is located above the first interconnecting line structure and is located on the same level as the FPGA IC chip, wherein the metal connection channel is located in the FPGA In a space extending in a horizontal direction outside a side wall of the bulk circuit chip, wherein the metal connection channel provides a connection in a vertical direction perpendicular to the horizontal direction, wherein the metal connection channel is through the second interconnection line The metal layer is coupled to the FPGA IC chip, wherein the metal connection channel includes a copper layer with a thickness between 5 and 300 microns; and A non-volatile memory circuit (IC) chip is positioned above the FPGA IC chip, wherein the non-volatile memory circuit chip passes through the metal connection channel and the second interconnection in sequence The wire metal layer is coupled to the field programmable logic gate array IC chip. 如申請專利範圍第1項所請求之多晶片封裝結構,其中該現場可編程邏輯閘陣列積體電路晶片包括一可配置電路及一揮發性記憶體單元用於儲存第一資料於其中,其中該第一資料係用作為配置該可配置電路,其中該非揮發性記憶體積體電路晶片包括一非揮發性記憶體單元用於儲存第二資料於其中,其中該第一資料與該第二資料相關聯。The multi-chip package structure as claimed in claim 1, wherein the field programmable logic gate array integrated circuit chip includes a configurable circuit and a volatile memory unit for storing the first data therein, wherein the The first data is used for configuring the configurable circuit, wherein the non-volatile memory circuit chip includes a non-volatile memory cell for storing second data therein, wherein the first data is associated with the second data . 如申請專利範圍第2項所請求之多晶片封裝結構,該可配置電路係可重新配置的電路。As claimed in the multi-chip package structure of claim 2 of the claimed scope, the configurable circuit is a reconfigurable circuit. 如申請專利範圍第2項所請求之多晶片封裝結構,該揮發性記憶體單元包括一靜態隨機存取記憶體(static random-access-memory (SRAM))單元。As claimed in the multi-chip package structure of claim 2, the volatile memory cell includes a static random-access-memory (SRAM) cell. 如申請專利範圍第1項所請求之多晶片封裝結構,該現場可編程邏輯閘陣列積體電路晶片包括一可配置邏輯電路及一揮發性記憶體單元用於儲存第一資料於其中,其中該第一資料係用作為配置該可配置邏輯電路,其中該非揮發性記憶體積體電路晶片包括一非揮發性記憶體單元用於儲存第二資料於其中,其中該第一資料與該第二資料相關聯。As claimed in the multi-chip package structure of claim 1, the field programmable logic gate array integrated circuit chip includes a configurable logic circuit and a volatile memory unit for storing the first data therein, wherein the The first data is used to configure the configurable logic circuit, wherein the non-volatile memory circuit chip includes a non-volatile memory cell for storing second data therein, wherein the first data is related to the second data link. 如申請專利範圍第5項所請求之多晶片封裝結構,該可配置邏輯電路係可重新配置的電路。As claimed in the multi-chip package structure of claim 5, the configurable logic circuit is a reconfigurable circuit. 如申請專利範圍第5項所請求之多晶片封裝結構,該可配置邏輯電路包括一選擇電路,該選擇電路具有一第一組輸入點用於一邏輯操作的一第一輸入資料組及具有一第二組輸入點用於一第二輸入資料組,該第二輸入資料組具有與該第一資料相關聯的資料,其中該第一資料與用於一查找表(look-up table (LUT))的一結果值相關聯,其中該選擇電路係配置於依據該第一輸入資料組從該第二輸入資料組中選擇一輸入資料作為用於該邏輯操作的輸出資料。As claimed in the multi-chip package structure of claim 5, the configurable logic circuit includes a selection circuit, the selection circuit having a first set of input points for a first input data set for a logic operation and having a The second set of input points is used for a second set of input data having data associated with the first data, wherein the first data is used for a look-up table (LUT) ) is associated with a result value of ), wherein the selection circuit is configured to select an input data from the second input data set based on the first input data set as output data for the logic operation. 如申請專利範圍第5項所請求之多晶片封裝結構,該揮發性記憶體單元包括一靜態隨機存取記憶體單元。As claimed in the multi-chip package structure of claim 5, the volatile memory cell includes a static random access memory cell. 如申請專利範圍第1項所請求之多晶片封裝結構,該現場可編程邏輯閘陣列積體電路晶片包括一可配置交互連接線電路及一第一揮發性記憶體單元用於儲存第一資料於其中,其中該第一資料係用作為配置該可配置交互連接線電路,其中該第一非揮發性記憶體積體電路晶片包括一第一非揮發性記憶體單元用於儲存第二資料於其中,其中該第一資料與該第二資料相關聯。As claimed in the multi-chip package structure of claim 1, the field programmable logic gate array integrated circuit chip includes a configurable interconnect circuit and a first volatile memory unit for storing the first data in wherein the first data is used for configuring the configurable interconnect circuit, wherein the first non-volatile memory circuit chip includes a first non-volatile memory unit for storing the second data therein, Wherein the first data is associated with the second data. 如申請專利範圍第9項所請求之多晶片封裝結構,該可配置交互連接線電路係可重新配置的電路。As claimed in the multi-chip package structure of claim 9, the reconfigurable interconnect circuit is a reconfigurable circuit. 如申請專利範圍第9項所請求之多晶片封裝結構,其中該可配置交互連接線電路包括一第一導電交互連接線、一第二導電交互連接線及一可配置的開關電路,該可配置的開關電路具有一第一輸入點耦接至該第一導電交互連接線、一第一輸入點耦接至該第二導電交互連接線,及具有一第二輸入點用於輸入與該第一資料相關聯的資料,其中該配置的開關電路係配置於依據位在該第二輸入點處的該輸入資料來控制該第一導電交互連接線與該第二導電交互連接線之間的耦接。The multi-chip package structure as claimed in claim 9, wherein the configurable interconnecting line circuit comprises a first conductive interconnecting line, a second conductive interconnecting line and a configurable switching circuit, the configurable interconnecting line The switch circuit has a first input point coupled to the first conductive interconnection line, a first input point coupled to the second conductive interconnection line, and a second input point for input and the first Data associated data, wherein the configured switch circuit is configured to control the coupling between the first conductive interconnection line and the second conductive interconnection line according to the input data at the second input point . 如申請專利範圍第11項所請求之多晶片封裝結構,其中該現場可編程邏輯閘陣列積體電路晶片更包括一第二揮發性記憶體單元用於儲存第三資料於其中,其中該可配置交互連接線電路更包括一可配置選擇電路經由該第一導電交互連接線耦接該可配置的開關電路,其中該可配置選擇電路包括一第三導電交互連接線、一第四導電交互連接線、一第三輸入點耦接該第三導電交互連接線、一第四輸入點耦接該第四導電交互連接線、一第二輸出點耦接該第一導電交互連接線,及一第五輸入點用於輸入與該第三資料相關聯的資料,其中該可配置選擇電路係配置以依據位在該第五輸入點處的該輸入資料來選擇該第三及第四導電交互連接線中的其中之一個耦接該第二輸出點,其中該非揮發性記憶體積體電路晶片更包括一第二非揮發記憶體單元用以儲存與該第三資料相關聯的一第四資料。The multi-chip package structure as claimed in claim 11, wherein the field programmable logic gate array IC further includes a second volatile memory unit for storing third data therein, wherein the configurable The interconnection circuit further includes a configurable selection circuit coupled to the configurable switch circuit via the first conductive interconnection, wherein the configurable selection circuit includes a third conductive interconnection and a fourth conductive interconnection , a third input point is coupled to the third conductive interconnection line, a fourth input point is coupled to the fourth conductive interconnection line, a second output point is coupled to the first conductive interconnection line, and a fifth an input point for inputting data associated with the third data, wherein the configurable selection circuit is configured to select among the third and fourth conductive interconnects according to the input data at the fifth input point One of them is coupled to the second output point, wherein the non-volatile memory circuit chip further includes a second non-volatile memory unit for storing a fourth data associated with the third data. 如申請專利範圍第9項所請求之多晶片封裝結構,該第一揮發性記憶體單元包括一靜態隨機存取記憶體單元。As claimed in the multi-chip package structure of claim 9, the first volatile memory cell includes a static random access memory cell. 如申請專利範圍第1項所請求之多晶片封裝結構,更包括一聚合物層在該空間中且位在該第一交互連接線結構上方,其中該聚合物層係位在與該現場可編程邏輯閘陣列積體電路晶片及該金屬連接通道的同一水平面上,其中該金屬連接通道垂直地延伸穿過該聚合物層,其中該聚合物層的一上表面與該金屬連接通道的一上表面共平面。The multi-chip package structure as claimed in claim 1, further comprising a polymer layer in the space and above the first interconnect structure, wherein the polymer layer is positioned on the field programmable The logic gate IC chip and the metal connection channel are on the same level, wherein the metal connection channel extends vertically through the polymer layer, wherein an upper surface of the polymer layer and an upper surface of the metal connection channel coplanar. 如申請專利範圍第1項所請求之多晶片封裝結構,其中該第一交互連接線結構、該現場可編程邏輯閘陣列積體電路晶片及該金屬連接通道係由該多晶片封裝結構中的一晶片封裝結構所提供,其中該晶片封裝結構位在該非揮發性記憶體積體電路晶片的下方,其中該多晶片封裝結構更包括一金屬凸塊位在該晶片封裝結構的一底部,其中該金屬凸塊係位在該第一交互連接線結構的一底部且耦接至該第一交互連接線金屬層。The multi-chip package structure as claimed in claim 1, wherein the first interconnecting wire structure, the FPGA IC chip and the metal connection channel are formed by one of the multi-chip packaging structures The chip package structure is provided, wherein the chip package structure is located below the non-volatile memory circuit chip, wherein the multi-chip package structure further includes a metal bump located on a bottom of the chip package structure, wherein the metal bump The block is located at a bottom of the first interconnect structure and is coupled to the first interconnect metal layer. 如申請專利範圍第15項所請求之多晶片封裝結構,其中該金屬凸塊包括厚度介於20至100微米之間的一銲料層。The multi-chip package structure as claimed in claim 15, wherein the metal bump includes a solder layer having a thickness between 20 and 100 microns. 如申請專利範圍第1項所請求之多晶片封裝結構,其中該金屬連接通道包括厚度介於10至100微米之間的一銅層。The multi-chip package structure as claimed in claim 1, wherein the metal connection via comprises a copper layer having a thickness between 10 and 100 microns. 如申請專利範圍第1項所請求之多晶片封裝結構,其中該非揮發性記憶體積體電路(IC)晶片係由該多晶片封裝結構中的一晶片封裝結構所提供,其中該晶片封裝結構位在該第一交互連接線結構、該現場可編程邏輯閘陣列積體電路晶片及該金屬連接通道的上方,其中該晶片封裝結構經由該金屬連接通道耦接該現場可編程邏輯閘陣列積體電路晶片。The multi-chip package structure as claimed in claim 1, wherein the non-volatile memory circuit (IC) chip is provided by a chip package structure in the multi-chip package structure, wherein the chip package structure is located in Above the first interconnecting wire structure, the FPGA IC chip and the metal connection channel, wherein the chip package structure is coupled to the FPGA IC chip via the metal connection channel . 如申請專利範圍第18項所請求之多晶片封裝結構,其中該多晶片封裝結構更包括一金屬凸塊位在該晶片封裝結構的一底部上,其中該晶片封裝結構經由該金屬凸塊耦接該金屬連接通道。The multi-chip package structure as claimed in claim 18, wherein the multi-chip package structure further comprises a metal bump on a bottom of the chip package structure, wherein the chip package structure is coupled via the metal bump The metal connection channel. 如申請專利範圍第19項所請求之多晶片封裝結構,其中該晶片封裝結構包括一聚合物層及一第二交互連接線結構,該聚合物層位在該非揮發性記憶體積體電路晶片的一側壁之外且在一水平方向上延伸的一空間中,該第二交互連接線結構位在該非揮發性記憶體積體電路晶片及該聚合物層的下方,其中該第二交互連接線結構包括一第三交互連接線金屬層、一第四交互連接線金屬層及一第二絕緣介電層,該第三交互連接線金屬層位在該非揮發性記憶體積體電路晶片及該聚合物層的下方,該第四交互連接線金屬層位在該第三交互連接線金屬層下方且該第二絕緣介電層位在該第三交互連接線金屬層與該第四交互連接線金屬層之間,其中該第二交互連接線結構包括一金屬交互連接線位在該非揮發性記憶體積體電路晶片下方且橫跨該非揮發性記憶體積體電路晶片的一邊界,其中該金屬凸塊係位在該第二交互連接線結構的一底部且耦接至該第四交互連接線金屬層,其中該非揮發性記憶體積體電路晶片依序經由該第三交互連接線金屬層、該第四交互連接線金屬層、該金屬凸塊、該金屬連接通道及該第二交互連接線金屬層耦接該現場可編程邏輯閘陣列積體電路晶片。The multi-chip package structure as claimed in claim 19, wherein the chip package structure includes a polymer layer and a second interconnecting wire structure, the polymer layer is located on a side of the non-volatile memory circuit chip In a space outside the sidewall and extending in a horizontal direction, the second interconnecting line structure is located under the non-volatile memory circuit chip and the polymer layer, wherein the second interconnecting line structure includes a A third interconnect metal layer, a fourth interconnect metal layer and a second insulating dielectric layer, the third interconnect metal layer is located under the non-volatile memory bulk circuit chip and the polymer layer , the fourth interconnection wire metal layer is located under the third interconnection wire metal layer and the second insulating dielectric layer is located between the third interconnection wire metal layer and the fourth interconnection wire metal layer, Wherein the second interconnecting line structure includes a metal interconnecting line under the non-volatile memory circuit chip and across a boundary of the non-volatile memory circuit chip, wherein the metal bump is located on the first A bottom of the two interconnecting line structures is coupled to the fourth interconnecting line metal layer, wherein the non-volatile memory circuit chip passes through the third interconnecting line metal layer and the fourth interconnecting line metal layer in sequence , the metal bump, the metal connection channel and the second interconnection wire metal layer are coupled to the field programmable logic gate array integrated circuit chip. 如申請專利範圍第1項所請求之多晶片封裝結構,更包括一第二交互連接線結構位在該現場可編程邏輯閘陣列積體電路晶片、該聚合物層及該金屬連接通道的上方,其中該第二交互連接線結構包括一第三交互連接線金屬層及一第二絕緣介電層,該第三交互連接線金屬層位在該現場可編程邏輯閘陣列積體電路晶片、該聚合物層及該金屬連接通道的上方,該第二絕緣介電層位在該第三交互連接線金屬層上方,其中該第二交互連接線結構包括一金屬交互連接線位在該現場可編程邏輯閘陣列積體電路晶片上方且橫跨該現場可編程邏輯閘陣列積體電路晶片的一邊界,其中該非揮發性記憶體積體電路晶片係位在該第二交互連接線結構的上方且依序經由該第三交互連接線金屬層、該金屬連接通道及該第二交互連接線金屬層耦接該現場可編程邏輯閘陣列積體電路晶片。The multi-chip package structure as claimed in claim 1 of the claimed scope further comprises a second interconnecting wire structure located above the FPGA IC chip, the polymer layer and the metal connection channel, Wherein the second interconnecting wire structure includes a third interconnecting wire metal layer and a second insulating dielectric layer, the third interconnecting wire metal layer is located on the field programmable logic gate array integrated circuit chip, the polymer above the material layer and the metal connection channel, the second insulating dielectric layer is located above the third interconnection line metal layer, wherein the second interconnection line structure includes a metal interconnection line located in the field programmable logic over and across a boundary of the FPGA IC chip, wherein the non-volatile memory IC chip is positioned over the second interconnect structure and sequentially passed through The third interconnection wire metal layer, the metal connection channel and the second interconnection wire metal layer are coupled to the field programmable logic gate array integrated circuit chip. 如申請專利範圍第1項所請求之多晶片封裝結構,其中該非揮發性記憶體積體電路晶片為一NAND快閃晶片。The multi-chip package structure as claimed in claim 1, wherein the non-volatile memory circuit chip is a NAND flash chip. 如申請專利範圍第1項所請求之多晶片封裝結構,其中該非揮發性記憶體積體電路晶片為一NOR快閃晶片。The multi-chip package structure as claimed in claim 1, wherein the non-volatile memory circuit chip is a NOR flash chip. 如申請專利範圍第1項所請求之多晶片封裝結構,其中該現場可編程邏輯閘陣列積體電路晶片係以一半導體技術節點先進於或等於10奈米的技術節點所實施。The multi-chip package structure as claimed in claim 1, wherein the FPGA IC chip is implemented with a semiconductor technology node advanced or equal to 10 nanometers. 如申請專利範圍第24項所請求之多晶片封裝結構,其中該現場可編程邏輯閘陣列積體電路晶片包括一電晶體,該電晶體具有一閘極長度小於或等於10奈米。The multi-chip package structure as claimed in claim 24, wherein the field programmable logic gate array IC includes a transistor having a gate length less than or equal to 10 nm. 如申請專利範圍第24項所請求之多晶片封裝結構,其中該現場可編程邏輯閘陣列積體電路晶片包括一電晶體,該電晶體具有一閘極氧化物,該閘極氧化物的物理厚度小於或等於4奈米。The multi-chip package structure as claimed in claim 24, wherein the field programmable logic gate array IC includes a transistor having a gate oxide having a physical thickness of the gate oxide less than or equal to 4 nm. 如申請專利範圍第24項所請求之多晶片封裝結構係一標準商業化硬體,其中該非揮發性記憶體積體電路(IC)晶片包括多個非揮發性記憶體單元,用於儲存資料於其中,以配置該現場可編程邏輯閘陣列積體電路晶片,用於一特定應用,以作為一特定應用裝置。The multi-chip package structure as claimed in claim 24 is a standard commercial hardware, wherein the non-volatile memory IC chip includes a plurality of non-volatile memory cells for storing data therein , to configure the field programmable logic gate array IC for a specific application as a specific application device. 如申請專利範圍第1項所請求之多晶片封裝結構,其中該現場可編程邏輯閘陣列積體電路晶片係以一半導體技術節點先進於或等於10奈米的技術節點所實施,其中該多晶片封裝結構提供一非揮發性可重新配置的裝置,使一創作者可在該半導體技術節點之下實施他的創意。The multi-chip package structure as claimed in claim 1, wherein the field programmable logic gate array IC is implemented with a semiconductor technology node advanced or equal to 10 nanometers, wherein the multi-chip The package structure provides a non-volatile reconfigurable device that enables a creator to implement his ideas under the semiconductor technology node.
TW110107198A 2020-02-27 2021-02-28 A non-volatile programmable logic device based on multi-chip package TW202145463A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202017187766A 2020-02-27 2020-02-27
US17/187,766 2020-02-27
US202062983634P 2020-02-29 2020-02-29
US62/983,634 2020-02-29

Publications (1)

Publication Number Publication Date
TW202145463A true TW202145463A (en) 2021-12-01

Family

ID=80783698

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110107198A TW202145463A (en) 2020-02-27 2021-02-28 A non-volatile programmable logic device based on multi-chip package

Country Status (1)

Country Link
TW (1) TW202145463A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI799238B (en) * 2022-04-22 2023-04-11 宏齊科技股份有限公司 Packaging method and package structure
TWI823490B (en) * 2022-02-09 2023-11-21 日商鎧俠股份有限公司 Semiconductor device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI823490B (en) * 2022-02-09 2023-11-21 日商鎧俠股份有限公司 Semiconductor device
TWI799238B (en) * 2022-04-22 2023-04-11 宏齊科技股份有限公司 Packaging method and package structure

Similar Documents

Publication Publication Date Title
TWI765944B (en) Logic drive based on standard commodity fpga ic chips
TWI809218B (en) Logic drive based on chip scale package comprising standardized commodity programmable logic ic chip and memory ic chip
TWI819141B (en) Logic drive based on chip scale package comprising standardized commodity programmable logic ic chip and memory ic chip
TWI791583B (en) Logic drive based on standardized commodity programmable logic semiconductor ic chips
US11625523B2 (en) Logic drive based on standard commodity FPGA IC chips
TWI782054B (en) Logic drive based on standard commodity fpga ic chips using non-volatile memory cells
TWI824025B (en) Logic drive based on multichip package using interconnection bridge
TWI827083B (en) Logic drive using standard commodity programmable logic ic chips comprising non-volatile random access memory cells
TW202145463A (en) A non-volatile programmable logic device based on multi-chip package
TW202349396A (en) Multi-output look-up table (lut) for use in coarse-grained field-programmable-gate-array (fpga) integrated-circuit (ic) chip
TWI831723B (en) Logic drive based on chip scale package comprising standardized commodity programmable logic ic chip and memory ic chip
US20230244842A1 (en) Logic drive based on standard commodity fpga ic chips
TWI838281B (en) Logic drive based on chip scale package comprising standardized commodity programmable logic ic chip and memory ic chip
TWI837001B (en) Logic drive based on standard commodity fpga ic chips using non-volatile memory cells
TWI833679B (en) Logic drive based on multichip package using interconnection bridge
TWI835796B (en) Logic drive using standard commodity programmable logic ic chips
TW202414730A (en) Logic drive based on chip scale package comprising standardized commodity programmable logic ic chip and memory ic chip
TW202310328A (en) Logic drive based on standard commodity fpga ic chips using non-volatile memory cells
TW202018901A (en) Logic drive using standard commodity programmable logic ic chips