TW202143415A - Semiconductor structure and method for manufacturing a plurality thereof - Google Patents

Semiconductor structure and method for manufacturing a plurality thereof Download PDF

Info

Publication number
TW202143415A
TW202143415A TW110113790A TW110113790A TW202143415A TW 202143415 A TW202143415 A TW 202143415A TW 110113790 A TW110113790 A TW 110113790A TW 110113790 A TW110113790 A TW 110113790A TW 202143415 A TW202143415 A TW 202143415A
Authority
TW
Taiwan
Prior art keywords
wafer
hybrid bonding
semiconductor structure
hybrid
bonding layer
Prior art date
Application number
TW110113790A
Other languages
Chinese (zh)
Other versions
TWI780666B (en
Inventor
文良 陳
林 馬
Original Assignee
愛普科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/920,427 external-priority patent/US11672111B2/en
Application filed by 愛普科技股份有限公司 filed Critical 愛普科技股份有限公司
Publication of TW202143415A publication Critical patent/TW202143415A/en
Application granted granted Critical
Publication of TWI780666B publication Critical patent/TWI780666B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA

Abstract

A semiconductor structure is provided. The semiconductor structure includes a first hybrid bonding structure, a memory structure, and a control circuit structure. The first hybrid bonding structure includes a first surface and a second surface. The memory structure is in contact with the first surface. The control circuit structure is configured to control the memory structure. The control circuit structure is in contact with the second surface. A system in package (SiP) structure and a method for manufacturing a plurality of semiconductor structures are also provided.

Description

半導體結構及製造複數個半導體結構之方法Semiconductor structure and method for manufacturing plural semiconductor structures

本揭露係有關於一種半導體結構及製造複數個半導體結構之方法,特別是所揭露的半導體結構具有透過晶圓堆疊技術而與邏輯結構整合為一之記憶體結構。The present disclosure relates to a semiconductor structure and a method of manufacturing a plurality of semiconductor structures. In particular, the disclosed semiconductor structure has a memory structure integrated with a logic structure through a wafer stacking technology.

奠基於高性能之前景,系統上晶片(system-on-chip, SOC)的實現已被極大地推展;SOC作為一種將DRAM陣列嵌入邏輯元件的結構,被認為是針對高速傳輸大量數據的較佳解決方案。然而,DRAM和邏輯元件的合併需要減少兩者製程的差異,舉例而言,對於SOC,邏輯元件和所嵌入的DRAM的設計規則兼容性,即至關重要。Based on the prospect of high performance, the implementation of system-on-chip (SOC) has been greatly promoted; SOC, as a structure that embeds DRAM arrays into logic elements, is considered to be better for high-speed transmission of large amounts of data solution. However, the merging of DRAM and logic components needs to reduce the difference in the manufacturing process of the two. For example, the compatibility of the design rules of SOC, logic components and embedded DRAM is crucial.

協調邏輯元件和所嵌入的DRAM的兼容性的過程主要取決於數種不同的方法。例如,將記憶體電路整併入經高性能技術優化後的邏輯元件當中,或是將邏輯電路整併入經技術優化後的高密度低性能DRAM。任一種選擇都具有優缺點,通常將DRAM和邏輯元件合併至同一晶片可產生巨大的優勢,但這並不容易達成,而且整合的過程充滿挑戰性。也就是說,由於邏輯元件的製程和DRAM的製程在許多方面並不相容,因此針對這些半導體結構的整合,有必要提出新的方法來解決問題。The process of coordinating the compatibility of the logic element and the embedded DRAM mainly depends on several different methods. For example, the memory circuit is integrated into a logic element optimized by high-performance technology, or the logic circuit is integrated into a high-density, low-performance DRAM optimized by technology. Either option has advantages and disadvantages. Generally, combining DRAM and logic components on the same chip can produce huge advantages, but this is not easy to achieve, and the integration process is full of challenges. That is to say, because the manufacturing process of the logic element and the manufacturing process of the DRAM are incompatible in many aspects, it is necessary to propose a new method to solve the problem for the integration of these semiconductor structures.

本發明的一實施例係關於一種半導體結構,其包含:一第一混合鍵合結構,其具有一第一表面和一第二表面;一記憶體結構,其接觸該第一表面;及一控制電路結構,其用於控制該記憶體結構,並接觸該第二表面。An embodiment of the present invention relates to a semiconductor structure including: a first hybrid bonding structure having a first surface and a second surface; a memory structure contacting the first surface; and a control The circuit structure is used to control the memory structure and contact the second surface.

本發明的一實施例係關於一種系統級封裝結構,其包含:一第一半導體結構,其具有一第一臨界尺寸;一第二半導體結構,其與該第一半導體結構相堆疊,其具有一第二臨界尺寸且經一混合鍵合界面而與該第一半導體結構相接觸;及一基板,其經一第一導電凸塊而電性連接於該第一半導體結構及該第二半導體結構;其中,該第一臨界尺寸係不同於該第二臨界尺寸。An embodiment of the present invention relates to a system-in-package structure, which includes: a first semiconductor structure having a first critical dimension; a second semiconductor structure stacked with the first semiconductor structure and having a The second critical dimension is in contact with the first semiconductor structure via a hybrid bonding interface; and a substrate, which is electrically connected to the first semiconductor structure and the second semiconductor structure via a first conductive bump; Wherein, the first critical dimension is different from the second critical dimension.

本發明的一實施例係關於一種製造複數個半導體結構的方法,該方法包含:形成一第一混合鍵合層於具有複數個第一記憶體結構的一第一晶圓上;形成一第二混合鍵合層於具有複數個控制電路結構的一第二晶圓上;經由一第一混合鍵合步驟而鍵合該第一晶圓及該第二晶圓,以連接該第一混合鍵合層及該第二混合鍵合層,因此取得一第一鍵合晶圓;及至少將該第一晶圓、該第二晶圓、該第一混合鍵合層及該第二混合鍵合層單體化而取得複數個半導體結構。An embodiment of the present invention relates to a method of manufacturing a plurality of semiconductor structures. The method includes: forming a first hybrid bonding layer on a first wafer having a plurality of first memory structures; forming a second The hybrid bonding layer is on a second wafer having a plurality of control circuit structures; the first wafer and the second wafer are bonded through a first hybrid bonding step to connect the first hybrid bonding Layer and the second hybrid bonding layer, thereby obtaining a first bonding wafer; and at least the first wafer, the second wafer, the first hybrid bonding layer, and the second hybrid bonding layer Singulate to obtain a plurality of semiconductor structures.

本申請案主張2020年5月7日申請之美國臨時專利申請案第63/021,608號之優先權,該案之全部揭示內容以引用方式全部併入本文中。This application claims the priority of U.S. Provisional Patent Application No. 63/021,608 filed on May 7, 2020, and the entire disclosure of the case is incorporated herein by reference.

以下揭露提供用於實施所提供之標的之不同構件之許多不同實施例或實例。下文描述元件及配置之特定實例以簡化本揭露。當然,此等僅為實例且非旨在限制。舉例而言,在以下描述中之一第一構件形成於一第二構件上方或上可包含其中該第一構件及該第二構件經形成為直接接觸之實施例,且亦可包含其中額外構件可形成在該第一構件與該第二構件之間,使得該第一構件及該第二構件可不直接接觸之實施例。另外,本揭露可在各個實例中重複元件符號及/或字母。此重複出於簡化及清楚之目的且本身不指示所論述之各個實施例及/或組態之間之一關係。The following disclosure provides many different embodiments or examples for implementing different components of the provided subject matter. Specific examples of components and configurations are described below to simplify the disclosure. Of course, these are only examples and are not intended to be limiting. For example, in the following description, a first member formed on or on a second member may include an embodiment in which the first member and the second member are formed in direct contact, and may also include additional members therein An embodiment that can be formed between the first member and the second member so that the first member and the second member may not directly contact. In addition, the present disclosure may repeat element symbols and/or letters in each example. This repetition is for the purpose of simplification and clarity and does not in itself indicate a relationship between the various embodiments and/or configurations discussed.

此外,為便於描述,諸如「在…下面」、「在…下方」、「下」、「在…上方」、「上」、「在…上」及類似者之空間相對術語可在本文中用於描述一個元件或構件與另一(些)元件或構件之關係,如圖中圖解說明。空間相對術語意欲涵蓋除在圖中描繪之定向以外之使用或操作中之裝置之不同定向。設備可以其他方式定向(旋轉90度或按其他定向) 且因此可同樣解釋本文中使用之空間相對描述詞。In addition, for ease of description, spatial relative terms such as "below", "below", "below", "above", "above", "above" and the like can be used in this article To describe the relationship between one element or component and another element or component(s), it is illustrated in the figure. Spatial relative terms are intended to cover different orientations of devices in use or operation other than those depicted in the figures. The device can be oriented in other ways (rotated by 90 degrees or in other orientations) and therefore the spatial relative descriptors used in this article can be interpreted as well.

如本文中使用,諸如「第一」、「第二」及「第三」之術語描述各種元件、組件、區、層及/或區段,此等元件、組件、區、層及/或區段不應受此等術語限制。此等術語可僅用來區分一個元件、組件、區、層或區段與另一元件、組件、區、層或區段。除非由上下文清楚指示,否則諸如「第一」、「第二」及「第三」之術語當在本文中使用時並不暗示一序列或順序。As used herein, terms such as "first", "second" and "third" describe various elements, components, regions, layers and/or sections, and these elements, components, regions, layers and/or regions The paragraph should not be restricted by these terms. These terms can only be used to distinguish one element, component, region, layer or section from another element, component, region, layer or section. Unless clearly indicated by the context, terms such as "first", "second" and "third" when used herein do not imply a sequence or order.

舉例來說,高頻寬記憶體(high bandwidth memory, HBM)是一種將記憶體晶粒垂直堆疊於邏輯晶粒的CPU或GPU記憶體系統。堆疊的記憶體晶粒是以可區分層次之記憶體塔之形式坐落於邏輯晶粒上,其中,每兩個相鄰的記憶體晶粒是透過被封模材料側向環繞的微凸塊所相連接。雖然這些HBM堆疊並非於物理上被整合至CPU或GPU當中,但他們已經相當靠近,且是透過中介板快速地與CPU或GPU連接,因此HBM的特性幾乎與整合至晶片的記憶體沒有區別。For example, high bandwidth memory (HBM) is a CPU or GPU memory system in which memory dies are vertically stacked on logic dies. The stacked memory dies are located on the logic die in the form of memory towers with distinguishable levels, in which every two adjacent memory dies are formed by micro bumps laterally surrounded by the molding material. Connected. Although these HBM stacks are not physically integrated into the CPU or GPU, they are already very close and are quickly connected to the CPU or GPU through the interposer. Therefore, the characteristics of the HBM are almost the same as the memory integrated into the chip.

一般而言,在透過微凸塊操作堆疊記憶體晶粒之前,這些用於HBM結構中的記憶體晶粒通常已經過切割測試,例如是透過一些標準電性測試操作而獲得的已知良好晶粒(known good die, KGD)。接著這些KGD可被堆疊或封裝以用於高端應用。每個記憶體晶粒都經微凸塊鍵合而形成記憶體堆疊(當中可包含控制電路晶粒),且該記憶體晶粒是進一步以覆晶方式鍵合至矽中介板而形成晶圓上晶片(chip-on-wafer, CoW)結構。不過,微凸塊操作會導致高成本、挑選出KGD的流程會降低生產效率,且微凸塊操作引起的堆疊缺陷會降低生產良率。Generally speaking, before operating stacked memory dies through micro bumps, these memory dies used in the HBM structure have usually undergone cutting tests, such as known good dies obtained through some standard electrical testing operations. Grain (known good die, KGD). These KGDs can then be stacked or packaged for high-end applications. Each memory die is bonded by micro bumps to form a memory stack (which may include control circuit die), and the memory die is further bonded to the silicon interposer by flip chip to form a wafer Chip-on-wafer (CoW) structure. However, the micro-bump operation will cause high costs, the process of selecting KGD will reduce the production efficiency, and the stacking defects caused by the micro-bump operation will reduce the production yield.

因此,本揭露的一些實施例提供了一種整合有控制電路之記憶體結構,其係透過晶圓堆疊而非CoW操作所完成。控制電路可包含一個或多個邏輯結構。換句話說,可透過以晶圓堆疊(wafer-on-wafer或wafer-to-wafer)為基礎的混合鍵合操作來製造控制電路上的記憶體結構。記憶體堆疊(當中可包含控制電路)可以在完成混合鍵合操作後進行切割或分離。藉由這個方式,形成記憶體堆疊的生產效率可以極大地提高,也可以顯著降低微凸塊操作引起的堆疊缺陷的風險。至於在省略對記憶體晶粒之KGD挑選的面向上,則可藉由提供控制電路對記憶體晶粒的記憶體區塊執行測試操作,不需要使用到探針量測或試驗機。例如美國專利申請號US 16/899,166之「半導體裝置及其製造方法」,其係作為本案的參考資料。Therefore, some embodiments of the present disclosure provide a memory structure integrated with a control circuit, which is completed by wafer stacking instead of CoW operation. The control circuit may contain one or more logical structures. In other words, the memory structure on the control circuit can be manufactured through a hybrid bonding operation based on wafer-on-wafer or wafer-to-wafer. The memory stack (which may contain a control circuit) can be cut or separated after the hybrid bonding operation is completed. In this way, the production efficiency of forming memory stacks can be greatly improved, and the risk of stacking defects caused by micro bump operations can also be significantly reduced. As for the aspect where the KGD selection of the memory die is omitted, the test operation can be performed on the memory block of the memory die by providing a control circuit, without the use of a probe measuring or testing machine. For example, US Patent Application No. US 16/899,166 "Semiconductor Device and Manufacturing Method", which is used as reference material in this case.

圖1A展示了一半導體結構,該半導體結構包含透過晶圓堆疊而與控制電路結構整合之記憶體結構。在一些實施例中,控制電路結構可包含至少一個邏輯結構,例如,包含具有半導體結構最小線寬的一電晶體。如圖所示,半導體結構包含一混合鍵合結構10、一記憶體結構100及一控制電路結構200。混合鍵合結構10包含一第一表面101A及相反於第一表面101A的一第二表面201A。混合鍵合結構10是夾於記憶體結構100及控制電路結構200之間。混合鍵合結構10是用於整合記憶體結構100及控制電路結構200。在一些實施例中,記憶體結構100與混合鍵合結構10的第一表面101A相接觸。控制電路結構200與混合鍵合結構10的第二表面201A相接觸。FIG. 1A shows a semiconductor structure including a memory structure integrated with a control circuit structure through wafer stacking. In some embodiments, the control circuit structure may include at least one logic structure, for example, a transistor having the smallest line width of a semiconductor structure. As shown in the figure, the semiconductor structure includes a hybrid bonding structure 10, a memory structure 100, and a control circuit structure 200. The hybrid bonding structure 10 includes a first surface 101A and a second surface 201A opposite to the first surface 101A. The hybrid bonding structure 10 is sandwiched between the memory structure 100 and the control circuit structure 200. The hybrid bonding structure 10 is used to integrate the memory structure 100 and the control circuit structure 200. In some embodiments, the memory structure 100 is in contact with the first surface 101A of the hybrid bonding structure 10. The control circuit structure 200 is in contact with the second surface 201A of the hybrid bonding structure 10.

在一些實施例中,混合鍵合結構10包含一第一混合鍵合層101靠近於記憶體結構100,及一第二混合鍵合層201靠近於控制電路結構200。第一混合鍵合層101是形成於記憶體結構100上,且用於鍵合第二混合鍵合層201。第二混合鍵合層201是形成於控制電路結構200上,且用於鍵合第一混合鍵合層101。在一些實施例中,每一第一混合鍵合層101及第二混合鍵合層都包含複數個被介電材料所側面環繞的鍵合墊,介電材料之例子包含氧化物。In some embodiments, the hybrid bonding structure 10 includes a first hybrid bonding layer 101 close to the memory structure 100 and a second hybrid bonding layer 201 close to the control circuit structure 200. The first hybrid bonding layer 101 is formed on the memory structure 100 and used for bonding the second hybrid bonding layer 201. The second hybrid bonding layer 201 is formed on the control circuit structure 200 and is used for bonding the first hybrid bonding layer 101. In some embodiments, each of the first hybrid bonding layer 101 and the second hybrid bonding layer includes a plurality of bonding pads surrounded by a side surface of a dielectric material. Examples of the dielectric material include oxide.

混合鍵合是一種可同時藉由金屬鍵合和氧化物鍵合而連接兩個基板或晶圓的方法,意即,其可允許兩個基板或晶圓以「面對面」、「面對背」或「背對背」的方式連接。出於說明的目的,圖1B展示了半導體結構或半導體晶圓的正面與背面的定義。對於一半導體結構80(例如前揭圖1A所示的記憶體結構100或控制電路結構200)或是一晶圓而言,半導體結構可包含一半導體基板83及一後段製程結構85,而一前段製程結構84則是形成於半導體基板83上或其中。根據一些實施例,後段製程結構85的表面可為半導體結構80的正面81,而半導體基板83的表面則可為半導體結構80的背面82。不過,這並不構成本實施例的限制。對於半導體結構的正面或背面,其定義也可以交換。在一些實施中,記憶體結構100的位置及控制電路結構200的位置可經由鍵合而垂直對齊,並且第一混合鍵合層101的複數個第一鍵合墊102可因此與第二混合鍵合層201的複數個第二鍵合墊202相接觸;與此同時,第一混合鍵合層101的複數個第一氧化物部分103係與第二混合鍵合層201的複數個第二氧化物部分203相接觸。在此些實施例中,第一混合鍵合層101的第一鍵合墊102與第二混合鍵合層201的第二鍵合墊202為鏡像分布。Hybrid bonding is a method that can connect two substrates or wafers by metal bonding and oxide bonding at the same time, which means that it can allow two substrates or wafers to be "face-to-face" or "face-to-back". Or "back-to-back" connection. For illustrative purposes, FIG. 1B shows the definition of the front and back sides of a semiconductor structure or semiconductor wafer. For a semiconductor structure 80 (for example, the memory structure 100 or the control circuit structure 200 shown in FIG. 1A) or a wafer, the semiconductor structure may include a semiconductor substrate 83 and a back-end process structure 85, and a front-end process structure The process structure 84 is formed on or in the semiconductor substrate 83. According to some embodiments, the surface of the back-end process structure 85 may be the front surface 81 of the semiconductor structure 80, and the surface of the semiconductor substrate 83 may be the back surface 82 of the semiconductor structure 80. However, this does not constitute a limitation of this embodiment. For the front or back of the semiconductor structure, the definition can also be exchanged. In some implementations, the position of the memory structure 100 and the position of the control circuit structure 200 can be vertically aligned through bonding, and the plurality of first bonding pads 102 of the first hybrid bonding layer 101 can therefore be bonded to the second hybrid bonding layer. The plurality of second bonding pads 202 of the bonding layer 201 are in contact; at the same time, the plurality of first oxide portions 103 of the first hybrid bonding layer 101 are connected with the plurality of second oxides of the second hybrid bonding layer 201 The object part 203 is in contact with each other. In these embodiments, the first bonding pad 102 of the first hybrid bonding layer 101 and the second bonding pad 202 of the second hybrid bonding layer 201 are distributed in mirror images.

在一些實施例中,第一鍵合墊102及第二鍵合墊202是由銅(Cu)所製成。在一些實施例中,第一氧化物部分103及第二氧化物部分203是由諸如二氧化矽(SiO2 )等介電材料所製成。為了強化銅-銅之連結,對於鍵合墊表面平坦度的控制是重要的因素。例如,在一些實施例中,銅鍵合墊的表面可透過實施化學機械研磨(CMP)操作而被控制為實質上與二氧化矽部分齊平。在一些實施例中,取決於所進行的混合鍵合操作,二氧化矽部分可些微地凸出於銅鍵合墊。在本揭露中,舉例而言,可以透過先讓第一氧化物部分103與第二氧化物部分203相接觸來使得記憶體結構100及控制電路結構200鍵合;前述氧化物部分之間的鍵合可以透過凡德瓦力。之後,可實施退火操作以促成第一鍵合墊102和第二鍵合墊202之間的連接。In some embodiments, the first bonding pad 102 and the second bonding pad 202 are made of copper (Cu). In some embodiments, the first oxide portion 103 and the second oxide portion 203 are made of dielectric materials such as silicon dioxide (SiO 2 ). In order to strengthen the copper-copper connection, the control of the surface flatness of the bonding pad is an important factor. For example, in some embodiments, the surface of the copper bonding pad can be controlled to be substantially flush with the silicon dioxide part by performing a chemical mechanical polishing (CMP) operation. In some embodiments, depending on the hybrid bonding operation performed, the silicon dioxide portion may slightly protrude from the copper bonding pad. In this disclosure, for example, the memory structure 100 and the control circuit structure 200 can be bonded by first contacting the first oxide portion 103 with the second oxide portion 203; the bond between the aforementioned oxide portions He can pass through van der Waals force. After that, an annealing operation may be performed to facilitate the connection between the first bonding pad 102 and the second bonding pad 202.

在一些實施例中,控制電路結構200是一種DRAM控制邏輯。在一些實施例中,控制電路結構200除了用於控制記憶體結構100之外,還可以進一步用作與GPU或CPU整合的系統上晶片(SoC)。In some embodiments, the control circuit structure 200 is a DRAM control logic. In some embodiments, in addition to controlling the memory structure 100, the control circuit structure 200 can also be further used as a system-on-chip (SoC) integrated with a GPU or a CPU.

如圖2所示,本揭露的半導體結構可包含一系統級封裝(SiP)結構。在該些實施中,系統及封裝結構包含一第一半導體結構100’、一第二半導體結構200’以及一基板500。第二半導體結構200’是與第一半導體結構100’堆疊在一起。第二半導體結構200’是經混合鍵合界面1201而與第一半導體結構100’相接觸。基板500是經一第一導電凸塊連接部501而電性連接於第一半導體結構100’及第二半導體結構200’。第一導電凸塊連接部501可包含複數個焊料(solder),其中這些焊料可被合適的底部填充膠材料(未示於圖中)所環繞。As shown in FIG. 2, the semiconductor structure of the present disclosure may include a system in package (SiP) structure. In these implementations, the system and package structure includes a first semiconductor structure 100', a second semiconductor structure 200', and a substrate 500. The second semiconductor structure 200' is stacked with the first semiconductor structure 100'. The second semiconductor structure 200' is in contact with the first semiconductor structure 100' via the hybrid bonding interface 1201. The substrate 500 is electrically connected to the first semiconductor structure 100' and the second semiconductor structure 200' via a first conductive bump connection portion 501. The first conductive bump connection portion 501 may include a plurality of solders, and these solders may be surrounded by a suitable underfill material (not shown in the figure).

在一些實施例中,第一半導體結構100’可包含堆疊於第二半導體結構200’之上的複數個記憶體晶粒(即記憶體晶粒100A、100B、100C、100D等)。在一些實施例中,至少兩個記憶體晶粒是經一第二混合鍵合結構20而混合鍵合。在一些實施例中,相鄰的兩個記憶體晶粒是經第二混合鍵合結構20而混合鍵合。第二混合鍵合結構20的詳細內容乃相同於之前曾闡述過的第一混合鍵合結構10,只不過此時鏡像分布的鍵合墊是形成於相鄰的記憶體晶粒上。在一些實施例中,第一半導體結構100’的每一記憶體晶粒皆可包含具有一第一臨界尺寸的DRAM結構(即經微影製程操作而在所述結構中能實現的最小線寬)。同樣地,第二半導體結構200’可包含至少具有一第二臨界尺寸的邏輯結構(即經微影製程操作而在所述結構中能實現的最小線寬)。由於可以實施不同的技術節點來製造第一半導體結構100’和第二半導體結構200’,因此第一臨界尺寸係不同於第二臨界尺寸。在一些實施例中,當實施於製造第一半導體結構100’的技術節點較為先進時,第一臨界尺寸係小於第二臨界尺寸。在另一實施例中,當實施於製造第二半導體結構200’的技術節點較為先進時,第一臨界尺寸係大於第二臨界尺寸。值得注意的是,當第一半導體結構100’和第二半導體結構200'是由相同的技術節點製造時,第一臨界尺寸可等於第二臨界尺寸。In some embodiments, the first semiconductor structure 100' may include a plurality of memory dies (ie, memory dies 100A, 100B, 100C, 100D, etc.) stacked on the second semiconductor structure 200'. In some embodiments, at least two memory dies are hybrid bonded via a second hybrid bonding structure 20. In some embodiments, two adjacent memory die are hybrid bonded via the second hybrid bonding structure 20. The details of the second hybrid bonding structure 20 are the same as those of the first hybrid bonding structure 10 described previously, except that the bonding pads distributed in mirror images are formed on adjacent memory die at this time. In some embodiments, each memory die of the first semiconductor structure 100' may include a DRAM structure having a first critical dimension (that is, the smallest line width that can be achieved in the structure through the lithography process operation). ). Similarly, the second semiconductor structure 200' may include a logic structure having at least a second critical dimension (that is, the smallest line width that can be achieved in the structure through the lithography process operation). Since different technology nodes can be implemented to manufacture the first semiconductor structure 100' and the second semiconductor structure 200', the first critical dimension is different from the second critical dimension. In some embodiments, when the technology node implemented to manufacture the first semiconductor structure 100' is relatively advanced, the first critical dimension is smaller than the second critical dimension. In another embodiment, when the technology node implemented for manufacturing the second semiconductor structure 200' is relatively advanced, the first critical dimension is larger than the second critical dimension. It should be noted that when the first semiconductor structure 100' and the second semiconductor structure 200' are manufactured by the same technology node, the first critical dimension may be equal to the second critical dimension.

在本揭露中,透過混合鍵合而垂直堆疊於第二半導體結構200’上的記憶體晶粒的數量是可客製化的。舉例而言,有鑑於在傳統結構中,通常會有四個或是八個記憶體晶粒透過微凸塊而堆疊於控制電路或邏輯晶粒上,據此,本揭露之部分實施例係以四個記憶體晶粒透過混合鍵合而垂直堆疊於第二半導體結構200’作為範例,但實際上,記憶體晶粒的數量並不限於此數字或範圍。In the present disclosure, the number of memory die vertically stacked on the second semiconductor structure 200' through hybrid bonding can be customized. For example, in view of the fact that in the traditional structure, there are usually four or eight memory die stacked on the control circuit or logic die through the micro bumps. Accordingly, some embodiments of the present disclosure are based on Four memory dies are vertically stacked on the second semiconductor structure 200' through hybrid bonding as an example, but in fact, the number of memory dies is not limited to this number or range.

在一些實施例中,第二半導體結構200’是一個DRAM控制邏輯。在一些實施例中,第二半導體結構200’是經前揭圖1A所示之第一混合鍵合結構10而鍵合於第一半導體結構100’的記憶體晶粒100A。在一些實施例中,第一半導體結構100’當中的鍵合結構及第一半導體結構100’與第二半導體結構200’之間的鍵合結構,係不同於第二半導體結構200’與基板500之間的鍵合結構。舉例而言,第一混合鍵合結構10和第二混合鍵合結構20是透過適當的混合鍵合操作而形成,其特徵在於混合鍵合界面1201;而第一導電凸塊連接部501則是透過適當的微凸塊操作而形成,其可被觀察到複數個焊料凸塊。In some embodiments, the second semiconductor structure 200' is a DRAM control logic. In some embodiments, the second semiconductor structure 200' is a memory die 100A bonded to the first semiconductor structure 100' through the first hybrid bonding structure 10 shown in FIG. 1A. In some embodiments, the bonding structure in the first semiconductor structure 100' and the bonding structure between the first semiconductor structure 100' and the second semiconductor structure 200' are different from the second semiconductor structure 200' and the substrate 500 The bonding structure between. For example, the first hybrid bonding structure 10 and the second hybrid bonding structure 20 are formed through appropriate hybrid bonding operations, and are characterized by the hybrid bonding interface 1201; and the first conductive bump connection portion 501 is It is formed by proper micro-bump operation, and multiple solder bumps can be observed.

在一些實施例中,SiP結構可包含經一第二導電凸塊連接部401而與第一半導體結構100’及第二半導體結構200’電性連接的一第三半導體結構300。第二導電凸塊連接部401可包含複數個焊料,其中這些焊料可被合適的底部填充膠材料(未示於圖中)所環繞。在一些實施例中,第三半導體結構300具有一第三臨界尺寸,其小於第一半導體結構100’的第一臨界尺寸。在一些實施例中,第三半導體結構300是緊鄰於第一半導體結構100’及第二半導體結構200’所構成的一堆疊。在一些實施例中,第三半導體結構300是用以作為GPU或CPU的SOC。In some embodiments, the SiP structure may include a third semiconductor structure 300 electrically connected to the first semiconductor structure 100' and the second semiconductor structure 200' via a second conductive bump connection portion 401. The second conductive bump connection portion 401 may include a plurality of solders, and these solders may be surrounded by a suitable underfill material (not shown in the figure). In some embodiments, the third semiconductor structure 300 has a third critical dimension, which is smaller than the first critical dimension of the first semiconductor structure 100'. In some embodiments, the third semiconductor structure 300 is adjacent to a stack formed by the first semiconductor structure 100' and the second semiconductor structure 200'. In some embodiments, the third semiconductor structure 300 is used as an SOC for GPU or CPU.

在一些實施例中,SiP結構可包含在基板500與第二半導體結構200’之間的中介板400。中介板400可用以支撐第一半導體結構100’、第二半導體結構200’和第三半導體結構300。雖未示於圖2當中,但中介板400是透過第二導電凸塊連接部401、第一導電凸塊連接部501及位於第三半導體結構300與第二半導體結構200’之間橫向發送訊號之一重分佈層,從而電性連接於第一半導體結構100’、第二半導體結構200’及基板500。中介板400的重分佈層也可使靠近第二半導體結構200’之較高密度I/O被改編為較靠近基板500的較低密度I/O。In some embodiments, the SiP structure may include an interposer 400 between the substrate 500 and the second semiconductor structure 200'. The interposer 400 can be used to support the first semiconductor structure 100', the second semiconductor structure 200', and the third semiconductor structure 300. Although not shown in FIG. 2, the interposer 400 transmits signals laterally through the second conductive bump connection portion 401, the first conductive bump connection portion 501, and is located between the third semiconductor structure 300 and the second semiconductor structure 200' One of the redistribution layers is thus electrically connected to the first semiconductor structure 100 ′, the second semiconductor structure 200 ′, and the substrate 500. The redistribution layer of the interposer 400 can also enable the higher density I/Os near the second semiconductor structure 200' to be reorganized into lower density I/Os near the substrate 500.

如前所述,混合鍵合可允許兩個基板或晶圓以「面對面」或「面對背」等排列方式連接。在一些實施例中,憑藉著不同的混合鍵合方案及在製造每一記憶體晶粒和邏輯晶粒之通孔時的諸多可選順序,記憶體晶粒和邏輯晶粒之基板或晶圓之堆疊可因此有不同的應用形式。As mentioned earlier, hybrid bonding allows two substrates or wafers to be connected in a "face-to-face" or "face-to-back" arrangement. In some embodiments, by virtue of different hybrid bonding schemes and many optional sequences when manufacturing the through holes of each memory die and logic die, the substrate or wafer of the memory die and the logic die The stacking can therefore have different application forms.

如圖3所示,在一些實施例中,每一記憶體結構和控制電路結構是經後鑽孔製程(via-last process)所製造。經所述後鑽孔製程,矽穿透通孔(TSV)即是在製備電晶體和打線之後才形成;易言之,前段製程(FEOL)結構和後段製程(BEOL)結構皆是形成於通孔蝕刻和通孔填充等操作之前。在該些實施例中,邏輯晶粒和記憶體晶粒可以「面對面」的排列為堆疊,而記憶體結構中的記憶體晶粒之間則是以「背對背」的排列為堆疊(方案I)。另一方面,分散於邏輯晶粒上的鍵合墊和分散於記憶體晶粒上的鍵合墊係為鏡像分布。另外,在具有方案I的堆疊排列的實施例中,前揭圖1所示的混合鍵合結構10的第二表面201A是較靠近於控制電路結構200的後段製程結構,並且較遠離於控制電路結構200的前段製程結構。As shown in FIG. 3, in some embodiments, each memory structure and control circuit structure are manufactured through a via-last process. After the post-drilling process, through-silicon vias (TSV) are formed after the transistor is prepared and wire-bonded; in other words, the front-end process (FEOL) structure and the back-end process (BEOL) structure are both formed in the pass Before operations such as hole etching and via filling. In these embodiments, the logic die and the memory die can be stacked in a "face-to-face" arrangement, and the memory die in the memory structure can be stacked in a "back-to-back" arrangement (Scheme I) . On the other hand, the bonding pads scattered on the logic die and the bonding pads scattered on the memory die are distributed in mirror images. In addition, in the embodiment with the stacked arrangement of Scheme 1, the second surface 201A of the hybrid bonding structure 10 shown in FIG. The front-end process structure of structure 200.

如圖3所示,由於記憶體晶粒是自一第一晶圓61(後揭示於圖4A)所製造,每一記憶體晶粒包含一第一正面61A及一第一背面61B;而控制電路結構200則是自一第二晶圓62(後揭示於圖4A)所製造,並且具有一第二正面62A及一第二背面62B。第一混合鍵合結構10是夾於控制電路結構200及記憶體晶粒100A之間。另外,每一第二混合鍵合結構20是夾於相鄰的記憶體晶粒之間,例如記憶體晶粒100A、100B之間。在一些實施例中,每一第二混合鍵合結構20包含兩個第一混合鍵合層101,其中,位於這些第一混合鍵合層101當中的鍵合墊係沿著混合鍵合界面1201而為鏡像分布。As shown in FIG. 3, since the memory die is manufactured from a first wafer 61 (disclosed in FIG. 4A later), each memory die includes a first front surface 61A and a first back surface 61B; The circuit structure 200 is manufactured from a second wafer 62 (discussed in FIG. 4A later), and has a second front surface 62A and a second back surface 62B. The first hybrid bonding structure 10 is sandwiched between the control circuit structure 200 and the memory die 100A. In addition, each second hybrid bonding structure 20 is sandwiched between adjacent memory dies, for example, between memory dies 100A and 100B. In some embodiments, each second hybrid bonding structure 20 includes two first hybrid bonding layers 101, wherein the bonding pads located in the first hybrid bonding layers 101 are along the hybrid bonding interface 1201. It is a mirrored distribution.

可參考圖4A至圖4J以製造如圖3所示之半導體結構。如圖4A所示,在一些實施例中,記憶體結構100(即記憶體晶粒)及控制電路結構200(即邏輯晶粒)於混合鍵合操作前,是分別形成於第一晶圓61及第二晶圓62。每一第一晶圓61及第二晶圓62可包含複數個晶粒區域,而本揭露僅以展示其中一個晶粒區域做為示意。在一些實施例中,每一記憶體結構100之範圍內可包含一第一保留區域631。同樣地,每一控制電路結構200之範圍內可包含一第二保留區域632。第一保留區域631及第二保留區域632是保留給後續操作以在該處形成TSV,因為鍵合墊的位置可與TSV的所在位置相關。如前所述,由於混合鍵合層中的鍵合墊應為鏡像分布,因此在設計每一記憶體結構100(即記憶體晶粒)及控制電路結構200(即邏輯晶粒)的布局時,可預先規劃出前述第一保留區域631及第二保留區域632。The semiconductor structure shown in FIG. 3 can be manufactured with reference to FIGS. 4A to 4J. As shown in FIG. 4A, in some embodiments, the memory structure 100 (ie, memory die) and the control circuit structure 200 (ie, logic die) are formed on the first wafer 61 before the hybrid bonding operation. And second wafer 62. Each of the first wafer 61 and the second wafer 62 may include a plurality of die regions, and the present disclosure only shows one of the die regions as an example. In some embodiments, each memory structure 100 may include a first reserved area 631. Similarly, each control circuit structure 200 can include a second reserved area 632. The first reserved area 631 and the second reserved area 632 are reserved for subsequent operations to form a TSV there, because the position of the bonding pad can be related to the position of the TSV. As mentioned above, since the bonding pads in the hybrid bonding layer should be mirrored, when designing the layout of each memory structure 100 (ie, memory die) and control circuit structure 200 (ie, logic die) , The aforementioned first reserved area 631 and second reserved area 632 can be planned in advance.

如圖4B所示,一第一TSV 104及一第二TSV 204可分別形成於鄰近第一晶圓61的第一正面61A及第二晶圓62的第二正面62A。在一些實施例中,第一TSV 104及第二TSV204是經通孔蝕刻操作而形成,而通孔的孔洞結構是經電鍍操作而被導電材料所填充。在如圖4B所示的操作階段中,第一TSV 104及第二TSV 204可分別僅有一端暴露於第一晶圓61及第二晶圓62外;然而,在接續的晶圓薄化操作中(如圖4F及圖4I所示),第一TSV 104及第二TSV 204的兩端都可分別暴露於第一晶圓61及第二晶圓62外。As shown in FIG. 4B, a first TSV 104 and a second TSV 204 may be formed adjacent to the first front surface 61A of the first wafer 61 and the second front surface 62A of the second wafer 62, respectively. In some embodiments, the first TSV 104 and the second TSV 204 are formed by a via etching operation, and the hole structure of the via is filled with a conductive material by an electroplating operation. In the operation stage as shown in FIG. 4B, only one end of the first TSV 104 and the second TSV 204 may be exposed outside the first wafer 61 and the second wafer 62, respectively; however, in the subsequent wafer thinning operation In the middle (as shown in FIG. 4F and FIG. 4I), both ends of the first TSV 104 and the second TSV 204 can be exposed outside the first wafer 61 and the second wafer 62, respectively.

如圖4C所示,在一些實施例中,於形成第一TSV 104及第二TSV 204後,一第一金屬層105可形成於第一晶圓61的第一正面61A上,以連接第一TSV 104及一頂部金屬106。同樣地,一第二金屬層205可形成於第二晶圓62的第二正面62A上,以連接第二TSV 204及一頂部金屬206。As shown in FIG. 4C, in some embodiments, after forming the first TSV 104 and the second TSV 204, a first metal layer 105 may be formed on the first surface 61A of the first wafer 61 to connect the first TSV 104 and the second TSV 204. TSV 104 and a top metal 106. Similarly, a second metal layer 205 can be formed on the second front surface 62A of the second wafer 62 to connect the second TSV 204 and a top metal 206.

如圖4D所示,在一些實施例中,第一混合鍵合層101是形成於第一晶圓61的第一正面61A上。同樣地,第二混合鍵合層201是形成於第二晶圓62的第一正面62A上。在一些實施例中,第一混合鍵合層101包含如前揭圖1A所示的第一鍵合墊102。在一些實施例中,第一混合鍵合層101進一步包含位在其一第一混合鍵合部分107的複數個第一導電通孔108。換言之,第一混合鍵合部分107可包含一金屬通孔結構以連接第一鍵合墊102及第一金屬層105。由於第一導電通孔108可設計為具有小臨界尺寸(例如具有小直徑),從而為了增加產品良率,可藉由形成複數個相對應於第一鍵合墊102的第一導電通孔108而防止因製造操作所引起的連接缺陷。第一TSV 104可經第一金屬層105而耦接於第一導電通孔108的一端,且第一鍵合墊102可與第一導電通孔108的另一端相接觸。同樣地,在一些實施例中,第二混合鍵合層201進一步包含複數個位在其一第二混合鍵合部分207的複數個第二導電通孔208。第二TSV 204可經第二金屬層205而耦接於第二導電通孔208的一端,且第二鍵合墊202可與第二導電通孔208的另一端相接觸。該些實施例中的導電通孔可強化鍵合墊與TSV之間的導電性。As shown in FIG. 4D, in some embodiments, the first hybrid bonding layer 101 is formed on the first front surface 61A of the first wafer 61. Similarly, the second hybrid bonding layer 201 is formed on the first front surface 62A of the second wafer 62. In some embodiments, the first hybrid bonding layer 101 includes the first bonding pad 102 as shown in FIG. 1A. In some embodiments, the first hybrid bonding layer 101 further includes a plurality of first conductive vias 108 located in a first hybrid bonding portion 107 thereof. In other words, the first hybrid bonding portion 107 may include a metal via structure to connect the first bonding pad 102 and the first metal layer 105. Since the first conductive via 108 can be designed to have a small critical size (for example, a small diameter), in order to increase the product yield, a plurality of first conductive vias 108 corresponding to the first bonding pad 102 can be formed. To prevent connection defects caused by manufacturing operations. The first TSV 104 can be coupled to one end of the first conductive via 108 via the first metal layer 105, and the first bonding pad 102 can be in contact with the other end of the first conductive via 108. Similarly, in some embodiments, the second hybrid bonding layer 201 further includes a plurality of second conductive vias 208 located in a second hybrid bonding portion 207 thereof. The second TSV 204 can be coupled to one end of the second conductive via 208 via the second metal layer 205, and the second bonding pad 202 can be in contact with the other end of the second conductive via 208. The conductive vias in these embodiments can enhance the conductivity between the bonding pad and the TSV.

另外,在一些實施例中,第一混合鍵合部分107可進一步包含一第三鍵合墊102’,其電性斷接於記憶體結構100。也就是說,第三鍵合墊102’是一個假性鍵合墊,其僅用於進行混合鍵合而不耦接於第一金屬層105。同樣地,第二混合鍵合部分207可進一步包含一第四鍵合墊202’,其係電性斷接於控制電路結構200。第三鍵合墊102’可用於在接續的混合鍵合操作中,混合鍵合於第四鍵合墊202’。In addition, in some embodiments, the first hybrid bonding portion 107 may further include a third bonding pad 102', which is electrically disconnected from the memory structure 100. In other words, the third bonding pad 102' is a dummy bonding pad, which is only used for hybrid bonding and is not coupled to the first metal layer 105. Similarly, the second hybrid bonding part 207 may further include a fourth bonding pad 202' which is electrically disconnected from the control circuit structure 200. The third bonding pad 102' can be used for hybrid bonding to the fourth bonding pad 202' in a subsequent hybrid bonding operation.

如圖4E所示,第一晶圓61是經翻轉並透過一混合鍵合操作而堆疊於第二晶圓62上,其中,第一正面61A是面對第二正面62A,從而係執行了兩者之間的「面對面」堆疊。於此堆疊,第一鍵合墊102是與第二鍵合墊202相接觸而混合鍵結並電性連接;而第三鍵合墊102’則是與第四鍵合墊202’相接觸而僅用於混合鍵結。在一些實施例中,第一晶圓61和第二晶圓62是在合適的條件下進行混合鍵合。在一些實施例中,第一TSV 104及第二TSV 204是分別形成於第一保留區域631及第二保留區域632。在混合鍵合操作後,可以觀察到第一TSV 104及第二TSV 204是位於第一鍵合墊102與第二鍵合墊202在堆疊結構中的同一側。As shown in FIG. 4E, the first wafer 61 is turned over and stacked on the second wafer 62 through a hybrid bonding operation, wherein the first front surface 61A faces the second front surface 62A, thereby performing two "Face-to-face" stacking between people. In this stacking, the first bonding pad 102 is in contact with the second bonding pad 202 for hybrid bonding and electrical connection; and the third bonding pad 102' is in contact with the fourth bonding pad 202'. Only used for mixed bonding. In some embodiments, the first wafer 61 and the second wafer 62 are hybrid bonded under suitable conditions. In some embodiments, the first TSV 104 and the second TSV 204 are formed in the first reserved area 631 and the second reserved area 632, respectively. After the hybrid bonding operation, it can be observed that the first TSV 104 and the second TSV 204 are located on the same side of the first bonding pad 102 and the second bonding pad 202 in the stacked structure.

透過上述混合鍵合操作而混合鍵合第一晶圓61及第二晶圓62,第一晶圓61上的第一鍵合層101係與第二晶圓62上的第二鍵合層201相連接,從而獲得一第一鍵合晶圓64。在一些實施例中,第一鍵合晶圓64可接續著被單體化而獲得複數個半導體結構,其中,每一半導體結構包含如前揭圖1A所示的記憶體結構100及控制電路結構200。在一些其他的實施例中,並且將於接下來的圖4F至圖4G所提及的,取決於產品要求和當下工藝技術的程度,還可以有其他相同於第一晶圓61的額外晶圓可以鍵合於第一鍵合晶圓64上,即藉著晶圓對晶圓之封裝基礎而於邏輯晶粒上堆疊額外數量的記憶體晶粒。Through the hybrid bonding operation described above, the first wafer 61 and the second wafer 62 are hybrid bonded. The first bonding layer 101 on the first wafer 61 is the second bonding layer 201 on the second wafer 62 Are connected to each other, thereby obtaining a first bonded wafer 64. In some embodiments, the first bonding wafer 64 can be singulated successively to obtain a plurality of semiconductor structures, wherein each semiconductor structure includes the memory structure 100 and the control circuit structure shown in FIG. 1A. 200. In some other embodiments, and will be mentioned in the following FIGS. 4F to 4G, depending on the product requirements and the current process technology, there may be other additional wafers that are the same as the first wafer 61 It can be bonded to the first bonding wafer 64, that is, stacking an extra number of memory dies on the logic die based on the wafer-to-wafer packaging basis.

如圖4F所示,在一些實施例中,於鍵合第一晶圓61及第二晶圓62後,係自第一背面61B薄化第一晶圓61而暴露出第一TSV 104。薄化操作可透過機械研磨、化學機械研磨、濕蝕刻、乾蝕刻或是它們的組合而實施。在一些實施例中,第一晶圓61的厚度可以被薄化至小於50微米。As shown in FIG. 4F, in some embodiments, after bonding the first wafer 61 and the second wafer 62, the first wafer 61 is thinned from the first back surface 61B to expose the first TSV 104. The thinning operation can be performed by mechanical polishing, chemical mechanical polishing, wet etching, dry etching, or a combination thereof. In some embodiments, the thickness of the first wafer 61 may be thinned to less than 50 microns.

如圖4G所示,在一些實施例中,另一第一混合鍵合層(例如圖中所示之一第四混合鍵合層101B)可形成於第一鍵合晶圓64上並且電性連接於暴露的第一TSV 104。除此之外,如圖4H所示,在一些實施例中,另一第一晶圓(例如圖中所示之具有第三混合鍵合層101C的第三晶圓61C)可經混合鍵合操作而堆疊在前揭圖4G所形成之第四混合鍵合層101B上。就第三晶圓61C的部分而言,可在第三晶圓61C上形成第三混合鍵合層101C前,先行於第三晶圓61C相鄰於第一正面61A之處形成一第三TSV 104C。As shown in FIG. 4G, in some embodiments, another first hybrid bonding layer (for example, the fourth hybrid bonding layer 101B shown in the figure) may be formed on the first bonding wafer 64 and electrically conductive Connect to the exposed first TSV 104. In addition, as shown in FIG. 4H, in some embodiments, another first wafer (for example, the third wafer 61C with the third hybrid bonding layer 101C shown in the figure) may be hybrid bonded Operate and stack on the fourth hybrid bonding layer 101B formed in FIG. 4G in the previous disclosure. Regarding the part of the third wafer 61C, before forming the third hybrid bonding layer 101C on the third wafer 61C, a third TSV may be formed at the position of the third wafer 61C adjacent to the first front surface 61A. 104C.

第三混合鍵合層101C及第四混合鍵合層101B得經混合鍵合操作而相連接,且可因此獲得一第二鍵合晶圓65。第二鍵合晶圓65可被薄化而暴露第三TSV 104C,以供另一輪堆疊操作之用。也就是說,記憶體晶粒之堆疊,實質上就是透過重複地形成與其上具有第一混合鍵合層101之第一晶圓61相似之記憶體晶圓,並且再次形成第一混合鍵合層101,直到共有四或八個晶圓經複數個混合鍵合操作而堆疊。在該些實施例中,與第一晶圓61相似之記憶體晶圓是以相同的方向進行堆疊,換言之,記憶體結構的記憶體晶粒是以「面對背」的排列進行堆疊。The third hybrid bonding layer 101C and the fourth hybrid bonding layer 101B have to be connected through a hybrid bonding operation, and a second bonded wafer 65 can be obtained as a result. The second bonding wafer 65 can be thinned to expose the third TSV 104C for another round of stacking operation. In other words, the stacking of memory dies is essentially by repeatedly forming a memory wafer similar to the first wafer 61 with the first hybrid bonding layer 101 thereon, and forming the first hybrid bonding layer again 101, until a total of four or eight wafers are stacked through multiple hybrid bonding operations. In these embodiments, memory wafers similar to the first wafer 61 are stacked in the same direction. In other words, the memory dies of the memory structure are stacked in a “face-to-back” arrangement.

如圖4I所示,在一些實施例中,一頂部第一晶圓61’可省略如前揭圖4F所示之薄化操作,因為其上方已不須再形成第一混合鍵合層。據此,一頂部第一TSV 104’可不暴露於頂部第一晶圓61’的一頂部第一背面61B’,且一頂部記憶體晶粒的厚度係大於位於頂部記憶體晶粒和控制電路結構200之間的至少一記憶體晶粒的厚度。在一些實施例中,於記憶體晶粒透過混合鍵合而堆疊後,係自第二背面62B薄化第二晶圓62而暴露出第二TSV 204。薄化操作可透過機械研磨、化學機械研磨、濕蝕刻、乾蝕刻或是它們的組合而實施。在一些實施例中,第二晶圓62的厚度可以被薄化至小於50微米。As shown in FIG. 4I, in some embodiments, a top first wafer 61' can omit the thinning operation shown in FIG. 4F, because it is no longer necessary to form a first hybrid bonding layer on it. Accordingly, a top first TSV 104' may not be exposed to a top first back surface 61B' of the top first wafer 61', and the thickness of a top memory die is larger than that of the top memory die and the control circuit structure The thickness of at least one memory die between 200. In some embodiments, after the memory dies are stacked through hybrid bonding, the second wafer 62 is thinned from the second back surface 62B to expose the second TSV 204. The thinning operation can be performed by mechanical polishing, chemical mechanical polishing, wet etching, dry etching, or a combination thereof. In some embodiments, the thickness of the second wafer 62 may be thinned to less than 50 microns.

如圖4J所示,於第二晶圓62自第二背面62B薄化後,一底部金屬層209可形成於第二背面62B以電性連接於第二TSV 204。接著,可設置第二導電凸塊連接部401與底部金屬層209相接觸,以電性連接於中介板400。As shown in FIG. 4J, after the second wafer 62 is thinned from the second back surface 62B, a bottom metal layer 209 may be formed on the second back surface 62B to be electrically connected to the second TSV 204. Then, the second conductive bump connecting portion 401 can be arranged to contact the bottom metal layer 209 to be electrically connected to the interposer 400.

在設置控制電路結構200及記憶體結構100堆疊於中介板400前,經堆疊的第二晶圓62和第一晶圓61可被單體化而獲得複數個如前揭圖3所示的半導體結構。如圖5A所示,在一些實施例中,對鍵合晶圓(即第一鍵合晶圓64或第二鍵合晶圓65)之單體化操作包含執行一雷射劃片操作。在一些實施例中,一雷射70可被用於切割堆疊晶圓的至少一部分。在一些實施例中,未薄化的晶圓(例如圖4I所示的頂部第一晶圓61’)可被用於作為一塊體基板,而雷射劃片所形成的切割道71可停止於塊體基板當中。在一些實施例中,一機械切割操作可接續雷射劃片操作;舉例而言,如圖5B所示,使用一機械鋸72以切穿頂部第一晶圓61’之塊體基板,從而完全地分離出單一的記憶體堆疊。Before the control circuit structure 200 and the memory structure 100 are stacked on the interposer 400, the stacked second wafer 62 and the first wafer 61 can be singulated to obtain a plurality of semiconductors as shown in FIG. 3 structure. As shown in FIG. 5A, in some embodiments, the singulation operation of the bonded wafer (ie, the first bonded wafer 64 or the second bonded wafer 65) includes performing a laser scribing operation. In some embodiments, a laser 70 may be used to cut at least a portion of the stacked wafer. In some embodiments, an unthinned wafer (such as the top first wafer 61' shown in FIG. 4I) can be used as a bulk substrate, and the dicing line 71 formed by the laser scribing can stop at Among the bulk substrates. In some embodiments, a mechanical cutting operation can be followed by a laser scribing operation; for example, as shown in FIG. 5B, a mechanical saw 72 is used to cut through the bulk substrate of the top first wafer 61', thereby completely Separate out a single memory stack.

在一些實施例中,單體化第一晶圓及第二晶圓之操作可包含執行一電漿蝕刻操作。如圖6A所示,一光阻層73可被設置於晶圓堆疊相反於頂部第一晶圓61’之塊體基板的一側,而一非等向電漿蝕刻可對堆疊結構形成停止於塊體基板的一溝槽。如圖6B所示,接續地,可在電漿蝕刻操作後接著對頂部第一晶圓61’之塊體基板執行一研磨操作,從而完全地分離出單一的記憶體堆疊。然而,此並非作為本實施例的限制。在另一些實施例中,可先對頂部第一晶圓61’之塊體基板執行研磨操作,而後再接著對堆疊的第二晶圓62和第一晶圓61執行電漿蝕刻操作,以分離出單一的記憶體堆疊。In some embodiments, the operation of singulating the first wafer and the second wafer may include performing a plasma etching operation. As shown in FIG. 6A, a photoresist layer 73 can be disposed on the side of the bulk substrate of the wafer stack opposite to the top first wafer 61', and an anisotropic plasma etching can stop the formation of the stacked structure at A groove in the bulk substrate. As shown in FIG. 6B, successively, a polishing operation may be performed on the bulk substrate of the top first wafer 61' after the plasma etching operation, thereby completely separating a single memory stack. However, this is not a limitation of this embodiment. In other embodiments, a polishing operation may be performed on the bulk substrate of the top first wafer 61' first, and then a plasma etching operation may be performed on the stacked second wafer 62 and the first wafer 61 to separate A single memory stack is created.

透過應用上述的單體化操作,第一晶圓61、第二晶圓62、位於第一晶圓61和第二晶圓62之間之第一混合鍵合結構10及位於相鄰的第一晶圓61之間的第二混合鍵合結構20,可被完全地分離而獲得包含上述結構之複數個半導體結構。在一些實施例中,如圖7所示,記憶體結構100具有一第一側表面100C’,第一混合鍵合結構10具有一第二側表面10C,及控制電路結構200具有一第三側表面200C;其中,第一側表面100C’、第二側表面10C及第三側表面200C於一剖視角度實質上具有一連續線之特徵。另外,出自於單體化操作的緣故,此連續線可能因為雷射劃片或電漿蝕刻所產生的切割邊緣並非完美的垂直,意即在大多數的案例中可能切割形成錐形溝槽,從而使得連續線包含一斜度。在切割完成後,錐形溝槽即轉變為在記憶體結構100、第一混合鍵合結構10及控制電路結構200等側表面處可觀察到的斜度。By applying the above-mentioned singulation operation, the first wafer 61, the second wafer 62, the first hybrid bonding structure 10 between the first wafer 61 and the second wafer 62, and the adjacent first wafer The second hybrid bonding structure 20 between the wafers 61 can be completely separated to obtain a plurality of semiconductor structures including the above-mentioned structures. In some embodiments, as shown in FIG. 7, the memory structure 100 has a first side surface 100C', the first hybrid bonding structure 10 has a second side surface 10C, and the control circuit structure 200 has a third side Surface 200C; wherein, the first side surface 100C', the second side surface 10C, and the third side surface 200C have substantially the characteristic of a continuous line at a cross-sectional angle. In addition, due to the singulation operation, the continuous line may not be perfectly vertical due to the cutting edge produced by laser scribing or plasma etching, which means that in most cases, it may be cut to form a tapered groove. So that the continuous line contains a slope. After the cutting is completed, the tapered groove is transformed into an observable slope at the side surfaces of the memory structure 100, the first hybrid bonding structure 10, and the control circuit structure 200.

如圖8所示,在一些實施例中,邏輯晶粒(即控制電路結構200)及記憶體晶粒100A、100B、100C、100D可皆為「面對背」之排列(方案II)。在此些實施例中,邏輯晶粒當中用於TSV的保留區域即實質上相同於記憶體晶粒當中用於TSV的保留區域,且如前所提及的,第一TSV 104、第二TSV 204是位於特定的一對鍵合墊的同一側。另外,在具有方案II之堆疊排列的實施例中,在前揭圖1所示的第二表面201A是較靠近於控制電路結構200的前段製程結構,並且較遠離控制電路結構200的後段製程結構。As shown in FIG. 8, in some embodiments, the logic die (ie, the control circuit structure 200) and the memory die 100A, 100B, 100C, and 100D may all be arranged in a "face-to-back" arrangement (Scheme II). In these embodiments, the reserved area for TSV in the logic die is substantially the same as the reserved area for TSV in the memory die, and as mentioned above, the first TSV 104 and the second TSV 204 is located on the same side of a specific pair of bonding pads. In addition, in the embodiment with the stacking arrangement of Scheme II, the second surface 201A shown in FIG. 1 is a front-end process structure closer to the control circuit structure 200 and farther from the back-end process structure of the control circuit structure 200. .

圖9A及圖9B展示了製備圖8之半導體結構的步驟。如圖9A及圖9B所示,第二晶圓62可在形成第二混合鍵合層201於其上之前,先於第二背面62B被薄化而於暴露第二TSV 204。之後,底部金屬層209可形成於第二正面62A以電性連接於暴露的第二TSV 204。進一步地,在該些實施例中,第二晶圓62是經翻轉以形成第二混合鍵合層201於第二晶圓62的第二背面62B,且第二混合鍵合層201可接著與第一晶圓61的第一正面61A上的第一混合鍵合層101混合鍵合。此處所提及之混合鍵合操作係相同於前述之混合鍵合操作,並展示於前揭圖4E。進一步關於透過混合鍵合堆疊與第一晶圓61相同的數個額外晶圓、形成第二導電凸點連接部401及晶圓的切割操作,則可參考前揭圖4F至圖4J,為簡潔起見而在此省略。9A and 9B show the steps of preparing the semiconductor structure of FIG. 8. As shown in FIGS. 9A and 9B, the second wafer 62 may be thinned before the second back surface 62B to expose the second TSV 204 before the second hybrid bonding layer 201 is formed thereon. Thereafter, the bottom metal layer 209 may be formed on the second front surface 62A to be electrically connected to the exposed second TSV 204. Further, in these embodiments, the second wafer 62 is turned over to form the second hybrid bonding layer 201 on the second back surface 62B of the second wafer 62, and the second hybrid bonding layer 201 can then be combined with The first hybrid bonding layer 101 on the first front surface 61A of the first wafer 61 is hybrid bonded. The hybrid bonding operation mentioned here is the same as the aforementioned hybrid bonding operation, and is shown in FIG. 4E. For further details on stacking the same additional wafers as the first wafer 61 through hybrid bonding, forming the second conductive bump connection portion 401, and cutting the wafer, please refer to the previous disclosure of FIGS. 4F to 4J, which is concise It is omitted here for the sake of simplicity.

如圖10所示,在一些實施例中,每一記憶體結構及控制電路結構都是透過中段鑽孔製程(via-middle process)所製造;該中段鑽孔製程在部分實施例中也被稱為前鑽孔製程(via-first process)。經中段鑽孔製程,TSV的形成是執行於電晶體形成之後,並且早於後段製程操作;也就是說,通孔蝕刻和通孔填充等操作都是實施於前段製程結構形成之後,但先於後段製程階段的金屬化前。As shown in FIG. 10, in some embodiments, each memory structure and control circuit structure are manufactured through a via-middle process; this mid-stage drilling process is also called in some embodiments It is a via-first process. Through the mid-stage drilling process, the formation of TSV is performed after the formation of the transistor and earlier than the subsequent process operations; in other words, operations such as via etching and via filling are performed after the formation of the front-end process structure, but before Before metallization in the later process stage.

在該些實施例中,混合鍵合之邏輯晶粒和記憶體晶粒可以如前揭之方案I和方案II之形式進行安排。詳言之,如圖10所示,邏輯晶粒(即控制電路結構200)及記憶體晶粒100A是以「面對面」之排列為堆疊,而記憶體結構中的記憶體晶粒100A、100B、100C、100D則是以「面對背」之排列為堆疊(方案I)。因為控制電路結構200當中的第二TSV 204是經中段鑽孔製程或前鑽孔製程而形成,因此其已電性連接於控制電路結構200當中的一金屬化結構210的一底部。就控制電路結構200已以「面對面」之排列堆疊於記憶體晶粒100A上的情況而言,形成於第二晶圓的第二正面62A上的第二混合鍵合層201’可省略形成第二金屬層205(如前揭圖3所示);取而代之的,如圖11A及圖11B所示,第二導電通孔208可形成於接近第二晶圓的第二正面62A之處,並且接觸金屬化結構210的頂部金屬206。類似地,形成於第一晶圓的第一正面61A上的第一混合鍵合層101’可省略形成第一金屬層105(如前揭圖3所示);取而代之的,第一導電通孔108可形成於接近第一晶圓的第二正面61A之處,並且接觸金屬化結構的頂部金屬106。In these embodiments, the mixed-bonded logic die and memory die can be arranged in the form of Scheme I and Scheme II previously disclosed. In detail, as shown in FIG. 10, the logic die (ie, the control circuit structure 200) and the memory die 100A are stacked in a "face-to-face" arrangement, and the memory die 100A, 100B, 100C and 100D are stacked in a "face-to-back" arrangement (Scheme I). Because the second TSV 204 in the control circuit structure 200 is formed by a middle drilling process or a front drilling process, it has been electrically connected to a bottom of a metallization structure 210 in the control circuit structure 200. As far as the control circuit structure 200 has been stacked on the memory die 100A in a "face-to-face" arrangement, the second hybrid bonding layer 201' formed on the second front surface 62A of the second wafer can be omitted to form the first Two metal layers 205 (as shown in FIG. 3); instead, as shown in FIGS. 11A and 11B, the second conductive via 208 may be formed close to the second front surface 62A of the second wafer and contact The top metal 206 of the metallization structure 210. Similarly, the first hybrid bonding layer 101' formed on the first front surface 61A of the first wafer may omit the formation of the first metal layer 105 (as shown in FIG. 3); instead, the first conductive via 108 may be formed close to the second front surface 61A of the first wafer and contact the top metal 106 of the metallization structure.

如圖10所示,與形成於晶圓正面上的第一混合鍵合層101’和第二混合鍵合層201’所相反,形成於第一晶圓的第一背面61B的第一混合鍵合層101和第二晶圓的第二背面62B仍可分別具有第一金屬層105及底部金屬層209串接TSV至相對應的鍵合墊。進一步關於透過混合鍵合堆疊與第一晶圓相同的數個額外晶圓、形成第二導電凸點連接部401及晶圓的切割操作,則可參考前揭圖4F至圖4J,為簡潔起見而在此省略。As shown in FIG. 10, in contrast to the first hybrid bonding layer 101' and the second hybrid bonding layer 201' formed on the front surface of the wafer, the first hybrid bond formed on the first back surface 61B of the first wafer The bonding layer 101 and the second back surface 62B of the second wafer may still respectively have the first metal layer 105 and the bottom metal layer 209 to connect the TSV in series to the corresponding bonding pads. For further details about stacking a number of additional wafers identical to the first wafer through hybrid bonding, forming the second conductive bump connection portion 401, and cutting the wafer, please refer to FIGS. 4F to 4J for simplicity. See it and omit it here.

如圖12所示,在一些實施例中,邏輯晶粒(即控制電路結構200)及記憶體結構中的記憶體晶粒100A、100B、100C、100D皆是經中段鑽孔製程或前鑽孔製程而形成,並且以「面對背」之排列為堆疊(方案II)。在此些實施例中,由於是「面對背」之排列,如圖13A及圖13B所示,第二混合鍵合層201可形成在第二晶圓62的第二背面62B上,並且其中包含第二金屬層205。此第二混合鍵合層201可用於混合鍵合於形成在第一晶圓61的第一正面61A上的第一混合鍵合層101’,並且此第一混合鍵合層101’不包含第一金屬層105。進一步關於透過混合鍵合堆疊更多第一晶圓、形成第二導電凸點連接部401及底部金屬層209及晶圓的切割操作,則可參考前揭圖4F至圖4J,為簡潔起見而在此省略。As shown in FIG. 12, in some embodiments, the logic die (ie, the control circuit structure 200) and the memory die 100A, 100B, 100C, and 100D in the memory structure are all processed through the middle drilling process or pre-drilling The process is formed, and the "face-to-back" arrangement is stacked (Scheme II). In these embodiments, due to the "face-to-back" arrangement, as shown in FIGS. 13A and 13B, the second hybrid bonding layer 201 can be formed on the second back 62B of the second wafer 62, and The second metal layer 205 is included. The second hybrid bonding layer 201 can be used for hybrid bonding to the first hybrid bonding layer 101' formed on the first front surface 61A of the first wafer 61, and the first hybrid bonding layer 101' does not include the first hybrid bonding layer 101'. A metal layer 105. For further details about stacking more first wafers through hybrid bonding, forming the second conductive bump connection portion 401 and the bottom metal layer 209, and the cutting operation of the wafer, please refer to FIGS. 4F to 4J, for the sake of brevity. And omitted here.

在一些實施例中,邏輯晶粒的TSV結構可透過背面TSV製程而形成。也就是說,如圖14所示,控制電路結構200中的一背面TSV(BTSV)是在控制電路結構200及記憶體晶粒100A是以「面對面」之排列而堆疊後才形成,而記憶體結構中的記憶體晶粒100A、100B、100C、100D則是以「面對背」之排列而堆疊(方案I)。參考如圖15A、圖15B及圖15C所示之結構變化,在一些實施例中,在控制電路結構200及記憶體晶粒100A、100B、100C、100D經混合鍵合操作而堆疊後(如圖15A),第二晶圓62即接著自其第二背面62B被薄化(如圖15B)。在一些實施例中,第二晶圓62經薄化而使得其在第二晶圓62的後段製程結構中的第一金屬層2102(例如圖示中底部的金屬層)與第二晶圓的第二背面62B之間的厚度小於約10微米。接續地,一通孔蝕刻和一通孔填充操作實施於第二晶圓62的第二背面62B以形成BTSV 211,其係電性連接於金屬化結構210。進一步關於透過混合鍵合堆疊第一晶圓之細節、形成第二導電凸點連接部401及底部金屬層209及晶圓的切割操作,則可參考前揭圖4F至圖4J,為簡潔起見而在此省略。In some embodiments, the TSV structure of the logic die can be formed through a backside TSV process. That is, as shown in FIG. 14, a back TSV (BTSV) in the control circuit structure 200 is formed after the control circuit structure 200 and the memory die 100A are stacked in a "face-to-face" arrangement, and the memory The memory dies 100A, 100B, 100C, and 100D in the structure are stacked in a "face-to-back" arrangement (Scheme I). Referring to the structural changes shown in FIGS. 15A, 15B, and 15C, in some embodiments, the control circuit structure 200 and the memory dies 100A, 100B, 100C, and 100D are stacked after a hybrid bonding operation (as shown in FIG. 15A), the second wafer 62 is then thinned from its second back surface 62B (as shown in FIG. 15B). In some embodiments, the second wafer 62 is thinned so that the first metal layer 2102 (such as the bottom metal layer in the figure) and the second wafer The thickness between the second back surfaces 62B is less than about 10 microns. Subsequently, a via etching and a via filling operation are performed on the second back surface 62B of the second wafer 62 to form the BTSV 211, which is electrically connected to the metallization structure 210. For further details on stacking the first wafer through hybrid bonding, forming the second conductive bump connection portion 401 and the bottom metal layer 209, and the wafer cutting operation, please refer to the previous figures 4F to 4J, for the sake of brevity And omitted here.

如圖10、圖12及圖14所示,在一些實施例中,第二TSV 204實質上係為半穿透通孔,其一端係與後端製程結構相接觸,例如後段製程金屬線。As shown in FIG. 10, FIG. 12, and FIG. 14, in some embodiments, the second TSV 204 is substantially a semi-penetrating through hole, and one end of the second TSV 204 is in contact with a back-end process structure, such as a back-end process metal wire.

簡言之,基於上述提及之諸多實施例,形成記憶體堆疊的製程效率可以被顯著地提高,且肇因於微凸塊操作所產生的堆疊缺陷的風險也大幅地降低。除此之外,相較於現有工藝,本揭露使用大量的鍵合墊以連接記憶體結構和控制電路結構,以及使用大量的鍵合墊連接記憶體結構,也可以增加現有記憶體系統的記憶體存取頻寬。In short, based on the many embodiments mentioned above, the process efficiency of forming a memory stack can be significantly improved, and the risk of stacking defects caused by micro-bump operations can also be greatly reduced. In addition, compared with the existing technology, the present disclosure uses a large number of bonding pads to connect the memory structure and the control circuit structure, and uses a large number of bonding pads to connect the memory structure, which can also increase the memory of the existing memory system. Body access bandwidth.

在一個例示性態樣中,本揭露提供一種半導體結構。該半導體結構包含:一第一混合鍵合結構、一記憶體結構及一控制電路結構。該第一混合鍵合結構具有一第一表面和一第二表面。該記憶體結構係接觸該第一表面。該控制電路結構係用於控制該記憶體結構,該控制電路結構係接觸該第二表面。In an exemplary aspect, the present disclosure provides a semiconductor structure. The semiconductor structure includes: a first hybrid bonding structure, a memory structure, and a control circuit structure. The first hybrid bonding structure has a first surface and a second surface. The memory structure is in contact with the first surface. The control circuit structure is used to control the memory structure, and the control circuit structure is in contact with the second surface.

在另一個例示性態樣中,本揭露提供一種系統級封裝結構。該系統級封裝結構包含一第一半導體結構、一第二半導體結構及一基板。該第一半導體結構具有一第一臨界尺寸。該第二半導體結構係與該第一半導體結構相堆疊。該第二半導體結構具有一第二臨界尺寸且經一混合鍵合界面而與該第一半導體結構相接觸。該基板係經一第一導電凸塊而電性連接於該第一半導體結構及該第二半導體結構。該第一臨界尺寸係不同於該第二臨界尺寸。In another exemplary aspect, the present disclosure provides a system-in-package structure. The system-in-package structure includes a first semiconductor structure, a second semiconductor structure, and a substrate. The first semiconductor structure has a first critical dimension. The second semiconductor structure is stacked with the first semiconductor structure. The second semiconductor structure has a second critical dimension and is in contact with the first semiconductor structure through a hybrid bonding interface. The substrate is electrically connected to the first semiconductor structure and the second semiconductor structure through a first conductive bump. The first critical dimension is different from the second critical dimension.

在再一個例示性態樣中,本揭露提供一種製造複數個半導體結構的方法。其包含以下步驟:一第一混合鍵合層形成於具有多個第一記憶體結構的一第一晶圓上;一第二混合鍵合層形成於具有多個控制電路結構的一第二晶圓上;該第一晶圓及該第二晶圓經由一第一混合鍵合步驟而鍵合,以連接該第一混合鍵合層及該第二混合鍵合層,因此取得一第一鍵合晶圓;及至少該第一晶圓、該第二晶圓、該第一混合鍵合層及該第二混合鍵合層被單體化而取得複數個半導體結構。In yet another exemplary aspect, the present disclosure provides a method of manufacturing a plurality of semiconductor structures. It includes the following steps: a first hybrid bonding layer is formed on a first wafer with a plurality of first memory structures; a second hybrid bonding layer is formed on a second wafer with a plurality of control circuit structures On the circle; the first wafer and the second wafer are bonded through a first hybrid bonding step to connect the first hybrid bonding layer and the second hybrid bonding layer, thereby obtaining a first bond Bonded wafers; and at least the first wafer, the second wafer, the first hybrid bonding layer and the second hybrid bonding layer are singulated to obtain a plurality of semiconductor structures.

前述內容概述數項實施例之結構,使得熟習此項技術者可更佳地理解本揭露之態樣。熟習此項技術者應瞭解,其等可容易地使用本揭露作為用於設計或修改其他製程及結構之一基礎以實行本文中介紹之實施例之相同目的及/或達成相同優點。熟習此項技術者亦應瞭解,此等等效構造不背離本揭露之精神及範疇,且其等可在不背離本揭露之精神及範疇之情況下在本文中作出各種改變、置換及更改。The foregoing content summarizes the structures of several embodiments, so that those familiar with the art can better understand the aspect of the present disclosure. Those familiar with the technology should understand that they can easily use the present disclosure as a basis for designing or modifying other processes and structures to implement the same purpose and/or achieve the same advantages of the embodiments described herein. Those familiar with this technology should also understand that these equivalent structures do not depart from the spirit and scope of this disclosure, and they can make various changes, substitutions and alterations in this article without departing from the spirit and scope of this disclosure.

10:第一混合鍵合結構 10C:第二側表面 20:第二混合鍵合結構 61:第一晶圓 61’:頂部第一晶圓 61A:第一正面 61B:第一背面 61B’:頂部第一背面 62:第二晶圓 62A:第二正面 62B:第二背面 64:第一鍵合晶圓 65:第二鍵合晶圓 70:雷射 71:切割道 72:機械鋸 73:光阻層 80:半導體結構 81:正面 82:背面 83:半導體基板 84:前段製程結構 85:後段製程結構 100:記憶體結構 100’:第一半導體結構 100A:記憶體晶粒 100B:記憶體晶粒 100C:記憶體晶粒 100C’:第一側表面 100D:記憶體晶粒 101:第一混合鍵合層 101’:第一混合鍵合層 101A:第一表面 101B:第四混合鍵合層 101C:第三混合鍵合層 102:第一鍵合墊 102’:第三鍵合墊 103:第一氧化物部分 104:第一TSV 104’:頂部第一TSV 104C:第三TSV 105:第一金屬層 106:頂部金屬 107:第一混合鍵合部分 108:第一導電通孔 200:控制電路結構 200’:第二半導體結構 200C:第三側表面 201:第二混合鍵合層 201’:第二混合鍵合層 201A:第二表面 202:第二鍵合墊 202’:第四鍵合墊 203:第二氧化物部分 204:第二TSV 205:第二金屬層 206:頂部金屬 207:第二混合鍵合部分 208:第二導電通孔 209:底部金屬層 210:金屬化結構 2102:第一金屬層 211:BTSV 300:第三半導體結構 400:中介板 401:第二導電凸塊連接部 500:基板 501:第一導電凸塊連接部 631:第一保留區域 632:第二保留區域 1201:混合鍵合界面10: The first hybrid bonding structure 10C: Second side surface 20: The second hybrid bonding structure 61: First wafer 61’: First wafer on top 61A: First front 61B: The first back 61B’: Top first back 62: second wafer 62A: second front 62B: second back 64: The first bonding wafer 65: second bonding wafer 70: Laser 71: Cutting Road 72: mechanical saw 73: photoresist layer 80: semiconductor structure 81: positive 82: Back 83: Semiconductor substrate 84: Front-end process structure 85: Back-end process structure 100: Memory structure 100’: The first semiconductor structure 100A: memory die 100B: memory die 100C: memory die 100C’: the first side surface 100D: memory die 101: The first hybrid bonding layer 101’: The first hybrid bonding layer 101A: First surface 101B: Fourth hybrid bonding layer 101C: The third hybrid bonding layer 102: The first bond pad 102’: The third bonding pad 103: The first oxide part 104: The first TSV 104’: First TSV at the top 104C: Third TSV 105: the first metal layer 106: top metal 107: The first hybrid bonding part 108: first conductive via 200: Control circuit structure 200’: Second semiconductor structure 200C: third side surface 201: The second hybrid bonding layer 201’: The second hybrid bonding layer 201A: second surface 202: The second bond pad 202’: Fourth Bonding Pad 203: The second oxide part 204: Second TSV 205: second metal layer 206: top metal 207: The second hybrid bonding part 208: second conductive via 209: bottom metal layer 210: Metallized structure 2102: The first metal layer 211: BTSV 300: The third semiconductor structure 400: Intermediary board 401: second conductive bump connection part 500: substrate 501: first conductive bump connection part 631: first reserved area 632: second reserved area 1201: Hybrid bonding interface

當結合附圖閱讀時,從以下詳細描述最佳理解本揭露之態樣。應注意,根據產業中之標準實踐,各種結構未按比例繪製。事實上,為了清楚論述可任意增大或減小各種結構之尺寸。When read in conjunction with the accompanying drawings, the aspect of the present disclosure is best understood from the following detailed description. It should be noted that according to standard practices in the industry, the various structures are not drawn to scale. In fact, the size of various structures can be increased or decreased arbitrarily for the sake of clarity.

圖1A說明根據本揭露之半導體結構之一些實施例之剖視圖。 FIG. 1A illustrates a cross-sectional view of some embodiments of the semiconductor structure according to the present disclosure.

圖1B說明半導體結構或半導體晶圓的正面及背面之定義。 FIG. 1B illustrates the definition of the front and back sides of a semiconductor structure or semiconductor wafer.

圖2說明根據本揭露之半導體結構之一些實施例之剖視圖。 Figure 2 illustrates a cross-sectional view of some embodiments of the semiconductor structure according to the present disclosure.

圖3說明根據本揭露之半導體結構之一些實施例之剖視圖。 FIG. 3 illustrates a cross-sectional view of some embodiments of the semiconductor structure according to the present disclosure.

圖4A至圖4J說明根據本揭露之形成半導體結構之一些實施例之剖視圖。 4A to 4J illustrate cross-sectional views of some embodiments of forming a semiconductor structure according to the present disclosure.

圖5A至圖5B說明根據本揭露之形成半導體結構之一些實施例之剖視圖。 5A to 5B illustrate cross-sectional views of some embodiments of forming a semiconductor structure according to the present disclosure.

圖6A至圖6B說明根據本揭露之形成半導體結構之一些實施例之剖視圖。 6A to 6B illustrate cross-sectional views of some embodiments of forming a semiconductor structure according to the present disclosure.

圖7說明根據本揭露之半導體結構之一些實施例之剖視圖。 FIG. 7 illustrates a cross-sectional view of some embodiments of the semiconductor structure according to the present disclosure.

圖8說明根據本揭露之半導體結構之一些實施例之剖視圖。 FIG. 8 illustrates a cross-sectional view of some embodiments of the semiconductor structure according to the present disclosure.

圖9A至圖9B說明根據本揭露之形成半導體結構之一些實施例之剖視圖。 9A-9B illustrate cross-sectional views of some embodiments of forming a semiconductor structure according to the present disclosure.

圖10說明根據本揭露之半導體結構之一些實施例之剖視圖。 FIG. 10 illustrates a cross-sectional view of some embodiments of the semiconductor structure according to the present disclosure.

圖11A至圖11B說明根據本揭露之形成半導體結構之一些實施例之剖視圖。 11A to 11B illustrate cross-sectional views of some embodiments of forming a semiconductor structure according to the present disclosure.

圖12說明根據本揭露之半導體結構之一些實施例之剖視圖。 FIG. 12 illustrates a cross-sectional view of some embodiments of the semiconductor structure according to the present disclosure.

圖13A至圖13B說明根據本揭露之形成半導體結構之一些實施例之剖視圖。 13A to 13B illustrate cross-sectional views of some embodiments of forming a semiconductor structure according to the present disclosure.

圖14說明根據本揭露之半導體結構之一些實施例之剖視圖。 FIG. 14 illustrates a cross-sectional view of some embodiments of the semiconductor structure according to the present disclosure.

圖15A至圖15C說明根據本揭露之形成半導體結構之一些實施例之剖視圖。 15A to 15C illustrate cross-sectional views of some embodiments of forming a semiconductor structure according to the present disclosure.

10:第一混合鍵合結構10: The first hybrid bonding structure

20:第二混合鍵合結構20: The second hybrid bonding structure

100’:第一半導體結構100’: The first semiconductor structure

100A:記憶體晶粒100A: memory die

100B:記憶體晶粒100B: memory die

100C:記憶體晶粒100C: memory die

100D:記憶體晶粒100D: memory die

102:第一鍵合墊102: The first bond pad

103:第一氧化物部分103: The first oxide part

200’:第二半導體結構200’: Second semiconductor structure

300:第三半導體結構300: The third semiconductor structure

400:中介板400: Intermediary board

401:第二導電凸塊連接部401: second conductive bump connection part

500:基板500: substrate

501:第一導電凸塊連接部501: first conductive bump connection part

1201:混合鍵合界面1201: Hybrid bonding interface

Claims (20)

一種半導體結構,其包含: 一第一混合鍵合結構,其具有一第一表面和一第二表面; 一記憶體結構,其接觸該第一表面;及 一控制電路結構,其用於控制該記憶體結構,並接觸該第二表面。A semiconductor structure comprising: A first hybrid bonding structure having a first surface and a second surface; A memory structure contacting the first surface; and A control circuit structure for controlling the memory structure and contacting the second surface. 如請求項1所述的半導體結構,其中該第二表面係較靠近於該控制電路結構之一後段製程結構,並較遠離該控制結構之一前段製程結構。The semiconductor structure according to claim 1, wherein the second surface is closer to a back-end process structure of the control circuit structure, and farther away from a front-end process structure of the control structure. 如請求項1所述的半導體結構,其中該第二表面係較靠近於該控制電路結構之一前段製程結構,並較遠離該控制結構之一後段製程結構。The semiconductor structure according to claim 1, wherein the second surface is closer to a front-end process structure of the control circuit structure, and farther away from a back-end process structure of the control structure. 如請求項1所述的半導體結構,其中該記憶體結構包含垂直堆疊之複數個記憶體晶粒,且至少二記憶體晶粒係經一第二混合鍵合結構而混合鍵合。The semiconductor structure according to claim 1, wherein the memory structure includes a plurality of memory dies stacked vertically, and at least two memory dies are mixed and bonded through a second hybrid bonding structure. 如請求項4所述的半導體結構,其中該等記憶體晶粒之一頂部記憶體晶粒之厚度,係大於設置於該頂部記憶體晶粒及該控制電路結構之間之該等記憶體晶粒其中之一者之厚度。The semiconductor structure according to claim 4, wherein the thickness of the top memory die of one of the memory dies is greater than that of the memory dies disposed between the top memory die and the control circuit structure The thickness of one of the grains. 如請求項1所述的半導體結構,其中該記憶體結構及該控制電路結構係經該第一混合鍵合結構而垂直鍵合,該記憶體結構具有一第一側表面,該第一混合鍵合結構具有一第二側表面,且該控制電路結構具有一第三側表面,且該第一側表面、該第二側表面及該第三側表面係實質上於一剖視視角度形成一連續線。The semiconductor structure according to claim 1, wherein the memory structure and the control circuit structure are vertically bonded via the first hybrid bonding structure, the memory structure has a first side surface, and the first hybrid bond The combined structure has a second side surface, and the control circuit structure has a third side surface, and the first side surface, the second side surface, and the third side surface substantially form a cross-sectional view angle Continuous line. 如請求項6所述的半導體結構,其中該半導體結構進一步包含一第一穿透通孔,且該控制電路結構進一步包含一第二穿透通孔,且該第一混合鍵合結構包含: 一第一混合鍵合部分,其具有複數個第一導電通孔及一第一鍵合墊,其中該第一穿透通孔係耦接於該等第一導電通孔的複數個第一端,且該第一鍵合墊係接觸於該等第一導電通孔的複數個第二端;及 一第二混合鍵合部分,其具有多個第二導電通孔及一第二鍵合墊,其中該第二鍵合墊係接觸於該第一鍵合墊,該第二穿透通孔係耦接於該等第二導電通孔的複數個第一端,且該第二鍵合墊係接觸於該等第二導電通孔的複數個第二端。The semiconductor structure according to claim 6, wherein the semiconductor structure further includes a first through hole, and the control circuit structure further includes a second through hole, and the first hybrid bonding structure includes: A first hybrid bonding part having a plurality of first conductive vias and a first bonding pad, wherein the first through-holes are coupled to the plurality of first ends of the first conductive vias , And the first bonding pad is in contact with the plurality of second ends of the first conductive vias; and A second hybrid bonding part having a plurality of second conductive vias and a second bonding pad, wherein the second bonding pad is in contact with the first bonding pad, and the second through-hole is Are coupled to the plurality of first ends of the second conductive vias, and the second bonding pad is in contact with the plurality of second ends of the second conductive vias. 如請求項7所述的半導體結構,其中該第一混合鍵合部分進一步包含一第三鍵合墊,且該第二混合鍵合部分進一步包含一第四鍵合墊接觸於該第三鍵合墊,其中,該第三鍵合墊及該第四鍵合墊係電性斷接於該記憶體結構以及該控制電路結構。The semiconductor structure according to claim 7, wherein the first hybrid bonding portion further includes a third bonding pad, and the second hybrid bonding portion further includes a fourth bonding pad in contact with the third bonding pad Pad, wherein the third bonding pad and the fourth bonding pad are electrically disconnected from the memory structure and the control circuit structure. 如請求項7所述的半導體結構,其中該第二穿透通孔係一半穿透通孔,其一端接觸於一後段製程金屬線The semiconductor structure according to claim 7, wherein the second through hole is a half through hole, one end of which is in contact with a post-process metal line 一種系統級封裝結構,其包含: 一第一半導體結構,其具有一第一臨界尺寸; 一第二半導體結構,其與該第一半導體結構相堆疊,其具有一第二臨界尺寸且經一混合鍵合界面而與該第一半導體結構相接觸;及 一基板,其經一第一導電凸塊而電性連接於該第一半導體結構及該第二半導體結構; 其中,該第一臨界尺寸係不同於該第二臨界尺寸。A system-in-package structure, which includes: A first semiconductor structure having a first critical dimension; A second semiconductor structure stacked with the first semiconductor structure, which has a second critical dimension and is in contact with the first semiconductor structure via a hybrid bonding interface; and A substrate electrically connected to the first semiconductor structure and the second semiconductor structure via a first conductive bump; Wherein, the first critical dimension is different from the second critical dimension. 如請求項10所述的系統級封裝結構,進一步包含: 一第三半導體結構,其一第二導電凸塊而電性連接於該第一半導體結構及該第二半導體結構,其中該第三半導體結構具有一第三臨界尺寸小於該第一臨界尺寸;及 一中介板,其支撐該第一半導體結構、該第二半導體結構及該第三半導體結構,並與該基板相連接。The system-in-package structure described in claim 10 further includes: A third semiconductor structure, a second conductive bump is electrically connected to the first semiconductor structure and the second semiconductor structure, wherein the third semiconductor structure has a third critical dimension smaller than the first critical dimension; and An intermediate board supports the first semiconductor structure, the second semiconductor structure and the third semiconductor structure, and is connected to the substrate. 如請求項10所述的系統級封裝結構,進一步包含一第一鍵合墊位於該混合鍵合界面,其於該混合鍵合界面與一第二鍵合墊相接觸,其中該第一鍵合墊係電性連接於該第一半導體結構的一第一矽穿透通孔,及該第二鍵合墊係電性連接於該第二半導體結構的一第二矽穿透通孔。The system-in-package structure according to claim 10, further comprising a first bonding pad located on the hybrid bonding interface, which contacts a second bonding pad at the hybrid bonding interface, wherein the first bonding pad The pad is electrically connected to a first through silicon through hole of the first semiconductor structure, and the second bonding pad is electrically connected to a second through silicon through hole of the second semiconductor structure. 如請求項10所述的系統級封裝結構,其中該第一臨界尺寸係小於該第二臨界尺寸。The system-in-package structure according to claim 10, wherein the first critical dimension is smaller than the second critical dimension. 一種製造複數個半導體結構的方法,該方法包含: 形成一第一混合鍵合層於具有複數個第一記憶體結構的一第一晶圓上; 形成一第二混合鍵合層於具有複數個控制電路結構的一第二晶圓上; 經由一第一混合鍵合步驟而鍵合該第一晶圓及該第二晶圓,以連接該第一混合鍵合層及該第二混合鍵合層,因此取得一第一鍵合晶圓;及 至少將該第一晶圓、該第二晶圓、該第一混合鍵合層及該第二混合鍵合層單體化而取得複數個半導體結構。A method of manufacturing a plurality of semiconductor structures, the method comprising: Forming a first hybrid bonding layer on a first wafer having a plurality of first memory structures; Forming a second hybrid bonding layer on a second wafer having a plurality of control circuit structures; Bond the first wafer and the second wafer through a first hybrid bonding step to connect the first hybrid bonding layer and the second hybrid bonding layer, thereby obtaining a first bonded wafer ;and At least the first wafer, the second wafer, the first hybrid bonding layer and the second hybrid bonding layer are singulated to obtain a plurality of semiconductor structures. 如請求項14所述的方法,進一步包含: 形成一第三混合鍵合層於具有多個第二記憶體結構的一第三晶圓; 形成一第四混合鍵合層於該第一鍵合晶圓上;及 經由一第二混合鍵合步驟而鍵合該第三晶圓及該第一鍵合晶圓,以連接該第三混合鍵合層及該第四混合鍵合層,因此取得一第二鍵合晶圓。The method according to claim 14, further comprising: Forming a third hybrid bonding layer on a third wafer having a plurality of second memory structures; Forming a fourth hybrid bonding layer on the first bonding wafer; and Bond the third wafer and the first bonded wafer through a second hybrid bonding step to connect the third hybrid bonding layer and the fourth hybrid bonding layer, thereby obtaining a second bonding Wafer. 如請求項15所述的方法,進一步包含: 於形成該第一混合鍵合層於該第一晶圓上之前,形成一第一通孔相鄰於該第一晶圓的一正面,其中該第一混合鍵合層是形成於該第一晶圓的該正面; 於形成該第二混合鍵合層於該第二晶圓上之前,形成一第二通孔相鄰於該第二晶圓的一正面,其中該第二混合鍵合層是形成於該第二晶圓的該正面; 於鍵合該第一晶圓及該第二晶圓之後且形成該第四混合鍵合層於該第一鍵合晶圓上之前,自該第一晶圓的一背面薄化該第一晶圓以暴露該第一通孔; 於形成該第三混合鍵合層於該第三晶圓上之前,形成一第三通孔相鄰於該第三晶圓的一正面;及 自該第二晶圓的一背面薄化該第二晶圓以暴露該第二通孔。The method according to claim 15, further comprising: Before forming the first hybrid bonding layer on the first wafer, a first through hole is formed adjacent to a front surface of the first wafer, wherein the first hybrid bonding layer is formed on the first wafer The front of Before forming the second hybrid bonding layer on the second wafer, a second through hole is formed adjacent to a front surface of the second wafer, wherein the second hybrid bonding layer is formed on the second wafer The front of After bonding the first wafer and the second wafer and before forming the fourth hybrid bonding layer on the first bonded wafer, thin the first wafer from a back surface of the first wafer Round to expose the first through hole; Before forming the third hybrid bonding layer on the third wafer, forming a third through hole adjacent to a front surface of the third wafer; and The second wafer is thinned from a back surface of the second wafer to expose the second through hole. 如請求項15所述的方法,進一步包含: 於形成該第一混合鍵合層於該第一晶圓上之前,形成一第一通孔相鄰於該第一晶圓的一正面; 於形成該第三混合鍵合層於該第三晶圓上之前,形成一第二通孔相鄰於該第三晶圓的一正面; 於鍵合該第一晶圓及該第二晶圓之後且鍵合該第三晶圓及該第一鍵合晶圓之前,自該第一晶圓的一背面薄化該第一晶圓以暴露該第一通孔; 於鍵合該第三晶圓及該第一鍵合晶圓之後,自該第二晶圓的一背面薄化該第一鍵合晶圓以形成一經薄化第二晶圓;及 於該經薄化第二晶圓形成一第三通孔。The method according to claim 15, further comprising: Before forming the first hybrid bonding layer on the first wafer, forming a first through hole adjacent to a front surface of the first wafer; Before forming the third hybrid bonding layer on the third wafer, forming a second through hole adjacent to a front surface of the third wafer; After bonding the first wafer and the second wafer and before bonding the third wafer and the first bonded wafer, thin the first wafer from a back surface of the first wafer to Exposing the first through hole; After bonding the third wafer and the first bonded wafer, thinning the first bonded wafer from a back surface of the second wafer to form a thinned second wafer; and A third through hole is formed in the thinned second wafer. 如請求項15所述的方法,進一步包含: 於形成該第一混合鍵合層於該第一晶圓上之前,形成一第一通孔相鄰於該第一晶圓的一正面,其中該第一混合鍵合層是形成於該第一晶圓的該正面; 形成一第二通孔相鄰於該第二晶圓的一正面; 於形成該第二混合鍵合層於該第二晶圓上之前,自該第二晶圓的一背面薄化該第二晶圓以暴露該第二通孔,其中該第二混合鍵合層是形成於該第二晶圓的該背面;及 於形成該第三混合鍵合層於該第三晶圓上之前,形成一第三通孔相鄰於該第三晶圓的一正面。The method according to claim 15, further comprising: Before forming the first hybrid bonding layer on the first wafer, a first through hole is formed adjacent to a front surface of the first wafer, wherein the first hybrid bonding layer is formed on the first wafer The front of Forming a second through hole adjacent to a front surface of the second wafer; Before forming the second hybrid bonding layer on the second wafer, the second wafer is thinned from a back surface of the second wafer to expose the second through hole, wherein the second hybrid bonding layer Is formed on the back side of the second wafer; and Before forming the third hybrid bonding layer on the third wafer, a third through hole is formed adjacent to a front surface of the third wafer. 如請求項14所述的方法,其中至少單體化該第一晶圓、該第二晶圓之步驟包含: 執行一雷射劃片操作;及 執行一機械切割操作接續該雷射劃片操作。The method according to claim 14, wherein the step of singulating at least the first wafer and the second wafer includes: Perform a laser scribing operation; and Perform a mechanical cutting operation to continue the laser scribing operation. 如請求項14所述的方法,其中至少單體化該第一晶圓、該第二晶圓之步驟包含: 執行一電漿蝕刻操作;及 執行一研磨操作。The method according to claim 14, wherein the step of singulating at least the first wafer and the second wafer includes: Perform a plasma etching operation; and Perform a grinding operation.
TW110113790A 2020-05-07 2021-04-16 Semiconductor structure and method for manufacturing a plurality thereof TWI780666B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063021608P 2020-05-07 2020-05-07
US63/021,608 2020-05-07
US16/920,427 2020-07-03
US16/920,427 US11672111B2 (en) 2018-12-26 2020-07-03 Semiconductor structure and method for manufacturing a plurality thereof

Publications (2)

Publication Number Publication Date
TW202143415A true TW202143415A (en) 2021-11-16
TWI780666B TWI780666B (en) 2022-10-11

Family

ID=78377878

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110113790A TWI780666B (en) 2020-05-07 2021-04-16 Semiconductor structure and method for manufacturing a plurality thereof

Country Status (2)

Country Link
CN (1) CN113629048A (en)
TW (1) TWI780666B (en)

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9153520B2 (en) * 2011-11-14 2015-10-06 Micron Technology, Inc. Stacked semiconductor die assemblies with multiple thermal paths and associated systems and methods
US8845854B2 (en) * 2012-07-13 2014-09-30 Applied Materials, Inc. Laser, plasma etch, and backside grind process for wafer dicing
US9065722B2 (en) * 2012-12-23 2015-06-23 Advanced Micro Devices, Inc. Die-stacked device with partitioned multi-hop network
US9230941B2 (en) * 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9299736B2 (en) * 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
US9331021B2 (en) * 2014-04-30 2016-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Chip-on-wafer package and method of forming same
US9130057B1 (en) * 2014-06-30 2015-09-08 Applied Materials, Inc. Hybrid dicing process using a blade and laser
US10510634B2 (en) * 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method
US10522489B1 (en) * 2018-06-28 2019-12-31 Western Digital Technologies, Inc. Manufacturing process for separating logic and memory array
US11011494B2 (en) * 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
CN111415941B (en) * 2018-09-20 2021-07-30 长江存储科技有限责任公司 Multi-stacked-layer three-dimensional memory device
KR102618755B1 (en) * 2019-01-30 2023-12-27 양쯔 메모리 테크놀로지스 씨오., 엘티디. Hybrid bonding using dummy bonded contacts and dummy interconnects
CN110945652A (en) * 2019-04-15 2020-03-31 长江存储科技有限责任公司 Stacked three-dimensional heterogeneous memory device and forming method thereof
EP3891788A4 (en) * 2019-04-30 2022-10-26 Yangtze Memory Technologies Co., Ltd. Bonded unified semiconductor chips and fabrication and operation methods thereof
JP7328344B2 (en) * 2019-04-30 2023-08-16 長江存儲科技有限責任公司 3D memory device
WO2021087720A1 (en) * 2019-11-05 2021-05-14 Yangtze Memory Technologies Co., Ltd. Semiconductor devices having adjoined via structures formed by bonding and methods for forming the same

Also Published As

Publication number Publication date
TWI780666B (en) 2022-10-11
CN113629048A (en) 2021-11-09

Similar Documents

Publication Publication Date Title
US11672111B2 (en) Semiconductor structure and method for manufacturing a plurality thereof
US11935802B2 (en) Integrated circuit package and method of forming same
US11804472B2 (en) Semiconductor device, semiconductor package and method of manufacturing the same
KR102114454B1 (en) Semiconductor device package and method
TW201822311A (en) Method of manufacturing a package structure for heat dissipation
US20210305214A1 (en) Package
US11854921B2 (en) Integrated circuit package and method
CN110112115B (en) Integrated circuit package and method of forming the same
US20160268230A1 (en) Stacked semiconductor structure
US11362069B2 (en) Three-dimensional stacking structure and manufacturing method thereof
TW202109820A (en) Die stack structure
US20240021584A1 (en) Methods of fabricating the same die stack structure and semiconductor structure
TW202137475A (en) Semiconductor device and method of manufacturing the same
TW202046464A (en) Integrated circuit packages and methods of forming the same
TW202101701A (en) Nested architectures for enhanced heterogeneous integration
TW202145466A (en) Package structure
KR20220130555A (en) Integrated circuit package and method of forming thereof
CN112447685A (en) Semiconductor package
US9553080B1 (en) Method and process for integration of TSV-middle in 3D IC stacks
TW202316599A (en) Semiconductor package
US20240021576A1 (en) Through-dielectric vias for direct connection and method forming same
TW202234536A (en) Semiconductor package, package component, and method of manufacturing
US20230141447A1 (en) Semiconductor package, and method of manufacturing the same
TWI780666B (en) Semiconductor structure and method for manufacturing a plurality thereof
Bum et al. Process development of multi-die stacking using 20 um pitch micro bumps on large scale dies

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent