TW202143277A - High brightness low energy spread pulsed electron source - Google Patents

High brightness low energy spread pulsed electron source Download PDF

Info

Publication number
TW202143277A
TW202143277A TW109147023A TW109147023A TW202143277A TW 202143277 A TW202143277 A TW 202143277A TW 109147023 A TW109147023 A TW 109147023A TW 109147023 A TW109147023 A TW 109147023A TW 202143277 A TW202143277 A TW 202143277A
Authority
TW
Taiwan
Prior art keywords
electron
electrons
combined
energy
pulse
Prior art date
Application number
TW109147023A
Other languages
Chinese (zh)
Inventor
賈格 皮耶特 威廉 荷曼 德
倫斯 賈斯柏 法蘭斯 馬蒂斯 凡
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP20150403.2A external-priority patent/EP3848953A1/en
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW202143277A publication Critical patent/TW202143277A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/06Electron sources; Electron guns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/06Electron sources; Electron guns
    • H01J37/073Electron guns using field emission, photo emission, or secondary emission electron sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/28Electron or ion microscopes; Electron or ion diffraction tubes with scanning beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/063Electron sources
    • H01J2237/06308Thermionic sources
    • H01J2237/06316Schottky emission
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/063Electron sources
    • H01J2237/06325Cold-cathode sources
    • H01J2237/06341Field emission
    • H01J2237/0635Multiple source, e.g. comb or array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2817Pattern inspection

Landscapes

  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

Systems and methods for enhancing inspection throughput with a high brightness low energy spread pulsed electron source are described. A plurality of pulsed constituent electron beams are generated. The plurality of pulsed constituent electron beams are combined into a combined electron inspection beam. The combined electron inspection beam has a greater brightness than each of the individual constituent pulsed electron beams. An energy spread of an incoming electron pulse is increased by accelerating a front of the pulse and decelerating a back of the pulse; temporally stretching the pulse using a drift space; and monochromating the pulse by decelerating the front of the pulse and accelerating the back of the pulse. A spherical aberration associated with the electron inspection beam may be corrected. A substrate may be inspected with the combined electron inspection beam. The greater brightness of the combined electron inspection beam enhances the inspection throughput.

Description

高亮度低能量散佈脈衝電子源High-brightness and low-energy pulsed electron source

本文中之描述大體而言係關於一種高亮度低能量散佈脈衝電子源。The description in this article is generally about a high-brightness low-energy pulsed electron source.

微影投影設備可用於例如積體電路(IC)之製造中。圖案化器件(例如遮罩)可包括或提供對應於IC(「設計佈局」)之個別層之圖案,且可藉由諸如經由圖案化器件上之圖案輻照已塗佈有輻射敏感材料(「抗蝕劑」)層之基板(例如矽晶圓)上之目標部分(例如包含一或多個晶粒)之方法將此圖案轉印至該目標部分上。一般而言,單一基板含有複數個相鄰目標部分,圖案藉由微影投影設備順次轉印至該複數個相鄰目標部分,一次一個目標部分。在一種類型之微影投影設備中,在一個操作中將整個圖案化器件上之圖案轉印至一個目標部分上。此設備通常稱為步進器。在通常稱為步進掃描設備之替代性設備中,投影光束在給定參考方向(「掃描」方向)上遍及圖案化器件進行掃描,同時平行或反平行於此參考方向同步地移動基板。圖案化器件上之圖案之不同部分逐漸地轉印至一個目標部分。一般而言,由於微影投影設備將具有縮減比M (例如4),故基板移動之速度F將為投影光束掃描圖案化器件之速度的1/M倍。關於微影器件之更多資訊可見於例如以引用之方式併入本文中的US 6,046,792。The lithographic projection equipment can be used, for example, in the manufacture of integrated circuits (IC). Patterned devices (such as masks) can include or provide patterns corresponding to individual layers of the IC ("design layout"), and can be coated with radiation-sensitive materials (" The method of transferring the pattern to the target part (for example, containing one or more dies) on the substrate (for example, silicon wafer) of the layer of "resist"). Generally speaking, a single substrate contains a plurality of adjacent target portions, and the pattern is sequentially transferred to the plurality of adjacent target portions by the lithographic projection device, one target portion at a time. In one type of lithographic projection equipment, the pattern on the entire patterned device is transferred to a target part in one operation. This device is usually called a stepper. In an alternative device commonly referred to as a step-and-scan device, the projection beam scans across the patterned device in a given reference direction ("scanning" direction) while simultaneously moving the substrate parallel or anti-parallel to this reference direction. Different parts of the pattern on the patterned device are gradually transferred to a target part. Generally speaking, since the lithographic projection equipment will have a reduction ratio M (for example, 4), the speed F of the substrate movement will be 1/M times the speed of the projection beam scanning the patterned device. More information about lithographic devices can be found in, for example, US 6,046,792, which is incorporated herein by reference.

在將圖案自圖案化器件轉印至基板之前,基板可經歷各種工序,諸如上底漆、抗蝕劑塗佈及軟烘烤。在曝光之後,基板可經受其他工序(「曝光後工序」),諸如曝光後烘烤(PEB)、顯影、硬烘烤及經轉印圖案之量測/檢測。此工序陣列係用作製造器件(例如IC)之個別層的基礎。基板可接著經歷各種程序,諸如蝕刻、離子植入(摻雜)、金屬化、氧化、化學機械研磨等,該等程序皆意欲精整器件之個別層。若在器件中需要若干層,則針對每一層來重複整個工序或其變體。最終,器件將存在於基板上之每一目標部分中。接著藉由諸如切割或鋸割之技術來使此等器件彼此分離,使得可將個別器件安裝於載體上、連接至銷釘等。Before transferring the pattern from the patterned device to the substrate, the substrate may undergo various processes, such as priming, resist coating, and soft baking. After exposure, the substrate can undergo other processes ("post-exposure process"), such as post-exposure bake (PEB), development, hard bake, and measurement/inspection of the transferred pattern. This process array is used as the basis for manufacturing individual layers of devices (such as ICs). The substrate can then undergo various processes, such as etching, ion implantation (doping), metallization, oxidation, chemical mechanical polishing, etc., all of which are intended to finish individual layers of the device. If several layers are required in the device, the entire process or its variants are repeated for each layer. Eventually, the device will exist in every target part on the substrate. These devices are then separated from each other by techniques such as cutting or sawing, so that individual devices can be mounted on a carrier, connected to pins, etc.

製造諸如半導體器件之器件通常涉及使用數個製造程序來處理基板(例如半導體晶圓)以形成該等器件之各種特徵及多個層。典型地使用例如沈積、微影、蝕刻、化學機械拋光及離子植入來製造及處理此類層及特徵。可在基板上之複數個晶粒上製造多個器件,且接著將該等器件分離成個別器件。此器件製造程序可視為圖案化程序。圖案化程序涉及圖案化步驟,諸如使用微影設備中之圖案化器件將圖案化器件上的圖案轉印至基板之光學及/或奈米壓印微影,且圖案化程序通常但視情況涉及一或多個相關圖案處理步驟,諸如藉由顯影設備進行抗蝕劑顯影、使用烘烤工具來烘烤基板、使用蝕刻設備使用圖案進行蝕刻等。Manufacturing devices such as semiconductor devices generally involves the use of several manufacturing processes to process substrates (eg, semiconductor wafers) to form the various features and multiple layers of the devices. Such layers and features are typically fabricated and processed using, for example, deposition, lithography, etching, chemical mechanical polishing, and ion implantation. Multiple devices can be fabricated on multiple dies on a substrate, and then these devices can be separated into individual devices. This device manufacturing process can be regarded as a patterning process. The patterning process involves a patterning step, such as optical and/or nanoimprint lithography that uses the patterning device in the lithography device to transfer the pattern on the patterned device to the substrate. The patterning process is usually but as appropriate One or more related pattern processing steps, such as resist development by a developing device, baking a substrate with a baking tool, etching with a pattern by an etching device, and the like.

微影為在諸如IC之器件之製造中之中心步驟,其中形成於基板上之圖案界定器件之功能元件,諸如微處理器、記憶體晶片等。類似微影技術亦用於形成平板顯示器、微機電系統(MEMS)及其他器件中。Lithography is a central step in the manufacture of devices such as ICs, in which the patterns formed on the substrate define the functional elements of the device, such as microprocessors, memory chips, and so on. Similar lithography technology is also used in the formation of flat panel displays, microelectromechanical systems (MEMS) and other devices.

隨著半導體製造程序持續進步,功能元件之尺寸已不斷地減小。同時,每器件功能元件(諸如電晶體)之數目已穩定地增加,此遵循通常稱為「莫耳定律」之趨勢。在當前技術狀態下,使用微影投影設備來製造器件之層,該等微影投影設備使用來自深紫外照明源之照明將設計佈局投影至基板上,從而產生尺寸遠低於100 nm (亦即小於來自照明源(例如193 nm照明源)之輻射的波長之一半)的個別功能元件。As the semiconductor manufacturing process continues to advance, the size of functional components has been continuously reduced. At the same time, the number of functional elements (such as transistors) per device has steadily increased, which follows a trend commonly referred to as "Moore's Law". In the current state of the art, lithographic projection equipment is used to fabricate the layers of the device. The lithographic projection equipment uses illumination from a deep ultraviolet source to project the design layout onto the substrate, resulting in a size much lower than 100 nm (that is, Individual functional elements that are smaller than half the wavelength of the radiation from the illumination source (for example, a 193 nm illumination source).

其中印刷尺寸小於微影投影設備之經典解析度限制之特徵的此程序根據解析度公式CD = k1×λ/NA而通常稱為低k1微影,其中λ為所採用輻射之波長(當前在大多數情況下為248nm或193nm),NA為微影投影設備中之投影光學器件之數值孔徑,CD為「臨界尺寸」(通常為所印刷之最小特徵大小),且k1為經驗解析度因數。一般而言,k1愈小,則在基板上再現與由設計者規劃之形狀及尺寸相似以便達成特定電功能性及效能的圖案變得愈困難。為了克服此等困難,將複雜微調步驟應用於微影投影設備、設計佈局或圖案化器件。舉例而言,此等步驟包括但不限於NA及光學相干設定之最佳化、定製照明方案、相移圖案化器件之使用、設計佈局中之光學近接校正(OPC,有時亦稱為「光學及程序校正」),或通常定義為「解析度提昇技術」(RET)之其他方法。The printing size is smaller than the classic resolution limit of lithographic projection equipment. This procedure is usually called low-k1 lithography according to the resolution formula CD = k1×λ/NA, where λ is the wavelength of the radiation used (currently in large In most cases, it is 248nm or 193nm), NA is the numerical aperture of the projection optics in the lithographic projection equipment, CD is the "critical dimension" (usually the smallest feature size printed), and k1 is the empirical resolution factor. Generally speaking, the smaller the k1 is, the more difficult it is to reproduce a pattern similar to the shape and size planned by the designer in order to achieve specific electrical functionality and performance on the substrate. In order to overcome these difficulties, complex fine-tuning steps are applied to lithographic projection equipment, design layouts or patterned devices. For example, these steps include, but are not limited to, optimization of NA and optical coherence settings, customized lighting schemes, use of phase-shift patterning devices, and optical proximity correction (OPC, sometimes referred to as " Optical and procedural calibration”), or other methods generally defined as “resolution enhancement technology” (RET).

OPC及其他RET利用描述微影程序之穩固電子模型。因此需要用於此類微影模型之校準工序,其跨製程窗提供有效、穩固且準確的模型。當前,使用具有晶圓量測之某一數目個1維及/或2維量規圖案進行校準。更具體而言,1維量規圖案包括具有變化之節距及臨界尺寸(CD)之線空間圖案、隔離線、多個線等。2維量規圖案通常包括線端、接點及隨機選擇之靜態隨機存取記憶體(SRAM)圖案。OPC and other RET use robust electronic models that describe the lithography process. Therefore, a calibration process for this type of lithography model is required, which provides an effective, stable and accurate model across the process window. Currently, a certain number of 1D and/or 2D gauge patterns with wafer measurement are used for calibration. More specifically, the one-dimensional gauge pattern includes line space patterns with varying pitch and critical dimension (CD), isolated lines, multiple lines, and the like. The 2-dimensional gauge pattern usually includes wire ends, contacts, and randomly selected static random access memory (SRAM) patterns.

以下發明內容及實施方式之大部分上下文係在用於半導體檢測之高亮度低能量散佈脈衝電子源之上下文中。然而,此不應視為限制性的。存在對於本文中所描述之高亮度低能量散佈脈衝電子源之其他應用,諸如腦成像(作為一個實例)。因此,本文中所描述之原理可擴展至半導體成像以外的其他應用。此外,此處所描述之原理可擴展至僅電子以外的其他帶電粒子。Most of the context of the following invention and embodiments is in the context of a high-brightness low-energy dispersive pulsed electron source for semiconductor inspection. However, this should not be considered restrictive. There are other applications for the high-brightness, low-energy, pulsed electron source described herein, such as brain imaging (as an example). Therefore, the principles described in this article can be extended to other applications beyond semiconductor imaging. In addition, the principles described here can be extended to charged particles other than electrons only.

根據一實施例,提供一種高亮度電子源系統。該系統包含:複數個發射器,其經組態以產生一對應複數個脈衝組成電子束;及一第一射頻(RF)或微波結構,其經組態以將該複數個脈衝組成電子束合併成沿一單一光軸對準之一合併之電子束。該合併之電子束比個別脈衝組成電子束中之每一者具有一更大亮度。According to an embodiment, a high-brightness electron source system is provided. The system includes: a plurality of transmitters, which are configured to generate a corresponding plurality of pulses to form an electron beam; and a first radio frequency (RF) or microwave structure, which is configured to combine the plurality of pulses into an electron beam A combined electron beam is aligned along a single optical axis. The combined electron beam has a greater brightness than each of the individual pulse constituent electron beams.

在一實施例中,該第一RF或微波結構包含一偏轉腔。In one embodiment, the first RF or microwave structure includes a deflection cavity.

在一實施例中,該系統進一步包含:一電子光學元件之組合,其經組態以減小該電子束之能量散佈。In one embodiment, the system further includes: a combination of electro-optical elements configured to reduce the energy spread of the electron beam.

在一實施例中,該電子光學元件之組合包含第二RF或微波結構及第三RF或微波結構,該第二RF或微波結構及該第三RF或微波結構藉由其間的一漂移空間分離。該第二RF或微波結構經組態以藉由使該脈衝之一前部加速且使該脈衝之一後部減速來增加一傳入電子脈衝之一能量散佈。該漂移空間經組態以按時間拉伸該脈衝。該第三RF或微波結構經組態以藉由使該脈衝之該前部減速且使該脈衝之該後部加速來使該脈衝單色化(monochromate)。用於驅動該第一RF或微波結構、該第二RF或微波結構及該第三RF或微波結構之信號彼此同步。In one embodiment, the combination of the electro-optical element includes a second RF or microwave structure and a third RF or microwave structure, and the second RF or microwave structure and the third RF or microwave structure are separated by a drift space therebetween . The second RF or microwave structure is configured to increase the energy spread of an incoming electron pulse by accelerating a front part of the pulse and decelerating a back part of the pulse. The drift space is configured to stretch the pulse in time. The third RF or microwave structure is configured to monochromate the pulse by decelerating the front portion of the pulse and accelerating the back portion of the pulse. The signals used to drive the first RF or microwave structure, the second RF or microwave structure, and the third RF or microwave structure are synchronized with each other.

在一實施例中,用於驅動該第一RF或微波結構、該第二RF或微波結構及/或該第三RF或微波結構之該等信號包含具有相同微波頻率之多個高階諧波的微波信號,使得一個別RF或微波結構內部之一正常正弦電磁場隨時間線性化,從而接近一鋸齒分佈。In one embodiment, the signals used to drive the first RF or microwave structure, the second RF or microwave structure, and/or the third RF or microwave structure include multiple high-order harmonics with the same microwave frequency The microwave signal linearizes a normal sinusoidal electromagnetic field inside a specific RF or microwave structure over time, thus approaching a sawtooth distribution.

在一實施例中,該第二RF或微波結構及該第三RF或微波結構包含諧振腔。In one embodiment, the second RF or microwave structure and the third RF or microwave structure include resonant cavities.

在一實施例中,該電子光學元件之組合包含:一彎曲路徑,其經組態以藉由能量位準分散該合併之電子束,使得該合併之電子束中具有較高能量的電子遵循一相對較短路徑,且該合併之電子束中具有較低能量的電子遵循一相對較長路徑;及一加速器,其經組態以使該合併之電子束加速,使得該合併之電子束中具有該較低能量的該等電子比該合併之電子束中具有該較高能量的該等電子自加速獲得更多能量,以便減小該等較低能量電子與該等較高能量電子之間的一能量散佈。In one embodiment, the combination of electro-optical elements includes: a tortuous path configured to disperse the combined electron beam by energy level so that electrons with higher energy in the combined electron beam follow a Relatively short path, and electrons with lower energy in the combined electron beam follow a relatively long path; and an accelerator configured to accelerate the combined electron beam so that the combined electron beam has The electrons with the lower energy self-accelerate to obtain more energy than the electrons with the higher energy in the combined electron beam, so as to reduce the difference between the lower energy electrons and the higher energy electrons. One energy spread.

在一實施例中,該彎曲路徑為一軌道彎道。In one embodiment, the curved path is a track curve.

在一實施例中,該軌道彎道包含複數個磁偏轉器。In one embodiment, the track curve includes a plurality of magnetic deflectors.

在一實施例中,該系統進一步包含一多極校正器,該多極校正器經組態以校正與該合併之電子束相關聯之一球面像差。In one embodiment, the system further includes a multi-pole corrector configured to correct a spherical aberration associated with the combined electron beam.

在一實施例中,該多極校正器包含至少一個轉印透鏡、至少一個轉接器透鏡、至少一個對準偏轉器、一光束傾斜線圈、一光束移位線圈及至少一個像散補償器。In one embodiment, the multi-pole corrector includes at least one transfer lens, at least one adapter lens, at least one alignment deflector, a beam tilt coil, a beam shift coil, and at least one astigmatism compensator.

在一實施例中,該複數個脈衝組成電子束中之不同者中之電子之脈衝彼此異相,使得該合併之電子束藉由一電子之該等異相脈衝之組合形成。In one embodiment, the pulses of electrons in different ones of the plurality of pulses constituting the electron beams are out of phase with each other, so that the combined electron beam is formed by the combination of the out-of-phase pulses of an electron.

在一實施例中,該複數個發射器包含複數個肖特基(Schottky)發射器或冷場發射器。In one embodiment, the plurality of transmitters includes a plurality of Schottky transmitters or cold field transmitters.

根據一實施例,提供一種經組態以提高檢測產出量之檢測系統,其中該系統包含上文所描述之該高亮度低能量散佈脈衝電子源系統。According to one embodiment, a detection system configured to increase detection throughput is provided, wherein the system includes the high-brightness low-energy dispersive pulse electron source system described above.

根據另一實施例,提供一種用於提高檢測產出量之方法,該方法包含:產生複數個脈衝組成電子束;According to another embodiment, a method for improving detection throughput is provided, the method comprising: generating a plurality of pulses to form an electron beam;

用一偏轉腔將該複數個脈衝組成電子束合併成一合併之電子檢測束,該合併之電子檢測束比個別組成脈衝電子束中之每一者具有一更大亮度;藉由能量位準分散該合併之電子檢測束,使得該合併之電子檢測束中具有較高能量的電子導引該合併之電子檢測束中具有較低能量的電子;及減小該等較低能量電子與該等較高能量電子之間的一能量散佈;其中該合併之電子檢測束經組態以用於檢測一基板。Use a deflection cavity to combine the plurality of pulse component electron beams into a combined electron detection beam, the combined electron detection beam has a greater brightness than each of the individual component pulse electron beams; the energy level is used to disperse the The combined electron detection beam enables the electrons with higher energy in the combined electron detection beam to guide the electrons with lower energy in the combined electron detection beam; and reduces the lower energy electrons and the higher An energy spread between energy electrons; wherein the combined electron inspection beam is configured for inspection of a substrate.

在一實施例中,該檢測產出量為該合併之電子檢測束之該亮度之一函數,且其中該合併之電子檢測束之該更大亮度提高該檢測產出量。In one embodiment, the detection yield is a function of the brightness of the combined electron detection beam, and wherein the greater brightness of the combined electron detection beam increases the detection yield.

在一實施例中,該合併之電子檢測束沿一單一光軸對準。In one embodiment, the combined electron detection beam is aligned along a single optical axis.

在一實施例中,產生該複數個脈衝組成電子束包含:發射複數個連續電子束;及在該複數個連續束中之個別束中產生能量散佈以在該等個別束中產生具有類似能量之電子之脈衝以形成該複數個脈衝組成電子束。In one embodiment, generating the plurality of pulses to form electron beams includes: emitting a plurality of continuous electron beams; and generating energy dispersion in individual beams of the plurality of continuous beams to generate similar energy in the individual beams The pulses of electrons form the plurality of pulses to form an electron beam.

根據一實施例,提供一種用於藉由以下操作減小一脈衝電子束之能量散佈之方法:藉由使該脈衝之一前部加速且使該脈衝之一後部減速來增加一傳入電子脈衝之一能量散佈;使用一漂移空間按時間拉伸該脈衝;及藉由使該脈衝之該前部減速且使該脈衝之該後部加速來使該脈衝單色化。According to an embodiment, there is provided a method for reducing the energy spread of a pulsed electron beam by increasing an incoming electron pulse by accelerating a front part of the pulse and decelerating a rear part of the pulse An energy spread; use a drift space to stretch the pulse in time; and monochromatize the pulse by decelerating the front part of the pulse and accelerating the back part of the pulse.

根據一實施例,提供一種用於提高檢測產出量之方法。該方法包含產生複數個脈衝組成電子束,及將該複數個脈衝組成電子束合併成一合併之電子檢測束。該合併之電子檢測束比個別組成脈衝電子束中之每一者具有一更大亮度。該合併之電子檢測束經組態以用於檢測一基板。According to an embodiment, a method for improving detection throughput is provided. The method includes generating a plurality of pulses to form an electron beam, and combining the plurality of pulses to form an electron beam into a combined electron detection beam. The combined electron detection beam has a greater brightness than each of the individually composed pulsed electron beams. The combined electron inspection beam is configured to inspect a substrate.

在一實施例中,該檢測產出量為該合併之電子檢測束之該亮度之一函數。該合併之電子檢測束之該更大亮度提高該檢測產出量。In one embodiment, the detection output is a function of the brightness of the combined electron detection beam. The greater brightness of the combined electron inspection beam increases the inspection throughput.

在一實施例中,該合併之電子檢測束沿一單一光軸對準。In one embodiment, the combined electron detection beam is aligned along a single optical axis.

在一實施例中,該檢測產出量與一半導體製造程序相關聯,且該基板與一半導體器件相關聯。In one embodiment, the inspection throughput is associated with a semiconductor manufacturing process, and the substrate is associated with a semiconductor device.

在一實施例中,該複數個脈衝組成電子束中之不同者中之電子之脈衝彼此異相,使得該合併之電子檢測束藉由一電子之該等異相脈衝之組合形成。In one embodiment, the pulses of electrons in different ones of the plurality of pulses constituting the electron beams are out of phase with each other, so that the combined electron detection beam is formed by a combination of the out-of-phase pulses of an electron.

在一實施例中,產生該複數個脈衝組成電子束包含:發射複數個連續電子束;及在該複數個連續束中之個別束中產生能量散佈以在該等個別束中產生具有類似能量之電子之脈衝以形成該複數個脈衝組成電子束。In one embodiment, generating the plurality of pulses to form electron beams includes: emitting a plurality of continuous electron beams; and generating energy dispersion in individual beams of the plurality of continuous beams to generate similar energy in the individual beams The pulses of electrons form the plurality of pulses to form an electron beam.

在一實施例中,用一偏轉腔將該複數個脈衝組成電子束合併成該合併之電子檢測束。In one embodiment, a deflection cavity is used to combine the plurality of pulses into the electron beam to form the combined electron detection beam.

在一實施例中,產生該複數個脈衝組成電子束包含藉由一對應複數個肖特基發射器或冷場發射器發射該複數個脈衝組成電子束。在一實施例中,肖特基發射器為用於半導體檢測之最有用電子源。對於其他應用,有可能的係例如熱發射器作為起點係較佳的,此係由於其可在較低亮度下遞送更多電流。In one embodiment, generating the plurality of pulses to form the electron beam includes emitting the plurality of pulses to form the electron beam by a corresponding plurality of Schottky emitters or cold field emitters. In one embodiment, the Schottky emitter is the most useful electron source for semiconductor inspection. For other applications, possible systems such as thermal emitters are better starting points, because they can deliver more current at lower brightness.

在一實施例中,該方法進一步包含使該合併之電子檢測束加速以減小庫侖(coulomb)交互作用之效應。In one embodiment, the method further includes accelerating the combined electron detection beam to reduce the effect of coulomb interaction.

在一實施例中,該方法進一步包含:藉由能量位準分散該合併之電子檢測束中之電子,使得該合併之電子檢測束中具有較高能量的電子經由一軌道彎道遵循一相對較短路徑,且該合併之電子檢測束中具有較低能量的電子經由該軌道彎道遵循一相對較長路徑;及使該合併之電子檢測束加速,使得該合併之電子檢測束中具有該較低能量的該等電子比該合併之電子檢測束中具有該較高能量的該等電子自加速獲得更多能量,以便減小該等較低能量電子與該等較高能量電子之間的一能量散佈。In one embodiment, the method further includes: dispersing the electrons in the combined electron detection beam by energy levels, so that the electrons with higher energy in the combined electron detection beam follow a relatively relatively large curve through an orbital curve. Short path, and electrons with lower energy in the combined electron detection beam follow a relatively long path through the orbital curve; and accelerate the combined electron detection beam so that the combined electron detection beam has the relatively long path The low-energy electrons self-accelerate to obtain more energy than the higher-energy electrons in the combined electron detection beam, so as to reduce the difference between the lower-energy electrons and the higher-energy electrons. Energy spread.

在一實施例中,該檢測產出量為該能量散佈之一逆函數,且減小該能量散佈進一步提高該檢測產出量。In one embodiment, the detection output is an inverse function of the energy dispersion, and reducing the energy dispersion further improves the detection output.

在一實施例中,藉由能量位準分散該合併之電子檢測束中之電子包含使該合併之電子檢測束穿過包含複數個磁偏轉器之一軌道彎道。在一實施例中,該軌道彎道包含四個磁偏轉器。In one embodiment, dispersing the electrons in the combined electron detection beam by the energy level includes passing the combined electron detection beam through an orbital curve including a plurality of magnetic deflectors. In one embodiment, the track curve includes four magnetic deflectors.

在一實施例中,該加速藉由一加速腔進行。In one embodiment, the acceleration is performed by an acceleration cavity.

在一實施例中,藉由能量位準分散該合併之電子檢測束中之電子及使該合併之電子檢測束加速產生單色電子聚束。In one embodiment, the energy level disperses the electrons in the combined electron detection beam and accelerates the combined electron detection beam to generate a monochromatic electron beam.

在一實施例中,該檢測產出量與亮場檢測或多束檢測相關聯。In one embodiment, the detection output is associated with bright field detection or multi-beam detection.

在一實施例中,檢測產出量與一掃描電子顯微鏡相關聯。In one embodiment, the detection output is associated with a scanning electron microscope.

在一實施例中,該方法進一步包含:用一掃描電子顯微鏡產生該合併之電子檢測束;及用一多極校正器校正與該合併之電子檢測束相關聯之一球面像差。在一實施例中,該檢測產出量為校正該球面像差之一函數,使得校正該球面像差進一步提高該檢測產出量。In one embodiment, the method further includes: generating the combined electron detection beam with a scanning electron microscope; and correcting a spherical aberration associated with the combined electron detection beam with a multi-pole corrector. In one embodiment, the detection yield is a function of correcting the spherical aberration, so that correcting the spherical aberration further improves the detection yield.

在一實施例中,該複數個脈衝組成電子束包含至少10個脈衝組成電子束。In one embodiment, the plurality of pulses forming the electron beam includes at least 10 pulses forming the electron beam.

根據另一實施例,提供一種用於提高檢測產出量之方法。該方法包含:使一電子檢測束穿過一彎曲路徑;在該電子檢測束橫穿該彎曲路徑時藉由能量位準分散該電子檢測束,使得該電子檢測束中具有較高能量的電子遵循一相對較短路徑,且該電子檢測束中具有較低能量的電子遵循一相對較長路徑;及使該電子檢測束加速,使得該電子檢測束中具有該較低能量的該等電子比該電子檢測束中具有該較高能量的該等電子自加速獲得更多能量,以便減小該等較低能量電子與該等較高能量電子之間的一能量散佈。加速之電子檢測束經組態以用於檢測一基板。According to another embodiment, a method for improving detection throughput is provided. The method includes: passing an electron detection beam through a curved path; when the electron detection beam traverses the curved path, dispersing the electron detection beam by energy level, so that the electrons with higher energy in the electron detection beam follow A relatively short path, and electrons with lower energy in the electron detection beam follow a relatively long path; and accelerating the electron detection beam, so that the electrons with the lower energy in the electron detection beam are faster than the The electrons having the higher energy in the electron detection beam obtain more energy by self-acceleration, so as to reduce an energy spread between the lower energy electrons and the higher energy electrons. The accelerated electron inspection beam is configured to inspect a substrate.

在一實施例中,該彎曲路徑包含一軌道彎道。In one embodiment, the curved path includes a track curve.

根據另一實施例,提供一種用於提高檢測產出量之方法。該方法包含:用一掃描電子顯微鏡產生一電子檢測束;及用一多極校正器校正與該電子檢測束相關聯之一球面像差。經校正電子檢測束經組態以用於檢測一基板。According to another embodiment, a method for improving detection throughput is provided. The method includes: using a scanning electron microscope to generate an electron detection beam; and using a multi-pole corrector to correct a spherical aberration associated with the electron detection beam. The calibrated electron inspection beam is configured to inspect a substrate.

根據另一實施例,提供一種電腦程式產品,其包含具有記錄於其上之指令之一非暫時性電腦可讀媒體。該等指令在由一電腦執行時實施上文所描述之操作中之任何或所有。According to another embodiment, a computer program product is provided, which includes a non-transitory computer-readable medium having instructions recorded thereon. These instructions, when executed by a computer, perform any or all of the operations described above.

根據另一實施例,提供一種經組態以提高檢測產出量之檢測系統。該系統包含:複數個發射器,其經組態以產生一對應複數個脈衝組成電子束;及一電子光學配置,其經組態以將該複數個脈衝組成電子束合併成一合併之電子檢測束。該合併之電子檢測束比個別脈衝組成電子束中之每一者具有一更大亮度。該合併之電子檢測束經組態以用於檢測一基板。According to another embodiment, a detection system configured to increase detection throughput is provided. The system includes: a plurality of emitters, which are configured to generate a corresponding plurality of pulses to form an electron beam; and an electro-optical configuration, which is configured to combine the plurality of pulses to form an electron beam into a combined electron detection beam . The combined electron detection beam has a greater brightness than each of the individual pulse constituent electron beams. The combined electron inspection beam is configured to inspect a substrate.

在一實施例中,該電子光學配置包含一偏轉腔。In one embodiment, the electro-optical configuration includes a deflection cavity.

在一實施例中,該系統進一步包含:一彎曲路徑,其經組態以藉由能量位準分散該合併之電子檢測束,使得該合併之電子檢測束中具有較高能量的電子遵循一相對較短路徑,且該合併之電子檢測束中具有較低能量的電子遵循一相對較長路徑;及一加速器,其經組態以使該合併之電子檢測束加速,使得該合併之電子檢測束中具有該較低能量的該等電子比該合併之電子檢測束中具有該較高能量的該等電子自加速獲得更多能量,以便減小該等較低能量電子與該等較高能量電子之間的一能量散佈。In one embodiment, the system further includes: a tortuous path configured to disperse the combined electron detection beam by energy levels so that electrons with higher energy in the combined electron detection beam follow a relative A shorter path, and electrons with lower energy in the combined electron detection beam follow a relatively long path; and an accelerator configured to accelerate the combined electron detection beam so that the combined electron detection beam The electrons having the lower energy in the combined electron detection beam obtain more energy from the acceleration than the electrons having the higher energy in the combined electron detection beam, so as to reduce the lower energy electrons and the higher energy electrons An energy spread between.

在一實施例中,該彎曲路徑為一軌道彎道。在一實施例中,該軌道彎道包含複數個磁偏轉器。In one embodiment, the curved path is a track curve. In one embodiment, the track curve includes a plurality of magnetic deflectors.

在一實施例中,該加速器包含一加速腔。In one embodiment, the accelerator includes an accelerating cavity.

在一實施例中,該系統進一步包含一多極校正器,該多極校正器經組態以校正與該合併之電子檢測束相關聯之一球面像差。在一實施例中,該多極校正器包含至少一個轉印透鏡、至少一個轉接器透鏡、至少一個對準偏轉器、一光束傾斜線圈、一光束移位線圈及至少一個像散補償器。In one embodiment, the system further includes a multi-pole corrector configured to correct a spherical aberration associated with the combined electron detection beam. In one embodiment, the multi-pole corrector includes at least one transfer lens, at least one adapter lens, at least one alignment deflector, a beam tilt coil, a beam shift coil, and at least one astigmatism compensator.

在一實施例中,該複數個脈衝組成電子束中之不同者中之電子之脈衝彼此異相,使得該合併之電子檢測束藉由一電子之該等異相脈衝之組合形成。In one embodiment, the pulses of electrons in different ones of the plurality of pulses constituting the electron beams are out of phase with each other, so that the combined electron detection beam is formed by a combination of the out-of-phase pulses of an electron.

在一實施例中,該複數個發射器包含複數個肖特基發射器或冷場發射器。In an embodiment, the plurality of transmitters includes a plurality of Schottky transmitters or cold field transmitters.

在一實施例中,該檢測系統為一掃描電子顯微鏡。In one embodiment, the detection system is a scanning electron microscope.

根據另一實施例,提供一種經組態以提高檢測產出量之檢測系統。該系統包含一彎曲路徑,該彎曲路徑經組態以藉由能量位準分散一合併之電子檢測束,使得該合併之電子檢測束中具有較高能量的電子遵循一相對較短路徑,且該合併之電子檢測束中具有較低能量的電子遵循一相對較長路徑。該系統包含一加速器,該加速器經組態以使該合併之電子檢測束加速,使得該合併之電子檢測束中具有該較低能量的該等電子比該合併之電子檢測束中具有該較高能量的該等電子自加速獲得更多能量,以便減小該等較低能量電子與該等較高能量電子之間的一能量散佈。該合併之電子檢測束經組態以用於檢測一基板。According to another embodiment, a detection system configured to increase detection throughput is provided. The system includes a curved path configured to disperse a combined electron detection beam by energy levels so that electrons with higher energy in the combined electron detection beam follow a relatively short path, and the The electrons with lower energy in the combined electron detection beam follow a relatively long path. The system includes an accelerator configured to accelerate the combined electron detection beam so that the electrons with the lower energy in the combined electron detection beam have the higher energy than those in the combined electron detection beam The energy of the electrons self-accelerate to obtain more energy, so as to reduce an energy spread between the lower energy electrons and the higher energy electrons. The combined electron inspection beam is configured to inspect a substrate.

在一實施例中,該彎曲路徑為一軌道彎道。在一實施例中,該軌道彎道包含複數個磁偏轉器。In one embodiment, the curved path is a track curve. In one embodiment, the track curve includes a plurality of magnetic deflectors.

根據另一實施例,提供一種經組態以提高檢測產出量之掃描電子顯微鏡檢測系統。該系統包含一多極校正器,該多極校正器經組態以校正與一合併之電子檢測束相關聯之一球面像差。該合併之電子檢測束經組態以用於檢測一基板。According to another embodiment, a scanning electron microscope inspection system configured to increase inspection throughput is provided. The system includes a multi-pole corrector configured to correct a spherical aberration associated with a combined electron detection beam. The combined electron inspection beam is configured to inspect a substrate.

在一實施例中,該多極校正器包含至少一個轉印透鏡、至少一個轉接器透鏡、至少一個對準偏轉器、一光束傾斜線圈、一光束移位線圈及至少一個像散補償器。In one embodiment, the multi-pole corrector includes at least one transfer lens, at least one adapter lens, at least one alignment deflector, a beam tilt coil, a beam shift coil, and at least one astigmatism compensator.

根據另一實施例,提供一種高亮度電子源系統。該系統包含:複數個發射器,其經組態以產生一對應複數個脈衝組成電子束;及一電子光學配置,其經組態以將該複數個脈衝組成電子束合併成一合併之電子檢測束。該合併之電子檢測束比個別脈衝組成電子束中之每一者具有一更大亮度。According to another embodiment, a high-brightness electron source system is provided. The system includes: a plurality of emitters, which are configured to generate a corresponding plurality of pulses to form an electron beam; and an electro-optical configuration, which is configured to combine the plurality of pulses to form an electron beam into a combined electron detection beam . The combined electron detection beam has a greater brightness than each of the individual pulse constituent electron beams.

在一實施例中,該電子光學配置包含一偏轉腔。In one embodiment, the electro-optical configuration includes a deflection cavity.

在一實施例中,該系統進一步包含:一彎曲路徑,其經組態以藉由能量位準分散該合併之電子檢測束,使得該合併之電子檢測束中具有較高能量的電子遵循一相對較短路徑,且該合併之電子檢測束中具有較低能量的電子遵循一相對較長路徑;及一加速器,其經組態以使該合併之電子檢測束加速,使得該合併之電子檢測束中具有該較低能量的該等電子比該合併之電子檢測束中具有該較高能量的該等電子自加速獲得更多能量,以便減小該等較低能量電子與該等較高能量電子之間的一能量散佈。In one embodiment, the system further includes: a tortuous path configured to disperse the combined electron detection beam by energy levels so that electrons with higher energy in the combined electron detection beam follow a relative A shorter path, and electrons with lower energy in the combined electron detection beam follow a relatively long path; and an accelerator configured to accelerate the combined electron detection beam so that the combined electron detection beam The electrons having the lower energy in the combined electron detection beam obtain more energy from the acceleration than the electrons having the higher energy in the combined electron detection beam, so as to reduce the lower energy electrons and the higher energy electrons An energy spread between.

在一實施例中,該彎曲路徑為一軌道彎道。In one embodiment, the curved path is a track curve.

在一實施例中,該軌道彎道包含複數個磁偏轉器。In one embodiment, the track curve includes a plurality of magnetic deflectors.

在一實施例中,該加速器包含一加速腔。In one embodiment, the accelerator includes an accelerating cavity.

在一實施例中,該系統進一步包含一多極校正器,該多極校正器經組態以校正與該合併之電子檢測束相關聯之一球面像差。In one embodiment, the system further includes a multi-pole corrector configured to correct a spherical aberration associated with the combined electron detection beam.

在一實施例中,該多極校正器包含至少一個轉印透鏡、至少一個轉接器透鏡、至少一個對準偏轉器、一光束傾斜線圈、一光束移位線圈及至少一個像散補償器。In one embodiment, the multi-pole corrector includes at least one transfer lens, at least one adapter lens, at least one alignment deflector, a beam tilt coil, a beam shift coil, and at least one astigmatism compensator.

在一實施例中,該複數個脈衝組成電子束中之不同者中之電子之脈衝彼此異相,使得該合併之電子檢測束藉由一電子之該等異相脈衝之組合形成。In one embodiment, the pulses of electrons in different ones of the plurality of pulses constituting the electron beams are out of phase with each other, so that the combined electron detection beam is formed by a combination of the out-of-phase pulses of an electron.

在一實施例中,該複數個發射器包含複數個肖特基發射器或冷場發射器。In an embodiment, the plurality of transmitters includes a plurality of Schottky transmitters or cold field transmitters.

通常用光(之光束)進行作為半導體及/或積體電路製造程序之部分的亮場缺陷檢測。有利的是,基於光之檢測為相對快速之程序,且基於光之檢測產出量通常不限制總製造輸出。然而,用基於光之檢測技術愈來愈難以發現製造之半導體及/或其他積體電路器件中之不斷更小之缺陷。此係由於可用基於光之技術偵測到之缺陷之大小受在檢測期間使用之光之波長限制。Light (beam of light) is usually used for bright field defect detection as part of the semiconductor and/or integrated circuit manufacturing process. Advantageously, light-based inspection is a relatively fast process, and the output of light-based inspection generally does not limit the total manufacturing output. However, it is increasingly difficult to find ever smaller defects in manufactured semiconductors and/or other integrated circuit devices using light-based inspection techniques. This is because the size of the defects that can be detected by light-based technology is limited by the wavelength of the light used during the inspection.

電子束檢測技術可用作基於光之檢測技術的替代物。電子束之檢測解析度高於光束之檢測解析度,此係由於不存在與電子束相關聯之波長(電子束之有效波長比來自光束更小)且電子束中之電子相較於半導體器件及/或其他積體電路之特徵較小。因此,可用電子束檢測技術發現無法用基於光之技術偵測之缺陷。然而,過去的電子束檢測技術相較於其基於光之對應物較慢。緩慢產出量時間通常阻礙先前電子束檢測技術之使用。Electron beam detection technology can be used as an alternative to light-based detection technology. The detection resolution of the electron beam is higher than the detection resolution of the beam. This is because there is no wavelength associated with the electron beam (the effective wavelength of the electron beam is smaller than that from the beam) and the electrons in the electron beam are compared with semiconductor devices and / Or other integrated circuits have relatively small features. Therefore, electron beam inspection technology can be used to find defects that cannot be detected by light-based technology. However, the past electron beam detection technology is slower than its light-based counterpart. Slow throughput times often hinder the use of previous electron beam inspection techniques.

為了解決先前系統之此等及其他缺點,本基於電子束之檢測系統及方法經組態以使得將(相對於先前之基於電子束之系統)更多光束電流遞送至基板,同時保持基於電子束之檢測系統(相對於基於光之系統)之增加之解析度。此繼而提高檢測產出量。本系統及方法亦經組態以校正及/或以其他方式減小電子檢測束中之能量散佈,且校正電子檢測束中之球面像差。此等操作亦提高檢測產出量。在此等及其他方式中,本系統及方法相較於先前之基於電子束之檢測系統提供更高檢測產出量,同時亦相較於基於光之檢測提供增加之解析度。In order to solve these and other shortcomings of the previous system, the present electron beam-based inspection system and method are configured to deliver (compared to the previous electron beam-based system) more beam current to the substrate while maintaining the electron beam-based The increased resolution of the detection system (compared to the light-based system). This in turn increases the detection throughput. The system and method are also configured to correct and/or otherwise reduce the energy spread in the electron detection beam, and to correct the spherical aberration in the electron detection beam. These operations also increase the throughput of inspections. Among these and other methods, the present system and method provide higher detection throughput compared to previous electron beam-based detection systems, and at the same time provide increased resolution compared to light-based detection.

當電子束藉由電磁透鏡聚焦時,光束之能量散佈會導致在根本上限制電子顯微鏡之解析度的色像差。能量濾光單色器可藉由使用色散元件在空間上分離不同能量之電子且使用小狹縫僅選擇光束之小單色部分來減小電子束之能量散佈(且因此增加顯微鏡之解析度),但以電流為代價。通常,能量選擇單色器丟棄90%至99%之電流,此在產出量重要時且在其他時間係不可接受的。在一些實施例中,本系統及方法包括兩個鎖相時間相依電磁場,所述兩個鎖相時間相依電磁場經組態以在不犧牲電流之情況下減小脈衝電子束之能量散佈。在一些實施例中,本系統及方法利用軌道彎道及加速器,如下文所描述。此等實例並不意欲為限制性的。When the electron beam is focused by an electromagnetic lens, the energy dispersion of the beam will cause chromatic aberration that fundamentally limits the resolution of the electron microscope. The energy filter monochromator can reduce the energy spread of the electron beam by using dispersive elements to spatially separate electrons of different energies and using small slits to select only the small monochromatic part of the beam (and therefore increase the resolution of the microscope) , But at the cost of current. Generally, the energy selective monochromator discards 90% to 99% of the current, which is unacceptable when throughput is important and at other times. In some embodiments, the system and method include two phase-locked time-dependent electromagnetic fields that are configured to reduce the energy spread of the pulsed electron beam without sacrificing current. In some embodiments, the system and method utilize track curves and accelerators, as described below. These examples are not intended to be limiting.

現將參考圖式詳細地描述本發明,該等圖式作為本發明之說明性實例提供,以便使得熟習此項技術者能夠實踐本發明。值得注意的是,以下之圖式及實例並不意欲將本發明之範疇限於單一實施例,而是藉助於所描述或所說明元件中之一些或所有之互換而使其他實施例係可能的。此外,在可部分地或完全地使用已知組件來實施本發明之某些元件之情況下,將僅描述理解本發明所必需之此類已知組件之彼等部分,且將省略此類已知組件之其他部分之詳細描述以便不混淆本發明。除非本文中另外規定,否則如對於熟習此項技術者將顯而易見的是,描述為以軟體實施之實施例不應限於此,而是可包括以硬體或軟體與硬體之組合實施之實施例,且反之亦然。在本說明書中,展示單數組件之實施例不應視為限制性的;實情為,除非本文中另外明確陳述,否則本發明意欲涵蓋包括複數個相同組件之其他實施例,且反之亦然。此外,除非如此明確闡述,否則申請者並不意欲使本說明書或申請專利範圍中之任何術語歸結於不常見或特殊涵義。另外,本發明涵蓋本文中藉助於說明而提及之已知組件的當前及未來已知等效物。The present invention will now be described in detail with reference to the drawings, which are provided as illustrative examples of the present invention so that those skilled in the art can practice the present invention. It is worth noting that the following figures and examples are not intended to limit the scope of the present invention to a single embodiment, but to make other embodiments possible by virtue of the interchange of some or all of the described or illustrated elements. In addition, where known components can be used partially or completely to implement certain elements of the present invention, only those parts of such known components necessary for understanding the present invention will be described, and such known components will be omitted. Know the detailed description of other parts of the components so as not to obscure the present invention. Unless otherwise specified herein, it will be obvious to those familiar with the art that the embodiments described as being implemented in software should not be limited to this, but may include embodiments implemented in hardware or a combination of software and hardware. , And vice versa. In this specification, embodiments showing singular components should not be regarded as limiting; in fact, unless expressly stated otherwise herein, the present invention is intended to cover other embodiments including plural identical components, and vice versa. In addition, unless clearly stated as such, the applicant does not intend to attribute any term in this specification or the scope of the patent application to an uncommon or special meaning. In addition, the present invention covers current and future known equivalents of known components mentioned herein by way of explanation.

儘管在本文中可特定參考半導體器件及/或其他積體電路之製造,但應明確地理解,本文中之描述具有許多其他可能的應用。舉例而言,其可用於製造整合式光學系統、用於磁疇記憶體、液晶顯示面板、薄膜磁頭等之導引及偵測圖案。熟習此項技術者應瞭解,在此類替代性應用之上下文中,本文中對術語「倍縮光罩」、「晶圓」或「晶粒」之任何使用應視為可分別與更一般術語「遮罩」、「基板」及「目標部分」互換。Although the manufacturing of semiconductor devices and/or other integrated circuits may be specifically referred to in this article, it should be clearly understood that the description in this article has many other possible applications. For example, it can be used to manufacture integrated optical systems, guide and detect patterns for magnetic domain memory, liquid crystal display panels, thin-film magnetic heads, etc. Those familiar with this technology should understand that in the context of such alternative applications, any use of the terms "reduced mask", "wafer" or "die" in this article should be regarded as separate and more general terms "Mask", "Substrate" and "Target Part" are interchanged.

在本發明文件中,術語「輻射」及「光束」用於涵蓋所有類型之電磁輻射,包括紫外輻射(例如具有365 nm、248 nm、193 nm、157 nm或126 nm之波長)及極紫外輻射(EUV,例如具有在約5 nm至100 nm範圍內之波長)。In the present document, the terms "radiation" and "beam" are used to cover all types of electromagnetic radiation, including ultraviolet radiation (for example, having a wavelength of 365 nm, 248 nm, 193 nm, 157 nm or 126 nm) and extreme ultraviolet radiation (EUV, for example, has a wavelength in the range of about 5 nm to 100 nm).

如本文中所使用之術語「投影光學器件」應廣泛地解釋為涵蓋各種類型之光學系統,包括例如折射光學器件、反射光學器件、孔徑及折反射光學器件。術語「投影光學器件」亦可包括用於集體地或單個地引導、塑形或控制投影輻射光束的根據此等設計類型中之任一者而操作之組件。術語「投影光學器件」可包括微影投影設備中之任何光學組件,無論光學組件位於微影投影設備之光學路徑上之何方。投影光學器件可包括用於在來自源之輻射穿過(例如半導體)圖案化器件之前塑形、調整及/或投影該輻射的光學組件,及/或用於在輻射穿過圖案化器件之後塑形、調整及/或投影該輻射的光學組件。投影光學器件通常不包括源及圖案化器件。The term "projection optics" as used herein should be broadly interpreted as covering various types of optical systems, including, for example, refractive optics, reflective optics, aperture, and catadioptric optics. The term "projection optics" may also include components that operate according to any of these design types for collectively or individually guiding, shaping, or controlling the projection radiation beam. The term "projection optics" can include any optical components in the lithographic projection equipment, no matter where the optical components are located in the optical path of the lithographic projection equipment. Projection optics may include optical components for shaping, conditioning, and/or projecting radiation from a source before it passes through a patterned device (e.g., semiconductor), and/or for shaping after the radiation passes through the patterned device. Optical components that shape, adjust and/or project the radiation. Projection optics usually do not include source and patterning devices.

(例如半導體)圖案化器件可包含或可形成一或多個設計佈局。可利用電腦輔助設計(CAD)程式來產生設計佈局,此程序通常稱為電子設計自動化(EDA)。大部分CAD程式遵循預定設計規則集合,以便產生功能設計佈局/圖案化器件。藉由處理及設計限制來設定此等規則。舉例而言,設計規則定義器件(諸如閘極、電容器等)或互連線之間的空間容許度,以便確保器件或線不以非所要方式彼此相互作用。設計規則可包括及/或指定具體參數、關於參數之限制及/或參數範圍,及/或其他資訊。設計規則限制及/或參數中之一或多者可稱為「臨界尺寸」(CD)。器件之臨界尺寸可定義為線或孔之最小寬度,或兩條線或兩個孔之間的最小空間,或其他特徵。因此,CD判定經設計器件之總大小及密度。器件製造中之目標中之一者係在基板上(經由圖案化器件)如實地再現初始設計意圖。The (e.g., semiconductor) patterned device may include or may form one or more design layouts. A computer-aided design (CAD) program can be used to generate the design layout. This program is commonly referred to as electronic design automation (EDA). Most CAD programs follow a set of predetermined design rules in order to produce functional design layout/patterned devices. Set these rules through processing and design constraints. For example, design rules define the space tolerance between devices (such as gates, capacitors, etc.) or interconnect lines in order to ensure that the devices or lines do not interact with each other in an undesired manner. Design rules may include and/or specify specific parameters, restrictions on parameters and/or parameter ranges, and/or other information. One or more of the design rule constraints and/or parameters can be referred to as "critical dimensions" (CD). The critical dimension of a device can be defined as the minimum width of a line or hole, or the minimum space between two lines or two holes, or other features. Therefore, CD determines the total size and density of the designed device. One of the goals in device manufacturing is to faithfully reproduce the original design intent on the substrate (via patterned devices).

如在本文中所採用之術語「遮罩」或「圖案化器件」可廣泛地解釋為係指可用於向入射輻射光束賦予經圖案化橫截面之通用半導體圖案化器件,該經圖案化橫截面對應於待在基板之目標部分中產生之圖案;術語「光閥」亦可用於此上下文中。除經典遮罩(透射或反射;二元、相移、混合式等)以外,其他此類圖案化器件之實例包括可程式化鏡面陣列及可程式化LCD陣列。The term "mask" or "patterned device" as used herein can be broadly interpreted as referring to a general-purpose semiconductor patterned device that can be used to impart a patterned cross-section to an incident radiation beam. Corresponds to the pattern to be produced in the target portion of the substrate; the term "light valve" can also be used in this context. In addition to classic masks (transmission or reflection; binary, phase shift, hybrid, etc.), other examples of such patterned devices include programmable mirror arrays and programmable LCD arrays.

可程式化鏡面陣列之實例可為具有黏彈性控制層及反射表面的矩陣可定址表面。此設備所隱含之基本原理為(例如):反射表面之經定址區域使入射輻射反射為繞射輻射,而未經定址區域使入射輻射反射為非繞射輻射。使用適當濾光器,可自經反射光束濾出該非繞射輻射,從而僅留下繞射輻射;以此方式,光束根據矩陣可定址表面之定址圖案而變得圖案化。可使用合適之電子構件來進行所需矩陣定址。可程式化LCD陣列之實例在以引用之方式併入本文中之美國專利第5,229,872號中給出。An example of a programmable mirror array can be a matrix addressable surface with a viscoelastic control layer and a reflective surface. The basic principle underlying this device is (for example): the addressed area of the reflective surface reflects incident radiation as diffracted radiation, while the unaddressed area reflects incident radiation as non-diffracted radiation. Using a suitable filter, the non-diffracted radiation can be filtered out from the reflected beam, leaving only diffracted radiation; in this way, the beam becomes patterned according to the addressing pattern of the addressable surface of the matrix. Suitable electronic components can be used to carry out the required matrix addressing. Examples of programmable LCD arrays are given in US Patent No. 5,229,872, which is incorporated herein by reference.

如本文中所使用,術語「圖案化程序」通常意謂作為微影程序之部分的藉由施加光之指定圖案來產生經蝕刻基板的程序。然而,「圖案化程序」亦可包括電漿蝕刻,此係由於本文中所描述之許多特徵可提供使用電漿處理形成經印刷圖案之益處。As used herein, the term "patterning process" generally means the process of generating an etched substrate by applying a specified pattern of light as part of the lithography process. However, the "patterning process" may also include plasma etching, because many of the features described herein can provide the benefits of using plasma processing to form printed patterns.

如本文中所使用,術語「目標圖案」意謂待在基板上蝕刻之理想化圖案。As used herein, the term "target pattern" means an ideal pattern to be etched on the substrate.

如本文中所使用,術語「經印刷圖案」意謂基板上之基於目標圖案蝕刻的實體圖案。經印刷圖案可包括例如凹槽、溝道、凹陷、邊緣或由微影程序產生之其他二維及三維特徵。As used herein, the term "printed pattern" means a physical pattern etched based on the target pattern on the substrate. The printed pattern may include, for example, grooves, channels, depressions, edges, or other two-dimensional and three-dimensional features produced by lithography procedures.

如本文中所使用,術語「預測模型」及/或「程序模型」(其可互換使用)意謂包括模擬圖案化程序之一或多個模型之模型。舉例而言,預測及/或程序模型可包括(例如模型化用於在微影程序中遞送光的透鏡系統/投影系統且可包括模型化進入光阻上之光之最終光學影像)之光學模型、(例如模型化抗蝕劑之物理效應,諸如歸因於光之化學效應)之抗蝕劑模型,及(例如可用於製造目標圖案且可包括次解析度抗蝕劑特徵(SRAF)等)之OPC模型,及/或其他模型。As used herein, the terms "predictive model" and/or "procedure model" (which can be used interchangeably) mean a model that includes one or more models that simulate a patterning process. For example, the prediction and/or process model may include (e.g., model the lens system/projection system used to deliver light in the lithography process and may include the final optical image of the light entering the photoresist). , (For example, model the physical effect of resist, such as the chemical effect attributed to light) resist model, and (for example, it can be used to manufacture target patterns and may include sub-resolution resist features (SRAF), etc.) The OPC model, and/or other models.

如本文中所使用,術語「校準」意謂修改(例如改良或調諧)及/或驗證某物,諸如程序模型。As used herein, the term "calibration" means to modify (e.g., improve or tune) and/or verify something, such as a program model.

作為引言,圖1說明實例微影投影設備10A之各種子系統之圖。主要組件為:輻射源12A,其可為深紫外準分子雷射源或包括極紫外(EUV)源的其他類型之源(如上文所論述,微影投影設備自身無需具有輻射源);照明光學器件,其例如定義部分同調性(標示為標準差)且其可包括塑形來自源12A之輻射的光學器件14A、16Aa及16Ab;圖案化器件18A;及透射光學器件16Ac,其將圖案化器件圖案之影像投影至基板平面22A上。在投影光學器件之光瞳平面處的可調整濾光器或孔徑20A可限制撞擊於基板平面22A上之光束角度之範圍,其中最大可能角度界定投影光學器件之數值孔徑NA= n sin(Θmax ),其中n為基板與投影光學器件之最末元件之間的媒體之折射率,且Θmax 為自投影光學器件射出的仍可撞擊於基板平面22A上之光束的最大角度。As an introduction, FIG. 1 illustrates a diagram of various subsystems of an example lithographic projection apparatus 10A. The main components are: radiation source 12A, which can be a deep ultraviolet excimer laser source or other types of sources including extreme ultraviolet (EUV) sources (as discussed above, the lithographic projection device itself does not need to have a radiation source); illumination optics Devices, which, for example, define partial coherence (labeled as standard deviation) and which may include optical devices 14A, 16Aa, and 16Ab that shape the radiation from source 12A; patterned device 18A; and transmissive optical device 16Ac, which will pattern the device The image of the pattern is projected onto the substrate plane 22A. The adjustable filter or aperture 20A at the pupil plane of the projection optics can limit the range of beam angles impinging on the substrate plane 22A, where the largest possible angle defines the numerical aperture of the projection optics NA= n sin(Θ max ), where n is the refractive index of the medium between the substrate and the last element of the projection optics, and Θ max is the maximum angle of the light beam emitted from the projection optics that can still strike the substrate plane 22A.

在微影投影設備中,源向圖案化器件提供照明(亦即輻射),且投影光學器件經由該圖案化器件將該照明引導及塑形至基板上。投影光學器件可包括組件14A、16Aa、16Ab及16Ac中之至少一些。空中影像(AI)為在基板位階處之輻射強度分佈。可使用抗蝕劑模型自空中影像計算抗蝕劑影像,可在美國專利申請案公開案第US 2009-0157630號中找到此之實例,該公開案之揭示內容特此以全文引用之方式併入。抗蝕劑模型係與抗蝕劑層之屬性(例如在曝光、曝光後烘烤(PEB)及顯影期間發生之化學程序之效應)相關。微影投影設備之光學屬性(例如照明、圖案化器件及投影光學器件之屬性)規定空中影像且可定義於光學模型中。由於可改變用於微影投影設備中之圖案化器件,故需要使圖案化器件之光學屬性與至少包括源及投影光學器件的微影投影設備之其餘部分之光學屬性分離。用於將設計佈局變換成各種微影影像(例如空中影像、抗蝕劑影像等)、使用彼等技術及模型來應用OPC且評估效能(例如依據製程窗)的技術及模型之細節描述於美國專利申請案公開案第US 2008-0301620號、第2007-0050749號、第2007-0031745號、第2008-0309897號、第2010-0162197號及第2010-0180251號中,每一公開案之揭示內容特此以全文引用之方式併入。In the lithographic projection equipment, the source provides illumination (that is, radiation) to the patterned device, and the projection optics guides and shapes the illumination onto the substrate via the patterned device. The projection optics may include at least some of the components 14A, 16Aa, 16Ab, and 16Ac. Aerial image (AI) is the radiation intensity distribution at the level of the substrate. The resist model can be used to calculate the resist image from the aerial image. An example of this can be found in US Patent Application Publication No. US 2009-0157630, the disclosure of which is hereby incorporated by reference in its entirety. The resist model is related to the properties of the resist layer (for example, the effects of chemical processes that occur during exposure, post-exposure bake (PEB), and development). The optical properties of lithographic projection equipment (such as the properties of lighting, patterning devices, and projection optics) dictate aerial images and can be defined in optical models. Since the patterned device used in the lithographic projection equipment can be changed, the optical properties of the patterned device need to be separated from the optical properties of the rest of the lithographic projection equipment including at least the source and projection optics. The details of the technology and model used to transform the design layout into various lithographic images (such as aerial images, resist images, etc.), use their technologies and models to apply OPC, and evaluate performance (such as based on process windows) are described in the United States Patent Application Publication Nos. US 2008-0301620, 2007-0050749, 2007-0031745, 2008-0309897, 2010-0162197, and 2010-0180251, the disclosure of each publication It is hereby incorporated by reference in its entirety.

可能需要使用一或多個工具來產生例如可用於設計、控制、監視等圖案化程序的結果。可提供用於以計算方式控制、設計等圖案化程序之一或多個態樣的一或多個工具,諸如用於圖案化器件之圖案設計(包括例如添加次解析度輔助特徵或光學近接校正)、用於圖案化器件之照明等。因此,在用於以計算方式控制、設計等涉及圖案化之製造程序之系統中,製造系統組件及/或程序可由各種功能模組及/或模型描述。在一些實施例中,可提供描述圖案化程序之一或多個步驟及/或設備之一或多個電子(例如數學、參數化等)模型。在一些實施例中,可使用一或多個電子模型來進行圖案化程序之模擬以模擬圖案化程序使用由圖案化器件提供之設計圖案形成經圖案化基板之方式。It may be necessary to use one or more tools to produce results that can be used for patterning procedures such as design, control, monitoring, etc. It can provide one or more tools for computationally controlling and designing one or more aspects of patterning procedures, such as pattern design for patterned devices (including, for example, adding secondary resolution auxiliary features or optical proximity correction) ), used for lighting of patterned devices, etc. Therefore, in a system for computationally controlling, designing, etc., manufacturing procedures involving patterning, the manufacturing system components and/or procedures can be described by various functional modules and/or models. In some embodiments, an electronic (for example, mathematical, parametric, etc.) model describing one or more steps of the patterning process and/or one or more equipment may be provided. In some embodiments, one or more electronic models may be used to simulate the patterning process to simulate the patterning process using the design pattern provided by the patterned device to form the patterned substrate.

可在製造期間的各種點處檢測製造之器件。圖2示意性地描繪電子束檢測設備50之一般化實施例。在一些實施例中,檢測設備可為產生曝光或轉印於基板上之結構(例如諸如積體電路之器件之一些或所有結構)之影像的電子束檢測設備(例如與掃描電子顯微鏡(SEM)相同或類似)。自電子源54發射之初級電子束52由聚光器透鏡56會聚且接著穿過光束偏轉器58、E×B偏轉器60及物鏡62以在一焦點處輻照基板台ST上之基板70。The manufactured device can be inspected at various points during manufacturing. FIG. 2 schematically depicts a generalized embodiment of the electron beam inspection device 50. In some embodiments, the inspection equipment may be an electron beam inspection equipment (e.g., scanning electron microscope (SEM)) that produces images of structures exposed or transferred on the substrate (e.g., some or all of the structures of devices such as integrated circuits). Same or similar). The primary electron beam 52 emitted from the electron source 54 is condensed by the condenser lens 56 and then passes through the beam deflector 58, the E×B deflector 60, and the objective lens 62 to irradiate the substrate 70 on the substrate stage ST at a focal point.

當用電子束52輻照基板70時,自基板70產生次級電子。該等次級電子由E×B偏轉器60偏轉且由次級電子偵測器72偵測到。二維電子束影像可藉由與以下操作同步地偵測自樣品產生之電子來獲得:例如藉由光束偏轉器58使電子束進行二維掃描或藉由光束偏轉器58使電子束52在X或Y方向上反覆掃描,以及藉由基板台ST在X或Y方向中之另一者上連續移動基板70。因此,在一實施例中,電子束檢測設備具有用於由角程界定之電子束之視場,在該角程內之電子束可由電子束檢測設備提供(例如偏轉器60可藉以提供電子束52之角程)。因此,該視場之空間範圍為電子束之角程可撞擊於表面上所達之空間範圍(其中該表面可為靜止的或可相對於該場移動)。When the substrate 70 is irradiated with the electron beam 52, secondary electrons are generated from the substrate 70. The secondary electrons are deflected by the E×B deflector 60 and detected by the secondary electron detector 72. The two-dimensional electron beam image can be obtained by detecting the electrons generated from the sample synchronously with the following operations: for example, the beam deflector 58 makes the electron beam two-dimensional scanning or the beam deflector 58 makes the electron beam 52 in X Or it is repeatedly scanned in the Y direction, and the substrate 70 is continuously moved in the other of the X or Y directions by the substrate table ST. Therefore, in one embodiment, the electron beam detection device has a field of view for the electron beam defined by the angular range, and the electron beam within the angular range can be provided by the electron beam detection device (for example, the deflector 60 can provide the electron beam 52 of the corner). Therefore, the spatial extent of the field of view is the spatial extent that the angular path of the electron beam can impinge on the surface (where the surface can be stationary or move relative to the field).

如圖2中所展示,由次級電子偵測器72偵測到之信號可由類比/數位(A/D)轉換器74轉換成數位信號,且可將該數位信號發送至影像處理系統76。在一實施例中,影像處理系統76可具有用以儲存數位影像中之所有或部分以供由處理單元80處理之記憶體78。處理單元80 (例如經專門設計之硬體或硬體與軟體之組合或包含軟體之電腦可讀媒體)經組態以將數位影像轉換或處理成表示數位影像之資料集。在一實施例中,處理單元80經組態或程式化以使得執行本文中所描述之操作(例如SEM檢測)。另外,影像處理系統76可具有經組態以將數位影像及對應資料集儲存於參考資料庫中之儲存媒體82。顯示器件84可與影像處理系統76連接,使得操作者可藉助於圖形使用者介面進行裝備之必需操作。As shown in FIG. 2, the signal detected by the secondary electronic detector 72 can be converted into a digital signal by an analog/digital (A/D) converter 74, and the digital signal can be sent to the image processing system 76. In one embodiment, the image processing system 76 may have a memory 78 for storing all or part of the digital image for processing by the processing unit 80. The processing unit 80 (for example, specially designed hardware or a combination of hardware and software or a computer-readable medium containing software) is configured to convert or process the digital image into a data set representing the digital image. In one embodiment, the processing unit 80 is configured or programmed to perform the operations described herein (such as SEM inspection). In addition, the image processing system 76 may have a storage medium 82 configured to store digital images and corresponding data sets in a reference database. The display device 84 can be connected to the image processing system 76, so that the operator can perform the necessary operations of the equipment by means of a graphical user interface.

圖3示意性地說明檢測設備之另一實施例。該系統用於檢測樣品載物台89上之樣品90 (諸如基板)且包含帶電粒子束產生器81、聚光器透鏡模組99、探針形成物鏡模組83、帶電粒子束偏轉模組88、次級帶電粒子偵測器模組85、影像形成模組86及/或其他組件。帶電粒子束產生器81產生初級帶電粒子束91。聚光器透鏡模組99將所產生之初級帶電粒子束91聚光。探針形成物鏡模組83將經聚光初級帶電粒子束聚焦成帶電粒子束探針92。帶電粒子束偏轉模組88跨緊固於樣品載物台89上之樣品90上的所關注區域之表面掃描形成之帶電粒子束探針92。在一些實施例中,帶電粒子束產生器81、聚光器透鏡模組83及探針形成物鏡模組83或其等效設計、替代方案或其任何組合共同形成產生掃描帶電粒子束探針92的帶電粒子束探針產生器。Figure 3 schematically illustrates another embodiment of the detection device. The system is used to detect a sample 90 (such as a substrate) on a sample stage 89 and includes a charged particle beam generator 81, a condenser lens module 99, a probe forming objective lens module 83, and a charged particle beam deflection module 88 , Secondary charged particle detector module 85, image forming module 86 and/or other components. The charged particle beam generator 81 generates a primary charged particle beam 91. The condenser lens module 99 condenses the generated primary charged particle beam 91. The probe forming objective lens module 83 focuses the focused primary charged particle beam into a charged particle beam probe 92. The charged particle beam deflection module 88 scans the charged particle beam probe 92 formed by scanning across the surface of the region of interest on the sample 90 fastened on the sample stage 89. In some embodiments, the charged particle beam generator 81, the condenser lens module 83, and the probe forming objective lens module 83 or their equivalent designs, alternatives, or any combination thereof together form a scanning charged particle beam probe 92 The charged particle beam probe generator.

次級帶電粒子偵測器模組85偵測在由帶電粒子束探針92轟擊後即自樣品表面發射的次級帶電粒子93 (亦可能與來自樣品表面之其他反射或散射帶電粒子一起)以產生次級帶電粒子偵測信號94。影像形成模組86 (例如計算器件)與次級帶電粒子偵測器模組85耦接以自次級帶電粒子偵測器模組85接收次級帶電粒子偵測信號94且相應地形成至少一個經掃描影像。在一實施例中,次級帶電粒子偵測器模組85及影像形成模組86或其等效設計、替代方案或其任何組合共同形成影像形成設備,該影像形成設備自由帶電粒子束探針92轟擊的樣品90發射的偵測到之次級帶電粒子形成經掃描影像。The secondary charged particle detector module 85 detects secondary charged particles 93 that are emitted from the surface of the sample after being bombarded by the charged particle beam probe 92 (may also be together with other reflected or scattered charged particles from the surface of the sample). A secondary charged particle detection signal 94 is generated. The image forming module 86 (such as a computing device) is coupled to the secondary charged particle detector module 85 to receive the secondary charged particle detection signal 94 from the secondary charged particle detector module 85 and correspondingly form at least one The scanned image. In one embodiment, the secondary charged particle detector module 85 and the image forming module 86 or their equivalent designs, alternatives, or any combination thereof together form an image forming device that is free of charged particle beam probes The detected secondary charged particles emitted by the sample 90 bombarded at 92 form a scanned image.

在一實施例中,監視模組87耦接至影像形成設備之影像形成模組86以對圖案化程序進行監視、控制等,及/或使用自影像形成模組86接收到之樣品90的經掃描影像來導出用於圖案化程序設計、控制、監視等的參數。在一些實施例中,監視模組87經組態或程式化以使得執行本文中所描述之操作。在一些實施例中,監視模組87包含計算器件。在一些實施例中,監視模組87包含經組態以提供本文中所描述之功能性之電腦程式。在一些實施例中,圖3之系統中之電子束之探測光點大小相較於例如CD顯著更大,使得探測光點足夠大以使得檢測速度可為快速的。然而,解析度可能由於大的探測光點而較低。In one embodiment, the monitoring module 87 is coupled to the image forming module 86 of the image forming device to monitor, control, etc. the patterning process, and/or use the experience of the sample 90 received from the image forming module 86 Scan the image to derive parameters for pattern programming, control, monitoring, etc. In some embodiments, the monitoring module 87 is configured or programmed to perform the operations described herein. In some embodiments, the monitoring module 87 includes a computing device. In some embodiments, the monitoring module 87 includes a computer program configured to provide the functionality described herein. In some embodiments, the detection spot size of the electron beam in the system of FIG. 3 is significantly larger than that of, for example, CD, so that the detection spot is large enough so that the detection speed can be fast. However, the resolution may be lower due to the large detection spot.

可處理來自例如圖2及/或圖3之系統的影像以提取影像中描述表示半導體器件結構之物件之邊緣的尺寸、形狀、輪廓及/或其他資訊。可經由使用者定義之切線處及/或其他位置中之度量(諸如CD)來量化該等形狀、輪廓及/或其他資訊。在一些實施例中,比較器件結構之影像且經由度量(諸如在經提取輪廓上量測之邊緣至邊緣距離(CD)或影像之間的簡單像素差)量化器件結構之影像。替代地,度量可包括EP量規及/或其他參數。The image from the system of, for example, FIG. 2 and/or FIG. 3 can be processed to extract the size, shape, outline, and/or other information describing the edge of the object representing the semiconductor device structure in the image. The shape, contour, and/or other information can be quantified through user-defined tangents and/or metrics in other positions (such as CD). In some embodiments, the image of the device structure is compared and the image of the device structure is quantified via metrics such as the edge-to-edge distance (CD) measured on the extracted contour or the simple pixel difference between the images. Alternatively, the metrics may include EP gauges and/or other parameters.

如上文所描述,本基於電子束之檢測系統及方法經組態以使得將(相對於先前之基於電子束之系統)更多光束電流遞送至基板,同時保持基於電子束之檢測系統(相對於基於光之系統)之增加之解析度。此繼而提高產出量。本系統及方法亦經組態以校正及/或以其他方式減小電子檢測束中之能量散佈,且校正電子檢測束中之球面像差。此等操作亦提高產出量。在此等及其他方式中,本系統及方法相較於先前之基於電子束之檢測系統提供更高檢測產出量,同時亦相較於基於光之檢測提供增加之解析度。As described above, the present electron beam-based inspection system and method are configured so that (compared to the previous electron beam-based system) more beam current is delivered to the substrate while maintaining the electron beam-based inspection system (compared to Based on the increased resolution of the light-based system. This in turn increases output. The system and method are also configured to correct and/or otherwise reduce the energy spread in the electron detection beam, and to correct the spherical aberration in the electron detection beam. These operations also increase output. Among these and other methods, the present system and method provide higher detection throughput compared to previous electron beam-based detection systems, and at the same time provide increased resolution compared to light-based detection.

圖4說明根據一或多個實施例之實例方法400。方法400可為用於提高檢測產出量之方法。在一些實施例中,檢測產出量與例如亮場檢測或多束檢測相關聯。在一些實施例中,檢測產出量與掃描電子顯微鏡、圖2及/或圖3之系統及/或其他系統相關聯。在一些實施例中,下文所描述之方法400之一或多個操作可在掃描電子顯微鏡之一或多個組件中進行或藉由掃描電子顯微鏡之一或多個組件進行。在一些實施例中,掃描電子顯微鏡可形成例如諸如描述於圖2及/或3中之檢測系統及/或其他檢測系統。在一些實施例中,針對例如半導體製造程序進行方法400之操作。在一些實施例中,檢測產出量與半導體製造程序相關聯,且對一或多個基板進行檢測。一或多個基板可與例如半導體器件及/或其他積體電路相關聯。Figure 4 illustrates an example method 400 in accordance with one or more embodiments. The method 400 may be a method for improving the throughput of detection. In some embodiments, the detection output is associated with, for example, bright field detection or multi-beam detection. In some embodiments, the detection throughput is associated with a scanning electron microscope, the system of FIG. 2 and/or FIG. 3, and/or other systems. In some embodiments, one or more operations of the method 400 described below may be performed in one or more components of a scanning electron microscope or by one or more components of a scanning electron microscope. In some embodiments, the scanning electron microscope may form, for example, a detection system such as that described in FIGS. 2 and/or 3 and/or other detection systems. In some embodiments, the operations of the method 400 are performed for, for example, a semiconductor manufacturing process. In some embodiments, the inspection throughput is associated with the semiconductor manufacturing process, and one or more substrates are inspected. One or more substrates may be associated with, for example, semiconductor devices and/or other integrated circuits.

如圖4中所展示,方法400可包括產生402脈衝組成電子束、將脈衝組成電子束合併403成合併之束、藉由能量位準分散404合併之束之部分、使合併之束加速406、校正408合併之束之球面像差、用合併之束進行410檢測,及/或其他操作。在下文中更詳細地描述此等操作。As shown in FIG. 4, the method 400 may include generating 402 pulses to form an electron beam, combining the pulses to form an electron beam 403 into a combined beam, dispersing parts of the combined beam by energy level 404, accelerating the combined beam 406, Correct 408 the spherical aberration of the combined beam, perform 410 detection with the combined beam, and/or other operations. These operations are described in more detail below.

下文呈現的方法400之操作意欲為說明性的。在一些實施例中,方法400可用未描述的一或多個額外操作及/或不用所論述之操作中之一或多者來實現。另外,方法400之操作在圖4中說明且在下文所描述之次序不意欲為限制性的。The operations of the method 400 presented below are intended to be illustrative. In some embodiments, the method 400 may be implemented with one or more additional operations not described and/or without one or more of the operations discussed. In addition, the operations of the method 400 are illustrated in FIG. 4 and the order described below is not intended to be limiting.

在一些實施例中,方法400之一或多個部分可(例如藉由模擬、模型化、以電子方式控制掃描電子顯微鏡之一或多個組件等)實施於一或多個處理器件中。一或多個處理器件可包括回應於以電子方式儲存於電子儲存媒體上之指令而執行方法400之操作中之一些或所有的一或多個器件。一或多個處理器件可包括經由硬體、韌體及/或軟體來組態之一或多個器件,該硬體、韌體及/或軟體經專門設計用於執行例如方法400之操作中之一或多者。In some embodiments, one or more parts of the method 400 may be implemented in one or more processing devices (for example, by simulation, modeling, electronically controlling one or more components of a scanning electron microscope, etc.). The one or more processing devices may include one or more devices that perform some or all of the operations of method 400 in response to instructions stored electronically on an electronic storage medium. The one or more processing devices may include one or more devices configured through hardware, firmware, and/or software, which are specifically designed to perform operations such as method 400 One or more.

與電子束檢測系統相關聯之產出量受到系統電子檢測束電流限制,該系統電子檢測束電流為系統中之個別細光束與數個細光束(合併以形成電子檢測束)中之電子電流之乘積。一些系統在其可提供之細光束的數目方面受到限制,因此無法藉由簡單地添加更多細光束來提高產出量。此類系統可能以此方式受到限制,此係由於(經歷檢測之)基板處的場大小因僅可藉由孔徑板在一定程度上補償的場像差而受到限制。孔徑板處的細光束必須分離以允許例如用於孔徑板中之場像差校正元件的空間。The output associated with the electron beam detection system is limited by the current of the system electron detection beam, which is the difference between the individual beamlets in the system and the electron current in several beamlets (combined to form the electron detection beam) product. Some systems are limited in the number of thin beams they can provide, so it is not possible to increase throughput simply by adding more beams. Such systems may be limited in this way because the field size at the substrate (under inspection) is limited by field aberrations that can only be compensated to a certain extent by the aperture plate. The thin beams at the aperture plate must be separated to allow space for, for example, field aberration correction elements in the aperture plate.

作為簡單地添加更多細光束(其通常係不可能的,如上文所描述)之替代方案,可提高現有細光束之屬性。舉例而言,根據以下方程式藉由電子源亮度、光束像差、庫侖交互作用及其他因子判定細光束中之電流:

Figure 02_image001
。 在此方程式中,I為個別細光束中之電流,Br 為源亮度,Cs 為球面像差係數,Cc 為與色像差相關聯之像差係數,V為光束能量,α為光束在基板處的開度角,dspot 為細光束之直徑,且ΔV為細光束之能量散佈。如方程式所闡明,增加亮度、校正球面像差、校正色像差、減小能量散佈及/或其他操作提高(細光束及總系統電子檢測束之)電流,且繼而提高檢測產出量。變數dspot 與應用相關聯,亦即,對於檢測大小x之缺陷,吾人需要光學解析度y,其中比x/y係恆定的。V為有限的,此係由於較高能量導致對基板之更多損壞性影響。Cc 可與CS (如本文中所描述)極相同地校正,且此為減小ΔV的替代方案。下文所描述之方法400之操作經組態以增加電子檢測束之亮度、減小電子檢測束中之能量散佈、校正與電子檢測束相關聯之球面像差,及/或具有增加系統光束電流之其他效應(且因此提高產出量)。As an alternative to simply adding more beamlets (which is usually impossible, as described above), the properties of existing beamlets can be improved. For example, according to the following equation, the current in the thin beam is determined by the brightness of the electron source, beam aberration, Coulomb interaction and other factors:
Figure 02_image001
. In this equation, I is the current in the individual beamlets, B r is the source brightness, C s is the spherical aberration coefficient, C c is the aberration coefficient associated with the chromatic aberration, V is the beam energy, and α is the beam At the opening angle of the substrate, d spot is the diameter of the thin beam, and ΔV is the energy dispersion of the thin beam. As the equation clarifies, increasing the brightness, correcting spherical aberration, correcting chromatic aberration, reducing energy spread, and/or other operations increase the current (of the thin beam and the total system electron detection beam), and then increase the detection output. The variable d spot is related to the application, that is, for detecting defects of size x, we need an optical resolution y, where the ratio x/y is constant. V is limited, which is due to higher energy which causes more damaging effects on the substrate. C c can be corrected exactly the same as C S (as described herein), and this is an alternative to reducing ΔV. The operation of the method 400 described below is configured to increase the brightness of the electron detection beam, reduce the energy spread in the electron detection beam, correct the spherical aberration associated with the electron detection beam, and/or have the ability to increase the system beam current Other effects (and therefore increase output).

舉例而言,對於在2nm節點處的檢測,1000 mm2 /小時產出量需要在基板位階下之9 μA的總光束電流。此將在先前系統中需要9000個細光束,此不適合於此類系統之當前100-400個細光束最大值。相比之下,對於相同要求,本系統及/或方法有助於僅121個(亦即,11×11個小射束)之使用,此的確適合於當前100-400個細光束系統設計內。(在此實例中,使用本系統及方法,可合併四十個源(如下文所描述)且相關聯能量散佈及Cs減小50%。)For example, for detection at the 2nm node, a throughput of 1000 mm 2 /hour requires a total beam current of 9 μA at the substrate level. This would require 9000 thin beams in the previous system, which is not suitable for the current 100-400 thin beam maximums of this type of system. In contrast, for the same requirements, this system and/or method facilitates the use of only 121 (ie, 11×11 small beams), which is indeed suitable for the current 100-400 beamlet system design . (In this example, using this system and method, forty sources can be combined (as described below) and the associated energy spread and Cs can be reduced by 50%.)

亮度(例如,以上方程式中之Br )可藉由將多個源添加在一起以產生具有相同發射度(面積乘以發射之立體角)的新源來提高,而總電流為所有源之總和。在一些實施例中,操作402、403及/或其他操作可有助於提高源亮度。Brightness (for example, B r in the above equation) can be increased by adding multiple sources together to generate a new source with the same emissivity (area times the solid angle of emission), and the total current is the sum of all sources . In some embodiments, operations 402, 403, and/or other operations may help increase the source brightness.

方法400包括產生402複數個脈衝組成電子束。在一些實施例中,複數個脈衝組成電子束包含至少2個、5個、10個或更多個脈衝組成電子束。在一些實施例中,複數個脈衝組成電子束中之不同者中之電子之脈衝彼此異相。The method 400 includes generating 402 a plurality of pulses to form an electron beam. In some embodiments, the plurality of pulses forming the electron beam includes at least 2, 5, 10, or more pulses forming the electron beam. In some embodiments, the pulses of electrons in different ones of the multiple pulses forming the electron beam are out of phase with each other.

舉例而言,在一些實施例中,產生複數個脈衝組成電子束包含:發射複數個連續電子束;及在複數個連續束中之個別束中產生能量散佈以在個別束中產生具有類似能量之電子之脈衝以形成複數個脈衝組成電子束。複數個脈衝組成電子束可由例如對應數目個發射器產生。發射器可包括於例如掃描電子顯微鏡及/或其他系統中,及/或與掃描電子顯微鏡及/或其他系統相關聯。在一些實施例中,產生複數個脈衝組成電子束包含由對應複數個肖特基發射器或冷場發射器發射複數個脈衝組成電子束,及接著(例如用聚束器腔及/或其他組件)在複數個連續束中之個別束中產生能量散佈以在個別束中產生具有類似能量之電子之脈衝以形成複數個脈衝組成電子束。For example, in some embodiments, generating a plurality of pulses to form an electron beam includes: emitting a plurality of continuous electron beams; The pulses of electrons form a plurality of pulses to form an electron beam. The electron beam composed of a plurality of pulses can be generated by, for example, a corresponding number of emitters. The transmitter may be included in, and/or associated with, a scanning electron microscope and/or other systems, for example. In some embodiments, generating a plurality of pulses to form an electron beam includes emitting a plurality of pulses from a corresponding plurality of Schottky emitters or cold field emitters to form an electron beam, and then (for example, using a buncher cavity and/or other components) Generate energy dispersion in individual beams in a plurality of continuous beams to generate pulses of electrons with similar energy in the individual beams to form a plurality of pulses to form an electron beam.

此在圖5及6中說明。圖5說明肖特基發射器500之實例。肖特基發射器可為有利的,此係由於相較於其他發射器,其與相對高亮度及相對穩定度相關聯。發射器500包括電子源針502、抑制器504、提取器506及聚焦陽極508。如圖5中所展示,能量510 (例如雷射)聚焦於電子源針502之尖端512,此回應於吸收能量510中之至少一些而釋放電子(e- )。抑制器504經組態以將場強度集中於針之尖端上。提取器506經組態以自針之尖端拉出發射之電子。聚焦陽極508經組態以聚焦所提取電子。圖5說明脈衝肖特基發射器。應注意,當使用聚束器腔時,可例如使用連續發射肖特基發射器。本發明意欲覆蓋兩者實施例。此外,在一些實施例中,肖特基發射器為用於半導體檢測之最有用電子源。對於其他應用,有可能的係例如熱發射器作為起點係較佳的,此係由於其可在較低亮度下遞送更多電流。This is illustrated in Figures 5 and 6. FIG. 5 illustrates an example of a Schottky transmitter 500. Schottky emitters can be advantageous because they are associated with relatively high brightness and relative stability compared to other emitters. The transmitter 500 includes an electron source needle 502, a suppressor 504, an extractor 506, and a focusing anode 508. As shown in FIG. 5, energy 510 (such as a laser) is focused on the tip 512 of the electron source needle 502, which releases electrons (e ) in response to absorbing at least some of the energy 510. The suppressor 504 is configured to concentrate the field strength on the tip of the needle. The extractor 506 is configured to pull the emitted electrons from the tip of the needle. The focusing anode 508 is configured to focus the extracted electrons. Figure 5 illustrates a pulsed Schottky transmitter. It should be noted that when a buncher cavity is used, a continuous firing Schottky transmitter can be used, for example. The present invention is intended to cover both embodiments. In addition, in some embodiments, the Schottky emitter is the most useful electron source for semiconductor inspection. For other applications, possible systems such as thermal emitters are better starting points, because they can deliver more current at lower brightness.

圖6說明聚束器腔600之實例。聚束器腔600經組態以在個別電子束(例如圖5中所展示之電子束)中產生能量散佈以在個別光束中產生具有類似能量之電子之脈衝以形成複數個脈衝組成電子束。聚束腔600可包括電子源602 (例如其可與圖5中所展示之發射器500類似及/或相同)、加速器604、形成聚束器608之第一諧振腔606 (具有輸入607)、形成捕獲器612之第二諧振腔610 (具有輸出611),及收集器614。加速器604可經組態以使電子束中之電子加速以例如減小庫侖交互作用之效應。庫侖交互作用為電子因其均帶負電荷而彼此排斥之效應。結果為光點之模糊:其將探針大小放大至與像差極相同。當電子密度較高(I)時或當其行進較慢(V)時效應更為嚴重。收集器614可為例如陽極板及/或藉由其他組件形成。在一些實施例中,陽極可在中心具有孔,使得電子可在其通向基板之路徑上穿過。在一些實施例中,腔610與腔606之間可存在回饋路徑620。如圖6中所展示,聚束器608經組態以產生聚束(或脈衝)電子之群組650。舉例而言,可藉由聚束器腔600中之時變加速引入個別電子之速度變化。在漂移空間之後,將電子以聚束分組。潛在雜散電子可藉由第二腔610阻擋。在一些實施例中,腔為在其中產生射頻電場之「盒」。場之定向使得其在與電子流穿過「盒」的方向相同之方向上交替。在場強度最大限度地指向前方之時刻在盒中的彼等電子將獲得額外能量,因此其將比平均值更快地行進。在場強度最大限度地指向後方之時刻在盒中的彼等電子將獲得較低能量,因此其將比平均值更慢地行進。電子之間的速度變化將產生聚束。FIG. 6 illustrates an example of a buncher cavity 600. As shown in FIG. The buncher cavity 600 is configured to generate energy dispersion in individual electron beams (such as the electron beam shown in FIG. 5) to generate pulses of electrons with similar energy in the individual beams to form a plurality of pulses to form the electron beam. The bunching cavity 600 may include an electron source 602 (for example, it may be similar and/or the same as the emitter 500 shown in FIG. 5), an accelerator 604, a first resonant cavity 606 (with input 607) forming a buncher 608, The second resonant cavity 610 (with output 611) of the trap 612 and the trap 614 are formed. The accelerator 604 can be configured to accelerate the electrons in the electron beam, for example, to reduce the effect of Coulomb interaction. Coulomb interaction is the effect of electrons repelling each other because they are all negatively charged. The result is a blur of the light spot: it magnifies the size of the probe to be the same as the aberration pole. The effect is more serious when the electron density is higher (I) or when it travels slower (V). The collector 614 may be, for example, an anode plate and/or formed by other components. In some embodiments, the anode may have a hole in the center so that electrons can pass through its path to the substrate. In some embodiments, there may be a feedback path 620 between the cavity 610 and the cavity 606. As shown in Figure 6, the buncher 608 is configured to generate a bunch 650 of bunched (or pulsed) electrons. For example, the speed change of individual electrons can be introduced by time-varying acceleration in the buncher cavity 600. After the drift space, the electrons are grouped in bunches. Potential stray electrons can be blocked by the second cavity 610. In some embodiments, the cavity is a "box" in which a radio frequency electric field is generated. The orientation of the field is such that it alternates in the same direction as the electron flow through the "box". The electrons in the box will gain extra energy at the moment the field strength is pointing forward to the maximum, so they will travel faster than the average. The electrons in the box at the moment when the field strength is pointed backward to the maximum will gain lower energy, so they will travel more slowly than the average. The velocity changes between the electrons will produce bunching.

在一些實施例中,複數個肖特基發射器(例如圖5中所展示之500)及/或對應聚束器腔(例如圖6中所展示之600)用於產生複數個單獨脈衝組成電子束。在一些實施例中,如上文所描述,複數個脈衝組成電子束包含至少2個、5個、10個或更多個脈衝組成電子束。此意謂可存在例如2組、5組、10組或更多組肖特基發射器及/或聚束器腔。在一些實施例中,單獨脈衝組成電子束可具有例如約130MHz之頻率。具有約10pc之持續時間的聚束可在此頻率下以約7.7ns之間隔產生。此等實例並不意欲為限制性的。在一些實施例中,肖特基發射器及/或聚束器腔經組態以使得複數個脈衝組成電子束中之不同者中之電子之脈衝彼此異相。舉例而言,複數個脈衝組成電子束中之不同者中之電子之脈衝的時序不匹配其他光束中之電子之其他脈衝之時序。此藉由適當地定相與各種光束相關聯之聚束器腔中的RF場來實現。In some embodiments, a plurality of Schottky emitters (such as 500 shown in FIG. 5) and/or a corresponding buncher cavity (such as 600 shown in FIG. 6) are used to generate a plurality of individual pulses to form electrons bundle. In some embodiments, as described above, the plurality of pulses forming the electron beam includes at least 2, 5, 10, or more pulses forming the electron beam. This means that there may be, for example, 2 sets, 5 sets, 10 sets or more sets of Schottky emitters and/or buncher cavities. In some embodiments, the individual pulses forming the electron beam may have a frequency of, for example, about 130 MHz. Bunches with a duration of about 10 pc can be generated at intervals of about 7.7 ns at this frequency. These examples are not intended to be limiting. In some embodiments, the Schottky emitter and/or buncher cavity are configured such that the pulses of electrons in different ones of the multiple pulses that make up the electron beam are out of phase with each other. For example, the timing of the pulses of the electrons in different ones of the multiple pulses forming the electron beam does not match the timing of the other pulses of the electrons in the other beams. This is achieved by appropriately phasing the RF field in the beams buncher cavity associated with the various beams.

應注意,在一些實施例中,聚束器腔600可能不為必需的。實情為,例如,在圖5中所展示之電子源針(例如502)之尖端(例如512)處引導之能量(例如雷射)可經脈衝以在來自給定源之電子束中產生電子之脈衝流。It should be noted that in some embodiments, the buncher cavity 600 may not be necessary. The reality is that, for example, the energy (e.g., laser) guided at the tip (e.g., 512) of the electron source needle (e.g., 502) shown in FIG. 5 can be pulsed to generate electrons in an electron beam from a given source. Pulse flow.

返回至圖4,方法400包括將脈衝組成電子束合併403成合併之電子檢測束。合併之電子檢測束藉由電子之異相脈衝之組合形成。合併之電子檢測束比個別組成脈衝電子束中之每一者具有更大亮度。在一些實施例中,(例如第一)射頻(RF)或微波結構(諸如偏轉腔)經組態以將複數個脈衝組成電子束合併成合併之電子束。如上文所描述,檢測產出量為合併之電子檢測束之亮度之函數。合併之電子檢測束之更大亮度提高檢測產出量。舉例而言,由於Br 為正且出現於以上所展示之方程式之右手側,故亮度之任何增加均產生電流(I)的對應增加。如上文所描述,增加電流提高檢測產出量。Returning to FIG. 4, the method 400 includes combining the pulses into electron beams and combining 403 into a combined electron detection beam. The combined electron detection beam is formed by the combination of out-of-phase pulses of electrons. The combined electron detection beam has a greater brightness than each of the individually composed pulsed electron beams. In some embodiments, the (e.g., first) radio frequency (RF) or microwave structure (such as a deflection cavity) is configured to combine a plurality of pulses into electron beams into a combined electron beam. As described above, the detection output is a function of the brightness of the combined electron detection beam. The greater brightness of the combined electron inspection beam improves inspection throughput. For example, since B r is positive and appears on the right-hand side of the equation shown above, any increase in brightness produces a corresponding increase in current (I). As described above, increasing the current improves the detection throughput.

合併之電子檢測束可經組態以用於檢測基板及/或其他物件。舉例而言,合併之電子檢測束可為掃描電子顯微鏡檢測束。基板可為例如半導體器件及/或其他基板之部分。在一些實施例中,用電子光學配置及/或其他組件將複數個脈衝組成電子束合併成合併之電子檢測束。電子光學配置可包含例如偏轉腔及/或其他器件。The combined electron inspection beam can be configured to inspect substrates and/or other objects. For example, the combined electron inspection beam can be a scanning electron microscope inspection beam. The substrate can be, for example, a semiconductor device and/or part of another substrate. In some embodiments, an electron optical configuration and/or other components are used to combine a plurality of pulses into electron beams into a combined electron detection beam. The electro-optical configuration may include, for example, deflection cavities and/or other devices.

上文描述了聚束器腔。偏轉腔在電子垂直於RF電場之方向行進穿過盒的意義上不同。結果為偏轉將作為時間之函數而不同。在一些實施例中,合併之電子檢測束沿單一光軸對準。The buncher cavity is described above. The deflection cavity differs in the sense that the electrons travel through the box perpendicular to the direction of the RF electric field. The result is that the deflection will be different as a function of time. In some embodiments, the combined electron detection beam is aligned along a single optical axis.

圖7說明將脈衝組成電子束1至11合併403成合併之電子檢測束700。合併之電子檢測束700沿例如單一光軸701定向。如圖7中所展示,合併之電子檢測束700藉由電子之異相脈衝702至726之組合形成。在此實例中,一旦合併,電子之脈衝702至726即可具有約0.77ns之間隔752 (其對應於1.3GHz之頻率)。此僅為一實例。合併之電子檢測束700比個別組成脈衝電子束1至11中之每一者具有更大亮度。在一些實施例中,用電子光學配置及/或其他組件將複數個脈衝組成電子束1至11合併成合併之電子檢測束700。電子光學配置可包含例如偏轉腔750 (例如第一RF或微波結構)及/或其他器件。FIG. 7 illustrates combining the pulses into electron beams 1 to 11 and combining 403 into a combined electron detection beam 700. The combined electron detection beam 700 is oriented along a single optical axis 701, for example. As shown in FIG. 7, the combined electron detection beam 700 is formed by the combination of out-of-phase pulses 702 to 726 of electrons. In this example, once combined, the pulses of electrons 702 to 726 can have an interval 752 of about 0.77 ns (which corresponds to a frequency of 1.3 GHz). This is only an example. The combined electron detection beam 700 has a greater brightness than each of the individually composed pulsed electron beams 1-11. In some embodiments, an electron optical configuration and/or other components are used to combine a plurality of pulses into electron beams 1 to 11 into a combined electron detection beam 700. The electro-optical configuration may include, for example, a deflection cavity 750 (e.g., a first RF or microwave structure) and/or other devices.

返回至圖4,根據以上方程式,減小合併之電子檢測束(例如圖7中所展示之700)中之能量散佈(例如以上方程式中之ΔV)亦增加電流(I)且因此提高檢測產出量。舉例而言,檢測產出量為能量散佈之逆函數,且減小能量散佈進一步提高檢測產出量。在一些實施例中,操作404、406及/或其他操作可有助於減小合併之電子檢測束中之能量散佈。Returning to Figure 4, according to the above equation, reducing the energy spread (such as ΔV in the above equation) in the combined electron detection beam (such as the 700 shown in Figure 7) also increases the current (I) and therefore improves the detection output quantity. For example, the detection output is the inverse function of the energy dispersion, and reducing the energy dispersion further improves the detection output. In some embodiments, operations 404, 406, and/or other operations may help reduce energy spread in the combined electron detection beam.

如上文所描述,方法400經組態以減小合併之電子束之能量散佈。此可用電子光學元件之組合及/或其他組件進行。舉例而言,方法400包括藉由能量位準分散404合併之電子檢測束之部分。藉由能量位準分散404合併之電子檢測束中之電子可包含使電子穿過彎曲路徑(例如電子光學元件)及/或其他分散操作。彎曲路徑可為例如軌道彎道,及/或經組態以藉由能量位準分散電子束中之電子的其他器件。在一些實施例中,藉由能量位準分散合併之電子檢測束中之電子包含使合併之電子檢測束穿過包含複數個磁偏轉器之軌道彎道。在一些實施例中,軌道彎道包含兩個、三個、四個或更多個磁偏轉器。在一些實施例中,藉由能量位準分散合併之電子檢測束中之電子,使得合併之電子檢測束中具有較高能量的電子經由軌道彎道遵循相對較短路徑,且合併之電子檢測束中具有較低能量的電子經由軌道彎道遵循相對較長路徑。在軌道彎道之後,電子基於其能量位準形成聚束。As described above, the method 400 is configured to reduce the energy spread of the combined electron beam. This can be done with a combination of electro-optical components and/or other components. For example, the method 400 includes the portion of the combined electron detection beam by energy level dispersion 404. The electrons in the electron detection beam combined by the energy level dispersion 404 may include passing the electrons through a tortuous path (such as an electro-optical element) and/or other dispersion operations. The curved path may be, for example, an orbital curve, and/or other devices configured to disperse electrons in the electron beam by energy levels. In some embodiments, dispersing the electrons in the combined electron detection beam by the energy level includes passing the combined electron detection beam through an orbital curve including a plurality of magnetic deflectors. In some embodiments, the track curve contains two, three, four, or more magnetic deflectors. In some embodiments, the electrons in the combined electron detection beam are dispersed by the energy level, so that the electrons with higher energy in the combined electron detection beam follow a relatively short path through orbital curves, and the combined electron detection beam The electrons with lower energy in the orbital curve follow a relatively long path. After the orbital curve, the electrons form a bunch based on their energy level.

藉助於非限制性實例,圖8說明藉由能量位準分散404合併之電子檢測束700之部分800。合併之電子檢測束700之一部分800可為例如具有能量散佈之電子聚束。部分800中之電子可穿過例如彎曲路徑802。彎曲路徑802可為例如軌道彎道,及/或經組態以藉由能量位準分散電子束中之電子的其他器件。軌道彎道可包括複數個磁偏轉器及/或藉由複數個磁偏轉器形成。在此實例中,軌道彎道可藉由四個磁偏轉器形成,但此並不意欲為限制性的。在一些實施例中,藉由能量位準分散部分800中之電子,使得部分800中具有較高能量的電子850經由軌道彎道遵循相對較短路徑852,且部分800中具有較低能量的電子854經由軌道彎道遵循相對較長路徑856。(電子858之一或多個其他群組亦可經由軌道彎道遵循一或多個其他路徑860)。在軌道彎道之後,電子基於其能量位準形成聚束。在此實例中,電子850、854及858展示為縱向分離870。By way of non-limiting example, FIG. 8 illustrates a portion 800 of the electron detection beam 700 combined by energy level dispersion 404. A part 800 of the combined electron detection beam 700 may be, for example, an electron beam with energy spread. The electrons in the portion 800 may pass through a tortuous path 802, for example. The curved path 802 may be, for example, an orbital curve, and/or other devices configured to disperse electrons in the electron beam by energy levels. The orbital curve may include a plurality of magnetic deflectors and/or be formed by a plurality of magnetic deflectors. In this example, the track curve can be formed by four magnetic deflectors, but this is not intended to be limiting. In some embodiments, the electrons in the portion 800 are dispersed by the energy level, so that the electrons 850 in the portion 800 with higher energy follow a relatively short path 852 through the orbital curve, and the electrons with lower energy in the portion 800 854 follows a relatively long path 856 via a track curve. (One or more other groups of electrons 858 may also follow one or more other paths 860 via a track curve). After the orbital curve, the electrons form a bunch based on their energy level. In this example, electrons 850, 854, and 858 are shown as vertical separation 870.

返回至圖4,方法400包括使合併之電子檢測束(例如圖7中所展示之700)加速406。可使合併之電子檢測束加速以減小庫侖交互作用之效應及/或出於其他原因。使合併之電子檢測束加速406經組態以使得合併之電子檢測束中具有較低能量的電子比合併之電子檢測束中具有較高能量的電子自加速獲得更多能量,以便減小較低能量電子與較高能量電子之間的能量散佈。換言之,合併之電子檢測束中之電子可離開峰值(off-crest)加速,意謂給定電子聚束中之較低能量電子比聚束中之較高能量電子接收更多能量。結果為聚束中之電子之間的能量變化更小。在一些實施例中,藉由能量位準分散合併之電子檢測束中之電子及使合併之電子檢測束加速產生單色電子聚束。Returning to FIG. 4, the method 400 includes accelerating 406 a combined electron detection beam (e.g., 700 shown in FIG. 7). The combined electron detection beam can be accelerated to reduce the effect of Coulomb interaction and/or for other reasons. Accelerate the combined electron detection beam 406 is configured so that electrons with lower energy in the combined electron detection beam gain more energy than electrons with higher energy in the combined electron detection beam, so as to reduce the lower Energy spread between energy electrons and higher energy electrons. In other words, the electrons in the combined electron detection beam can be accelerated off-crest, which means that the lower-energy electrons in a given electron bunch receive more energy than the higher-energy electrons in the bunch. The result is that the energy change between the electrons in the bunch is smaller. In some embodiments, the energy level disperses the electrons in the combined electron detection beam and accelerates the combined electron detection beam to generate a monochromatic electron beam.

在一些實施例中,加速可藉由加速腔(例如電子光學元件)及/或其他組件進行。在一些實施例中,可藉由時變加速引入個別電子之速度變化。在一些實施例中,用腔或用DC電場提供加速,該DC電場藉由與肖特基源中之提取器極相同的電極提供。加速腔可包括具有RF場之盒及/或藉由具有RF場之盒形成,其中電子行進穿過該盒。由於其經聚束,故聚束中之電子將自電場獲得相同「突跳」。當聚束之頻率匹配RF場之頻率時,聚束將同等地加速。In some embodiments, acceleration can be performed by an acceleration cavity (such as an electro-optical device) and/or other components. In some embodiments, the speed change of individual electrons can be introduced by time-varying acceleration. In some embodiments, the acceleration is provided by a cavity or by a DC electric field provided by the same electrode as the extractor pole in the Schottky source. The acceleration cavity may comprise a box with an RF field and/or be formed by a box with an RF field through which electrons travel. Because it is bunched, the electrons in the bunch will get the same "sudden jump" from the electric field. When the frequency of the bunching matches the frequency of the RF field, the bunching will be accelerated equally.

藉助於非限制性實例,圖8亦說明使合併之電子檢測束700加速406。在此實例中,使合併之電子檢測束700加速係用加速腔868進行。使合併之電子檢測束加速406經組態以使得合併之電子檢測束700中具有較低能量的電子(例如858)比合併之電子檢測束700中具有較高能量的電子(例如850)自加速獲得更多能量,以便減小較低能量電子與較高能量電子之間的能量散佈。在一些實施例中,藉由能量位準分散合併之電子檢測束中之電子及使合併之電子檢測束加速產生單色電子聚束870。By way of non-limiting example, FIG. 8 also illustrates the acceleration 406 of the combined electron detection beam 700. In this example, accelerating the combined electron detection beam 700 is performed by the accelerating cavity 868. The combined electron detection beam acceleration 406 is configured so that the electrons with lower energy in the combined electron detection beam 700 (e.g., 858) are self-accelerating than the electrons with higher energy in the combined electron detection beam 700 (e.g., 850) Get more energy in order to reduce the energy spread between lower-energy electrons and higher-energy electrons. In some embodiments, the energy level disperses the electrons in the combined electron detection beam and accelerates the combined electron detection beam to generate a monochromatic electron beam 870.

返回至圖4,在一些實施例中,方法400包括校正408合併之束之球面像差(例如以上方程式中之Cs )。在一些實施例中,例如,方法400包括用掃描電子顯微鏡(例如根據上文所描述之操作)產生合併之電子檢測束;及校正與合併之電子檢測束相關聯之球面像差。在一些實施例中,檢測產出量為校正球面像差之函數(例如如以上方程式中所展示),使得校正球面像差進一步提高電流(I)及檢測產出量。Returning to FIG. 4, in some embodiments, the method 400 includes correcting 408 the spherical aberration of the combined beam (for example, C s in the above equation). In some embodiments, for example, the method 400 includes generating a combined electron detection beam using a scanning electron microscope (eg, according to the operations described above); and correcting spherical aberrations associated with the combined electron detection beam. In some embodiments, the detection output is a function of correcting the spherical aberration (for example, as shown in the above equation), so that the correction of the spherical aberration further increases the current (I) and the detection output.

可用多極校正器及/或其他器件校正球面像差。多極校正器可為一系列透鏡、偏轉器、磁線圈,及/或經組態以校正合併之電子檢測束中之像差的其他組件。在一些實施例中,多極校正器包含至少一個轉印透鏡、至少一個轉接器透鏡、至少一個對準偏轉器、光束傾斜線圈、光束移位線圈、至少一個像散補償器及/或其他組件。Multipole correctors and/or other devices can be used to correct spherical aberrations. The multi-pole corrector can be a series of lenses, deflectors, magnetic coils, and/or other components configured to correct aberrations in the combined electron detection beam. In some embodiments, the multi-pole corrector includes at least one transfer lens, at least one adapter lens, at least one alignment deflector, beam tilt coil, beam shift coil, at least one astigmatism compensator, and/or other Components.

舉例而言,圖9說明多極校正器901之實例。在圖9中所展示之實例中,多極校正器包含六極校正器,但考慮其他類型之多極校正器。如圖9中所展示,多極校正器901包含聚光器透鏡900、光束傾斜線圈902、光束移位線圈904、轉接器透鏡906、六極元件908及910、轉印透鏡912、914、916及918、對準偏轉器920、922、924、926及928、像散補償器930及932,及其他組件。圖9亦說明試樣平面940、光束942、軸向線944,及聚光器透鏡900與試樣平面940之間的場線946。在一些實施例中,光束942可與先前圖式中所展示之合併之電子檢測束700類似及/或相同。For example, FIG. 9 illustrates an example of the multi-pole corrector 901. In the example shown in FIG. 9, the multi-pole corrector includes a six-pole corrector, but other types of multi-pole correctors are considered. As shown in FIG. 9, the multi-pole corrector 901 includes a condenser lens 900, a beam tilt coil 902, a beam shift coil 904, an adapter lens 906, hexapole elements 908 and 910, transfer lenses 912, 914, 916 and 918, alignment deflectors 920, 922, 924, 926 and 928, astigmatism compensators 930 and 932, and other components. FIG. 9 also illustrates the sample plane 940, the beam 942, the axial line 944, and the field line 946 between the condenser lens 900 and the sample plane 940. In some embodiments, the beam 942 may be similar and/or the same as the combined electron detection beam 700 shown in the previous figures.

返回至圖4,在一些實施例中,方法400包括用合併之電子檢測束(例如圖7中所展示之700)進行410檢測。用合併之電子檢測束進行410檢測經組態以提高檢測產出量。如上文所描述,在一些實施例中,檢測產出量與例如亮場檢測或多束檢測相關聯。在一些實施例中,檢測產出量與掃描電子顯微鏡及/或其他系統相關聯。在一些實施例中,操作410可針對例如半導體及/或其他積體電路製造程序進行。在一些實施例中,檢測產出量與半導體製造程序相關聯,且對一或多個基板進行檢測。一或多個基板可與例如半導體器件及/或其他積體電路相關聯。Returning to FIG. 4, in some embodiments, the method 400 includes performing 410 inspection with a combined electron inspection beam (e.g., 700 shown in FIG. 7). The 410 inspection with the combined electron inspection beam is configured to increase inspection throughput. As described above, in some embodiments, the detection output is associated with, for example, bright-field detection or multi-beam detection. In some embodiments, the detection throughput is associated with a scanning electron microscope and/or other systems. In some embodiments, operation 410 may be performed for, for example, semiconductor and/or other integrated circuit manufacturing processes. In some embodiments, the inspection throughput is associated with the semiconductor manufacturing process, and one or more substrates are inspected. One or more substrates may be associated with, for example, semiconductor devices and/or other integrated circuits.

舉例而言,圖10說明用合併之電子檢測束700進行410樣品1050之檢測。圖10說明實例多束檢測系統1052。多束檢測系統1052可包含源1054、源倍增器1056、第一投影系統1058、第二投影系統1060、偵測器1062及/或其他組件。用合併之電子檢測束700及系統1052進行410檢測經組態以提高樣本1050檢測之檢測產出量。如上文所描述,在一些實施例中,檢測產出量與亮場檢測而非與如此處所展示之多束檢測相關聯。在一些實施例中,系統1052可用於例如半導體及/或其他積體電路製造程序檢測。在一些實施例中,檢測產出量與半導體製造程序相關聯,且對諸如樣品1050之一或多個基板進行檢測。樣品1050可與例如半導體器件及/或其他積體電路相關聯。應注意,圖10僅為實例,且表示將本文中所描述之高亮度電子源與數個不同電子束系統介接的能力。For example, FIG. 10 illustrates the detection of a 410 sample 1050 using a combined electron detection beam 700. FIG. 10 illustrates an example multi-beam detection system 1052. The multi-beam detection system 1052 may include a source 1054, a source multiplier 1056, a first projection system 1058, a second projection system 1060, a detector 1062, and/or other components. The combined electron detection beam 700 and the system 1052 are used to perform 410 detection and are configured to increase the detection output of the sample 1050 detection. As described above, in some embodiments, the detection throughput is associated with bright-field detection rather than multi-beam detection as shown here. In some embodiments, the system 1052 can be used for, for example, semiconductor and/or other integrated circuit manufacturing process inspection. In some embodiments, the inspection throughput is associated with the semiconductor manufacturing process, and one or more substrates such as the sample 1050 are inspected. The sample 1050 may be associated with, for example, semiconductor devices and/or other integrated circuits. It should be noted that FIG. 10 is only an example, and represents the ability to interface the high-brightness electron source described herein with several different electron beam systems.

返回至圖4,在一些實施例中,操作404 (例如分散)及406 (例如加速)及/或其他操作可用電子光學元件(諸如額外(例如第二及第三)RF或微波結構)之組合進行,其經組態以減小合併之電子束之能量散佈。在一些實施例中,電子光學元件之組合包含第二及第三RF或微波結構,該第二及第三RF或微波結構藉由其間的漂移空間分離。在此等實施例中,第二RF或微波結構經組態以藉由使脈衝之前部加速且使脈衝之後部減速來增加傳入電子脈衝之能量散佈;漂移空間經組態以按時間拉伸脈衝;且第三RF或微波結構經組態以藉由使脈衝之前部減速且使脈衝之後部加速來使脈衝單色化。Returning to FIG. 4, in some embodiments, operations 404 (e.g., dispersion) and 406 (e.g., acceleration) and/or other operations may be a combination of electro-optical elements (such as additional (e.g., second and third) RF or microwave structures) It is configured to reduce the energy spread of the combined electron beam. In some embodiments, the combination of electro-optical elements includes second and third RF or microwave structures, and the second and third RF or microwave structures are separated by a drift space therebetween. In these embodiments, the second RF or microwave structure is configured to increase the energy spread of the incoming electron pulse by accelerating the front part of the pulse and decelerating the back part of the pulse; the drift space is configured to stretch in time Pulse; and the third RF or microwave structure is configured to monochromatize the pulse by decelerating the front part of the pulse and accelerating the back part of the pulse.

在一些實施例中,用於驅動第一RF或微波結構(例如上文所描述之偏轉腔)、第二RF或微波結構及第三RF或微波結構之信號彼此同步。在一些實施例中,為了減小合併之束中之脈衝之能量散佈,第二及第三RF或微波結構(例如腔)之諧振頻率可高於第一(例如偏轉)RF或微波結構(例如腔)之諧振頻率例如電子源之數目乘以第一腔諧振頻率。(注意,若f1 - f2 = n f1,其中n為整數倍數,則兩個頻率f1及f2可同步。)第二及第三RF或微波結構可包含例如諧振腔及/或其他結構。此等可為例如微波腔及/或其他結構。在一些實施例中,用於驅動第一、第二及/或第三RF或微波結構之信號包含具有相同微波頻率之多個高階諧波的微波信號,使得個別RF或微波結構內部之正常正弦電磁場隨時間線性化,從而接近鋸齒分佈。In some embodiments, the signals used to drive the first RF or microwave structure (such as the deflection cavity described above), the second RF or microwave structure, and the third RF or microwave structure are synchronized with each other. In some embodiments, in order to reduce the energy spread of the pulses in the combined beam, the resonant frequency of the second and third RF or microwave structures (e.g., cavities) may be higher than the first (e.g., deflected) RF or microwave structure (e.g., The resonant frequency of the cavity, for example, the number of electron sources multiplied by the resonant frequency of the first cavity. (Note that if f1-f2 = n f1, where n is an integer multiple, the two frequencies f1 and f2 can be synchronized.) The second and third RF or microwave structures may include, for example, resonant cavities and/or other structures. These can be, for example, microwave cavities and/or other structures. In some embodiments, the signal used to drive the first, second, and/or third RF or microwave structure includes microwave signals with multiple high-order harmonics of the same microwave frequency, so that the normal sine inside the individual RF or microwave structure The electromagnetic field is linearized with time, so as to approach a sawtooth distribution.

在加速器物理學中,TM010 模式下之微波腔之正弦縱向電場可用於調變電子束之能量分佈,此產生漂移空間之後的時間調變。此等腔可用於使電子脈衝加速、壓縮及單色化。高階諧波可用於擴展正弦電磁場之線性部分,從而增加此等腔之最大時間工作範圍。在本系統及方法中,微波場之線性部分可藉由如此多之高階諧波擴展,使得腔中之場接近完美鋸齒,從而在腔之微波週期T = 1/諧振頻率上拉伸。In accelerator physics, the sinusoidal longitudinal electric field of the microwave cavity in the TM 010 mode can be used to modulate the energy distribution of the electron beam, which generates the time modulation after the drift space. These cavities can be used to accelerate, compress and monochromatize electron pulses. Higher-order harmonics can be used to expand the linear part of the sinusoidal electromagnetic field, thereby increasing the maximum time working range of these cavities. In this system and method, the linear part of the microwave field can be expanded by so many high-order harmonics, so that the field in the cavity is close to a perfect sawtooth, thereby stretching at the microwave period of the cavity T = 1/resonant frequency.

在一些實施例中,合併之束可沿(例如)正z軸傳播,穿過此等完全線性化鎖相TM010 腔中之兩者(例如第二及第三RF或微波結構),其分別具有縱向焦距f 1f 2 ,藉由漂移空間(參見圖4之406與408之間)L =f 1 +f 2 (f 1 可經負選擇)間隔開。第二(拉伸)腔(RF或微波結構)可經組態以將作為時間之函數的電子束之能量分佈調變成具有微波週期T之鋸齒分佈週期性。將穿過相同微波週期之電子稱為『脈衝』,儘管在腔正後方,但所有脈衝仍按時間彼此相鄰(且因此合併之束可視為連續的)。In some embodiments, the combined beam can propagate along (for example) the positive z-axis, passing through two of these fully linearized phase-locked TM 010 cavities (for example, the second and third RF or microwave structures), respectively It has longitudinal focal lengths f 1 and f 2 , separated by a drift space (see between 406 and 408 in Fig. 4) L = f 1 + f 2 ( f 1 can be negatively selected). The second (stretching) cavity (RF or microwave structure) can be configured to adjust the energy distribution of the electron beam as a function of time into a sawtooth distribution periodicity with a microwave period T. The electrons passing through the same microwave period are called "pulses." Although they are directly behind the cavity, all the pulses are still adjacent to each other in time (and therefore the combined beam can be regarded as continuous).

(注意,當微波鋸齒在(E,t)-相空間中引起負dE/dt相關性時,每一脈衝前部之電子將經歷負動量突跳,而每一脈衝後部之電子將經歷正動量突跳。此將在腔之縱向焦點(壓縮點)中產生按時間調變之光束(例如無犧牲電流之脈衝光束)。)(Note that when the microwave sawtooth causes a negative dE/dt correlation in the (E,t)-phase space, the electrons in the front of each pulse will experience a negative momentum jump, and the electrons in the back of each pulse will experience positive momentum Sudden jump. This will generate a time-modulated beam (such as a pulsed beam without sacrificial current) in the longitudinal focus (compression point) of the cavity.)

實情為,在一些實施例中,(第二RF或微波結構)腔經組態以使得其向電子引入正(週期性)dE/dt相關性。在漂移期間,脈衝將在時間上拉伸因子

Figure 02_image003
且因此與按時間相鄰之脈衝(部分地)重疊。儘管光束看起來係連續的,但字脈衝將繼續使用,此係由於脈衝仍在縱向相空間中分離。由於脈衝在時間上拉伸,故不相關能量散佈因縱向發射度之守恆而減小。The truth is that, in some embodiments, the (second RF or microwave structure) cavity is configured such that it introduces a positive (periodic) dE/dt correlation to the electrons. During the drift, the pulse will stretch the factor in time
Figure 02_image003
And therefore overlap (partially) with pulses that are adjacent in time. Although the beam appears to be continuous, the word pulse will continue to be used because the pulse is still separated in the longitudinal phase space. Since the pulse is stretched in time, the uncorrelated energy spread is reduced due to the conservation of longitudinal emittance.

光束接著穿過第三RF或微波結構傳播,該第三RF或微波結構可藉由例如單色化腔形成。此腔之相位及縱向焦點經組態以使得腔場使每一脈衝之前部減緩,且使每一脈衝之後部加速,使得脈衝中之所有電子具有大約相同的能量。以此方式,移除dE/dt相關性(及相關能量散佈),且相空間密度崩潰至t軸上。由於每一脈衝之持續時間已增加,且每一脈衝之縱向發射度(~Δt ΔE )守恆,故每一脈衝(及整個光束)之能量散佈將減小拉伸因子

Figure 02_image005
。為了維持均一時間分佈(穩定電流),拉伸因子
Figure 02_image005
應選擇為正整數。TM110 腔亦在橫向方向上具有透鏡屬性。然而,可使用聚焦電子光學器件來校正橫向效應。The light beam then propagates through a third RF or microwave structure, which may be formed by, for example, a monochromatic cavity. The phase and longitudinal focus of the cavity are configured so that the cavity field slows down the front part of each pulse and accelerates the back part of each pulse so that all electrons in the pulse have approximately the same energy. In this way, the dE/dt correlation (and related energy spread) is removed, and the phase space density collapses onto the t-axis. Since the duration of each pulse has been increased, and the longitudinal emittance (~Δ t Δ E ) of each pulse is conserved, the energy spread of each pulse (and the entire beam) will reduce the stretching factor
Figure 02_image005
. In order to maintain a uniform time distribution (stable current), the stretch factor
Figure 02_image005
Should be selected as a positive integer. The TM 110 cavity also has lens properties in the lateral direction. However, focusing electron optics can be used to correct for lateral effects.

藉助於非限制性實例,圖11說明使用微波腔(例如第二及第三RF或微波結構,諸如TM010 腔、1100及1102)之縱向擴束器1101。腔1100經組態以拉伸光束1104,且腔1102經組態以使光束1104單色化,如上文所描述。腔1100及腔1102藉由其間的漂移空間1106 (具有長度L)分離。光束1104以較短脈寬及較高能量散佈進入腔1100,且以較長脈寬及較低能量散佈離開腔1102。自較短脈寬及較高能量散佈至較長脈寬及較低能量散佈之轉變亦展示於能量(E)對時間(t)圖表1108、1110、1112、1114及1116上。舉例而言,圖表1108 (其對應於光束1104進入腔1100之點)說明相對短脈寬1109及相對高能量散佈1111。到光束1104離開腔1102時,脈寬1109相對長且能量散佈1111相對低(如圖表1116中之重疊線所展示)。為易於理解,將圖11與圖4相關聯,腔1100 (例如第二RF或微波結構)進行操作404,且腔1102 (例如第三RF或微波結構)進行操作406。By way of non-limiting example, Figure 11 illustrates a longitudinal beam expander 1101 using microwave cavities (e.g., second and third RF or microwave structures, such as TM 010 cavities, 1100, and 1102). The cavity 1100 is configured to stretch the beam 1104, and the cavity 1102 is configured to monochromatize the beam 1104, as described above. The cavity 1100 and the cavity 1102 are separated by a drift space 1106 (having a length L) therebetween. The light beam 1104 spreads into the cavity 1100 with a shorter pulse width and higher energy, and spreads out of the cavity 1102 with a longer pulse width and lower energy. The transition from shorter pulse width and higher energy spread to longer pulse width and lower energy spread is also shown on the energy (E) versus time (t) graphs 1108, 1110, 1112, 1114, and 1116. For example, the graph 1108 (which corresponds to the point where the beam 1104 enters the cavity 1100) illustrates a relatively short pulse width 1109 and a relatively high energy dispersion 1111. By the time the beam 1104 leaves the cavity 1102, the pulse width 1109 is relatively long and the energy spread 1111 is relatively low (as shown by the overlapping lines in the graph 1116). For ease of understanding, associating FIG. 11 with FIG. 4, the cavity 1100 (for example, the second RF or microwave structure) performs operation 404, and the cavity 1102 (for example, the third RF or microwave structure) performs operation 406.

藉助於實踐實例,能量散佈之減小藉由拉伸因子

Figure 02_image005
判定,其在實踐中藉由第一腔中之最大同軸電場振幅及漂移空間之長度判定。TM010 腔之最小縱向焦距藉由下述者給出:
Figure 02_image008
, 其中e為基本電荷,E 0 為腔中之同軸電場振幅,Lc 為有效腔長度,ω 為腔之角諧振頻率,me 為電子質量,γ 為相對論勞侖茲因子且vz 為電子之速度。
Figure 02_image010
之電場振幅可經應用,例如僅要求43W之微波功率(同樣,作為實例)以用於Ω形腔。將微波功率外推至例如1 kW,使得電場振幅為
Figure 02_image012
。 與Lc = 6 mm、ω=2π×3 GHz及30 kV電子組合,此產生|f |=6 mm之最小焦距。則L = 10 cm之腔間漂移空間將使得
Figure 02_image014
=17.5 ×能量散佈之減小。L = 1 m之腔間漂移空間將使得
Figure 02_image016
=175 ×能量散佈之減小。 此等實例並不意欲為限制性的。With the help of practical examples, the energy spread is reduced by the stretch factor
Figure 02_image005
It is determined in practice by the maximum coaxial electric field amplitude in the first cavity and the length of the drift space. The minimum longitudinal focal length of the TM 010 cavity is given by:
Figure 02_image008
, Where e is the fundamental charge, E 0 is the coaxial electric field amplitude in the cavity, L c is the effective cavity length, ω is the angular resonance frequency of the cavity, m e is the electron mass, γ is the relativistic Lorentz factor and v z is the electron The speed.
Figure 02_image010
The electric field amplitude can be applied, for example, only 43W of microwave power is required (again, as an example) for the Ω-shaped cavity. Extrapolate the microwave power to, for example, 1 kW, so that the electric field amplitude is
Figure 02_image012
. Combined with L c = 6 mm, ω=2π×3 GHz and 30 kV electrons, this produces a minimum focal length of | f | = 6 mm. Then the drift space between the cavities of L = 10 cm will make
Figure 02_image014
=17.5 × the reduction of energy spread. The drift space between the cavities of L = 1 m will make
Figure 02_image016
=175 × the reduction of energy spread. These examples are not intended to be limiting.

圖12為可用於本文中所描述之操作中之一或多者的實例電腦系統CS之圖。電腦系統CS包括用於傳達資訊之匯流排BS或其他通信機構,及與匯流排BS耦接以處理資訊之處理器PRO (或多個處理器)。電腦系統CS亦包括耦接至匯流排BS以用於儲存待由處理器PRO執行之資訊及指令的主記憶體MM,諸如隨機存取記憶體(RAM)或其他動態儲存器件。主記憶體MM亦可用於在由處理器PRO執行指令期間儲存暫時性變數或其他中間資訊。電腦系統CS進一步包括耦接至匯流排BS以用於儲存用於處理器PRO之靜態資訊及指令的唯讀記憶體(ROM) ROM或其他靜態儲存器件。提供諸如磁碟或光碟之儲存器件SD,且將該儲存器件SD耦接至匯流排BS以用於儲存資訊及指令。Figure 12 is a diagram of an example computer system CS that can be used for one or more of the operations described herein. The computer system CS includes a bus BS or other communication mechanism for communicating information, and a processor PRO (or multiple processors) coupled with the bus BS to process information. The computer system CS also includes a main memory MM, such as a random access memory (RAM) or other dynamic storage devices, which is coupled to the bus BS for storing information and instructions to be executed by the processor PRO. The main memory MM can also be used to store temporary variables or other intermediate information during the execution of instructions by the processor PRO. The computer system CS further includes a read-only memory (ROM) ROM or other static storage device coupled to the bus BS for storing static information and instructions for the processor PRO. A storage device SD such as a magnetic disk or an optical disk is provided, and the storage device SD is coupled to the bus BS for storing information and commands.

電腦系統CS可經由匯流排BS耦接至用於向電腦使用者顯示資訊之顯示器DS,諸如陰極射線管(CRT),或平板或觸控面板顯示器。包括文數字及其他按鍵之輸入器件ID耦接至匯流排BS以用於將資訊及命令選擇傳達至處理器PRO。另一類型之使用者輸入器件為用於將方向資訊及命令選擇傳達至處理器PRO且用於控制顯示器DS上之游標移動的游標控制件CC,諸如滑鼠、軌跡球或游標方向按鍵。此輸入器件通常具有在兩個軸(第一軸(例如x)及第二軸(例如y))上之兩個自由度,此允許該器件指定平面中之位置。觸控面板(螢幕)顯示器亦可用作輸入器件。The computer system CS can be coupled to a display DS for displaying information to the computer user via the bus BS, such as a cathode ray tube (CRT), or a flat panel or touch panel display. The input device ID including alphanumeric characters and other keys is coupled to the bus BS for transmitting information and command selection to the processor PRO. Another type of user input device is a cursor control element CC for transmitting direction information and command selection to the processor PRO and for controlling the movement of the cursor on the display DS, such as a mouse, a trackball or a cursor direction button. The input device usually has two degrees of freedom on two axes (the first axis (for example x) and the second axis (for example y)), which allows the device to specify the position in the plane. The touch panel (screen) display can also be used as an input device.

在一些實施例中,本文中所描述之一或多種方法的部分可藉由電腦系統CS回應於處理器PRO執行主記憶體MM中所含有之一或多個指令的一或多個序列來進行。可將此類指令自另一電腦可讀媒體(諸如儲存器件SD)讀取至主記憶體MM中。主記憶體MM中所包括之指令序列的執行使得處理器PRO進行本文中所描述之程序步驟(操作)。亦可採用呈多處理配置之一或多個處理器以執行主記憶體MM中所含有之指令序列。在一些實施例中,可代替或結合軟體指令而使用硬連線電路系統。因此,本文中之描述不限於硬體電路系統與軟體之任何特定組合。In some embodiments, part of one or more of the methods described herein can be performed by the computer system CS in response to the processor PRO to execute one or more sequences of one or more instructions contained in the main memory MM . Such instructions can be read from another computer-readable medium (such as the storage device SD) into the main memory MM. The execution of the instruction sequence included in the main memory MM causes the processor PRO to perform the program steps (operations) described herein. One or more processors in a multi-processing configuration can also be used to execute the sequence of instructions contained in the main memory MM. In some embodiments, hard-wired circuitry can be used instead of or in combination with software commands. Therefore, the description in this article is not limited to any specific combination of hardware circuit system and software.

本文中所使用之術語「電腦可讀媒體」係指參與將指令提供至處理器PRO以供執行之任何媒體。此媒體可採取許多形式,包括但不限於非揮發性媒體、揮發性媒體及傳輸媒體。非揮發性媒體包括例如光碟或磁碟,諸如儲存器件SD。揮發性媒體包括動態記憶體,諸如主記憶體MM。傳輸媒體包括同軸纜線、銅線及光纖,包括包含匯流排BS之電線。傳輸媒體亦可呈聲波或光波形式,諸如在射頻(RF)及紅外(IR)資料通信期間產生之聲波或光波。電腦可讀媒體可為非暫時性的,例如軟碟、可撓性磁碟、硬碟、磁帶、任何其他磁性媒體、CD-ROM、DVD、任何其他光學媒體、打孔卡、紙帶、具有孔圖案之任何其他實體媒體、RAM、PROM及EPROM、FLASH-EPROM、任何其他記憶體晶片或卡匣。非暫時性電腦可讀媒體可具有記錄於其上之指令。該等指令可在由電腦執行時實施本文中所描述之操作中之任一者。暫時性電腦可讀媒體可包括例如載波或其他傳播電磁信號。The term "computer-readable medium" as used herein refers to any medium that participates in providing instructions to the processor PRO for execution. This media can take many forms, including but not limited to non-volatile media, volatile media, and transmission media. Non-volatile media includes, for example, optical discs or magnetic discs, such as storage devices SD. Volatile media includes dynamic memory, such as main memory MM. Transmission media includes coaxial cables, copper wires and optical fibers, including wires including busbars BS. The transmission medium can also be in the form of sound waves or light waves, such as those generated during radio frequency (RF) and infrared (IR) data communications. Computer-readable media can be non-transitory, such as floppy disks, flexible disks, hard disks, tapes, any other magnetic media, CD-ROM, DVD, any other optical media, punch cards, paper tape, Any other physical media with hole patterns, RAM, PROM and EPROM, FLASH-EPROM, any other memory chips or cassettes. The non-transitory computer-readable medium may have instructions recorded on it. These instructions can perform any of the operations described herein when executed by a computer. Transitory computer-readable media may include, for example, carrier waves or other propagated electromagnetic signals.

各種形式之電腦可讀媒體可涉及將一或多個指令之一或多個序列攜載至處理器PRO以供執行。舉例而言,可初始地將指令承載於遠端電腦之磁碟上。遠端電腦可將指令載入至其動態記憶體中,且使用數據機經由電話線來發送指令。在電腦系統CS本端之數據機可接收電話線上之資料,且使用紅外傳輸器將資料轉換為紅外信號。耦接至匯流排BS之紅外偵測器可接收紅外信號中所攜載之資料且將資料置放於匯流排BS上。匯流排BS將資料攜載至主記憶體MM,處理器PRO自該主記憶體MM檢索且執行指令。由主記憶體MM接收到之指令可視情況在由處理器PRO執行之前或之後儲存於儲存器件SD上。Various forms of computer-readable media may involve carrying one or more sequences of one or more instructions to the processor PRO for execution. For example, the command can be initially carried on the disk of the remote computer. The remote computer can load commands into its dynamic memory, and use a modem to send commands through the telephone line. The modem at the local end of the computer system CS can receive the data on the telephone line, and use an infrared transmitter to convert the data into an infrared signal. The infrared detector coupled to the bus BS can receive the data carried in the infrared signal and place the data on the bus BS. The bus BS carries data to the main memory MM, and the processor PRO retrieves and executes commands from the main memory MM. The instructions received by the main memory MM may be stored on the storage device SD before or after being executed by the processor PRO, depending on the situation.

電腦系統CS亦可包括耦接至匯流排BS之通信介面CI。通信介面CI提供與網路鏈路NDL之雙向資料通信耦接,該網路鏈路NDL連接至區域網路LAN。舉例而言,通信介面CI可為整合服務數位網路(ISDN)卡或數據機以提供與對應類型之電話線的資料通信連接。作為另一實例,通信介面CI可為提供與相容LAN之資料通信連接的區域網路(LAN)卡。亦可實施無線鏈路。在任何此實施中,通信介面CI發送及接收攜載表示各種類型之資訊之數位資料串流的電信號、電磁信號或光學信號。The computer system CS may also include a communication interface CI coupled to the bus BS. The communication interface CI provides a two-way data communication coupling with the network link NDL, which is connected to the local area network LAN. For example, the communication interface CI can be an integrated services digital network (ISDN) card or a modem to provide a data communication connection with a corresponding type of telephone line. As another example, the communication interface CI may be a local area network (LAN) card that provides a data communication connection with a compatible LAN. A wireless link can also be implemented. In any such implementation, the communication interface CI sends and receives electrical, electromagnetic, or optical signals that carry digital data streams representing various types of information.

網路鏈路NDL通常經由一或多個網路將資料通信提供至其他資料器件。舉例而言,網路鏈路NDL可經由區域網路LAN將連接提供至主電腦HC。此可包括經由全球封包資料通信網路(現在通常稱為「網際網路」INT)提供資料通信服務。區域網路LAN (網際網路)可使用攜載數位資料串流之電信號、電磁信號或光學信號。經由各種網路之信號及在網路資料鏈路NDL上且經由通信介面CI之信號為輸送資訊的例示性載波形式,該等信號將數位資料攜載至電腦系統CS且攜載來自電腦系統CS之數位資料。The network link NDL usually provides data communication to other data devices via one or more networks. For example, the network link NDL can provide a connection to the host computer HC via a local area network LAN. This may include the provision of data communication services via the global packet data communication network (now commonly referred to as the "Internet" INT). The local area network LAN (Internet) can use electrical, electromagnetic or optical signals that carry digital data streams. The signals through various networks and the signals on the network data link NDL and through the communication interface CI are exemplary carrier forms for conveying information. These signals carry digital data to and from the computer system CS. The digital data.

電腦系統CS可經由網路、網路資料鏈路NDL及通信介面CI發送訊息及接收資料(包括程式碼)。在網際網路實例中,主電腦HC可經由網際網路INT、網路資料鏈路NDL、區域網路LAN及通信介面CI傳輸用於應用程式之經請求程式碼。一個此經下載應用程式可提供例如本文中所描述之方法中之所有或部分。接收到之程式碼可在其經接收時由處理器PRO執行,及/或儲存於儲存器件SD或其他非揮發性儲存器中以供稍後執行。以此方式,電腦系統CS可獲得呈載波形式之應用程式碼。The computer system CS can send messages and receive data (including code) via the network, network data link NDL, and communication interface CI. In the Internet example, the host computer HC can transmit the requested code for the application program via the Internet INT, the network data link NDL, the local area network LAN, and the communication interface CI. One such downloaded application can provide, for example, all or part of the methods described in this article. The received program code can be executed by the processor PRO when it is received, and/or stored in the storage device SD or other non-volatile storage for later execution. In this way, the computer system CS can obtain application code in the form of a carrier wave.

圖13為根據一實施例之微影投影設備之示意圖。微影投影設備可包括照明系統IL、第一物件台MT、第二物件台WT及投影系統PS。照明系統IL可調節輻射光束B。在此實例中,照明系統亦包含輻射源SO。第一物件台(例如圖案化器件台) MT可設置有用以固持圖案化器件MA (例如倍縮光罩)之圖案化器件固持器,且連接至用以相對於物品PS準確地定位該圖案化器件之第一定位器。第二物件台(例如基板台) WT可設置有用以固持基板W (例如抗蝕劑塗佈矽晶圓)之基板固持器,且連接至用以相對於物品PS準確地定位該基板之第二定位器。投影系統(例如其包括透鏡) PS (例如折射、反射或折反射光學系統)可將圖案化器件MA之經輻照部分成像至基板W之目標部分C (例如包含一或多個晶粒)上。可使用例如圖案化器件對準標記M1、M2及基板對準標記P1、P2來對準圖案化器件MA及基板W。Fig. 13 is a schematic diagram of a lithography projection device according to an embodiment. The lithographic projection equipment may include an illumination system IL, a first object table MT, a second object table WT, and a projection system PS. The illumination system IL can adjust the radiation beam B. In this example, the lighting system also includes a radiation source SO. The first object stage (for example, the patterned device stage) MT can be provided with a patterned device holder for holding the patterned device MA (for example, a reduction mask), and is connected to accurately position the patterned device relative to the article PS. The first locator of the device. The second object table (for example, the substrate table) WT can be provided with a substrate holder for holding the substrate W (for example, a resist-coated silicon wafer), and is connected to the second object table for accurately positioning the substrate relative to the article PS. Locator. The projection system (e.g., it includes a lens) PS (e.g., a refractive, reflective, or catadioptric optical system) can image the irradiated portion of the patterned device MA onto the target portion C (e.g., including one or more dies) of the substrate W . For example, the patterned device alignment marks M1, M2 and the substrate alignment marks P1, P2 can be used to align the patterned device MA and the substrate W.

如所描繪,該設備可屬於透射類型(亦即,具有透射圖案化器件)。然而,一般而言,其亦可屬於例如反射類型(具有反射圖案化器件)。設備可採用用於經典遮罩之不同種類之圖案化器件;實例包括可程式化鏡面陣列或LCD矩陣。As depicted, the device may be of the transmissive type (ie, have a transmissive patterning device). However, in general, it can also be of, for example, a reflective type (with reflective patterned devices). The equipment can use different types of patterned devices used for classic masks; examples include programmable mirror arrays or LCD matrixes.

源SO (例如水銀燈或準分子雷射器、雷射產生電漿(LPP) EUV源)產生輻射光束。舉例而言,此光束直接地或在已橫穿諸如擴束器或光束遞送系統BD (包含引導鏡面、擴束器等)之調節構件之後饋入至照明系統(照明器) IL中。照明器IL可包含調整構件AD以用於設定光束中之強度分佈的外部徑向範圍及/或內部徑向範圍(通常分別稱為σ外部及σ內部)。此外,照明器IL通常將包含各種其他組件,諸如積光器IN及聚光器CO。以此方式,撞擊於圖案化器件MA上之光束B在其橫截面中具有所要均一性及強度分佈。A source SO (such as a mercury lamp or excimer laser, a laser generating plasma (LPP) EUV source) generates a radiation beam. For example, this light beam is fed into the illumination system (illuminator) IL directly or after having traversed adjustment members such as a beam expander or beam delivery system BD (including guide mirrors, beam expanders, etc.). The illuminator IL may include an adjustment member AD for setting the outer radial range and/or the inner radial range of the intensity distribution in the light beam (usually referred to as σouter and σinner, respectively). In addition, the illuminator IL will generally include various other components, such as an accumulator IN and a condenser CO. In this way, the beam B impinging on the patterned device MA has the desired uniformity and intensity distribution in its cross section.

在一些實施例中,源SO可在微影投影設備之外殼內(此常常為在源SO為例如水銀燈時之情況),但其亦可遠離微影投影設備。舉例而言,其產生之輻射光束可(例如藉助於合適之引導鏡面)經導引至該設備中。此後一情形可為例如在源SO為準分子雷射器(例如基於KrF、ArF或F2雷射作用)時之情況。In some embodiments, the source SO may be inside the housing of the lithographic projection device (this is usually the case when the source SO is, for example, a mercury lamp), but it can also be far away from the lithographic projection device. For example, the radiation beam generated can be guided into the device (for example by means of a suitable guiding mirror). The latter case may be, for example, the case when the source SO is an excimer laser (e.g., based on KrF, ArF, or F2 laser action).

光束B可隨後截取固持於圖案化器件台MT上之圖案化器件MA。在已橫穿圖案化器件MA之情況下,光束B可穿過透鏡PL,該透鏡PL將光束B聚焦至基板W之目標部分C上。藉助於第二定位構件(及干涉式量測構件IF),可準確地移動基板台WT,例如以使不同目標部分C定位於光束B之路徑中。類似地,第一定位構件可用於例如在自圖案化器件庫機械地擷取圖案化器件MA之後或在掃描期間相對於光束B之路徑準確地定位圖案化器件MA。一般而言,可藉助於長衝程模組(粗略定位)及短衝程模組(精細定位)來實現物件台MT、WT之移動。然而,在步進器(相對於步進掃描工具)之情況下,圖案化器件台MT可連接至短衝程致動器,或可為固定的。The light beam B can then intercept the patterned device MA held on the patterned device table MT. Having traversed the patterned device MA, the light beam B can pass through the lens PL, which focuses the light beam B onto the target portion C of the substrate W. With the help of the second positioning member (and the interferometric measurement member IF), the substrate table WT can be accurately moved, for example, to position different target parts C in the path of the beam B. Similarly, the first positioning member can be used to accurately position the patterned device MA relative to the path of the beam B, for example, after the patterned device MA is mechanically retrieved from the patterned device library or during scanning. Generally speaking, the movement of the object table MT and WT can be realized by means of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning). However, in the case of a stepper (as opposed to a step-and-scan tool), the patterned device table MT may be connected to a short-stroke actuator, or may be fixed.

可在兩種不同模式(步進模式及掃描模式)下使用所描繪工具。在步進模式下,圖案化器件台MT保持基本上靜止,且在一個操作(亦即,單次「閃光」)中將整個圖案化器件影像投影至目標部分C上。可使基板台WT在x及/或y方向上移位,使得不同目標部分C可藉由光束B輻照。在掃描模式下,基本上適用相同情形,不同之處在於不在單次「閃光」中曝光給定目標部分C。實情為,圖案化器件台MT可以速度v在給定方向(例如「掃描方向」,或「y」方向)上移動,使得使投影光束B遍及圖案化器件影像進行掃描。同時,基板台WT以速度V = Mv在相同方向或相對方向上同時移動,其中M為透鏡之放大率(通常M = 1/4或1/5)。以此方式,可在不必損害解析度的情況下曝光相對大目標部分C。The drawn tool can be used in two different modes (step mode and scan mode). In the step mode, the patterned device stage MT remains substantially stationary, and the entire patterned device image is projected onto the target portion C in one operation (ie, a single "flash"). The substrate table WT can be shifted in the x and/or y direction, so that different target parts C can be irradiated by the light beam B. In scanning mode, basically the same situation applies, the difference is that the given target part C is not exposed in a single "flash". In fact, the patterned device stage MT can move in a given direction (for example, the "scan direction" or the "y" direction) at a speed v, so that the projection beam B scans across the image of the patterned device. At the same time, the substrate table WT moves simultaneously in the same or opposite direction at a speed of V = Mv, where M is the magnification of the lens (usually M = 1/4 or 1/5). In this way, a relatively large target portion C can be exposed without compromising the resolution.

圖14為另一微影投影設備(LPA)之示意圖。LPA可包括源收集器模組SO、經組態以調節輻射光束B (例如EUV輻射)的照明系統(照明器) IL、支撐結構MT、基板台WT及投影系統PS。支撐結構(例如圖案化器件台) MT可經建構以支撐圖案化器件(例如,遮罩或倍縮光罩) MA且連接至經組態以準確地定位該圖案化器件之第一定位器PM。基板台(例如晶圓台) WT可經建構以固持基板(例如抗蝕劑塗佈晶圓) W,且連接至經組態以準確地定位該基板之第二定位器PW。投影系統(例如反射性投影系統) PS可經組態以將藉由圖案化器件MA賦予至輻射光束B之圖案投影至基板W之目標部分C (例如包含一或多個晶粒)上。Figure 14 is a schematic diagram of another lithographic projection device (LPA). The LPA may include a source collector module SO, an illumination system (illuminator) IL configured to adjust the radiation beam B (for example, EUV radiation), a support structure MT, a substrate table WT, and a projection system PS. The support structure (e.g., patterned device stage) MT can be constructed to support the patterned device (e.g., mask or reduction mask) MA and connected to a first positioner PM configured to accurately position the patterned device . The substrate table (e.g., wafer table) WT can be configured to hold a substrate (e.g., a resist coated wafer) W, and is connected to a second positioner PW configured to accurately position the substrate. The projection system (e.g., reflective projection system) PS can be configured to project the pattern imparted to the radiation beam B by the patterning device MA onto the target portion C (e.g., including one or more dies) of the substrate W.

如此實例中所展示,LPA可屬於反射類型(例如採用反射圖案化器件)。應注意,由於大多數材料在EUV波長範圍內具吸收性,故圖案化器件可具有包含例如鉬與矽之多堆疊的多層反射器。在一個實例中,多堆疊反射器具有鉬與矽之40個層對,其中每一層之厚度為四分之一波長。可用X射線微影來產生甚至更小之波長。由於大多數材料在EUV及x射線波長下具吸收性,故圖案化器件構形上之經圖案化吸收材料薄件(例如多層反射器之頂部上之TaN吸收材料)定義特徵將印刷(正型抗蝕劑)或不印刷(負型抗蝕劑)之處。As shown in this example, LPA can be of a reflective type (for example, using reflective patterned devices). It should be noted that since most materials are absorptive in the EUV wavelength range, the patterned device may have a multilayer reflector including multiple stacks of molybdenum and silicon, for example. In one example, the multi-stack reflector has 40 layer pairs of molybdenum and silicon, where the thickness of each layer is a quarter wavelength. X-ray lithography can be used to generate even smaller wavelengths. Since most materials are absorptive at EUV and X-ray wavelengths, a thin piece of patterned absorbing material (such as TaN absorbing material on the top of a multilayer reflector) defining features on the patterned device configuration will be printed (positive type) Resist) or not printed (negative resist).

照明器IL可自源收集器模組SO接收極紫外輻射光束。用以產生EUV輻射之方法包括但未必限於用在EUV範圍內之一或多個發射譜線將具有至少一種元素(例如氙、鋰或錫)之材料轉換成電漿狀態。在一種此類方法(通常稱為雷射產生電漿(「LPP」))中,可藉由用雷射束輻照燃料(諸如具有譜線發射元素之材料之小滴、流或叢集)而產生電漿。源收集器模組SO可為包括雷射器(圖10中未展示)之EUV輻射系統之部分,該雷射器用於提供激發燃料之雷射束。所得電漿發射輸出輻射(例如EUV輻射),該輸出輻射係使用安置於源收集器模組中之輻射收集器來收集。舉例而言,當使用CO2雷射器以提供用於燃料激發之雷射束時,雷射器及源收集器模組可為分離之實體。在此實例中,可不認為雷射器形成微影設備之部件,且輻射光束可藉助於包含例如合適之引導鏡面及/或擴束器之光束遞送系統而自雷射器傳遞至源收集器模組。在其他實例中,舉例而言,當源為放電產生電漿EUV產生器(通常稱為DPP源)時,源可為源收集器模組之整體部分。The illuminator IL can receive the extreme ultraviolet radiation beam from the source collector module SO. Methods for generating EUV radiation include, but are not necessarily limited to, using one or more emission lines in the EUV range to convert a material with at least one element (such as xenon, lithium, or tin) into a plasma state. In one such method (commonly referred to as laser-generated plasma ("LPP")), the fuel can be irradiated with a laser beam (such as droplets, streams, or clusters of materials with line-emitting elements). Generate plasma. The source collector module SO may be part of an EUV radiation system including a laser (not shown in FIG. 10) for providing a laser beam for exciting fuel. The resulting plasma emits output radiation (such as EUV radiation), which is collected using a radiation collector arranged in a source collector module. For example, when a CO2 laser is used to provide a laser beam for fuel excitation, the laser and the source collector module can be separate entities. In this example, the laser may not be considered to form a part of the lithography device, and the radiation beam may be transferred from the laser to the source collector module by means of a beam delivery system including, for example, a suitable guiding mirror and/or beam expander. Group. In other examples, for example, when the source is a discharge-generating plasma EUV generator (commonly referred to as a DPP source), the source may be an integral part of the source collector module.

照明器IL可包含用於調整輻射光束之角強度分佈之調整器。通常,可調整照射器之光瞳平面中之強度分佈之至少外部徑向範圍及/或內部徑向範圍(通常分別稱為σ外部及σ內部)。此外,照明器IL可包含各種其他組件,諸如琢面化場鏡面器件及琢面化光瞳鏡面器件。照明器可用於調節輻射光束,以在其橫截面中具有所要均一性及強度分佈。The illuminator IL may include an adjuster for adjusting the angular intensity distribution of the radiation beam. Generally, at least the outer radial extent and/or the inner radial extent (commonly referred to as σouter and σinner, respectively) of the intensity distribution in the pupil plane of the illuminator can be adjusted. In addition, the illuminator IL may include various other components, such as a faceted field mirror device and a faceted pupil mirror device. The illuminator can be used to adjust the radiation beam to have the desired uniformity and intensity distribution in its cross section.

輻射光束B可入射於固持在支撐結構(例如圖案化器件台) MT上之圖案化器件(例如遮罩) MA上,且由該圖案化器件圖案化。在自圖案化器件(例如遮罩) MA反射之後,輻射光束B穿過投影系統PS,該投影系統PS將該光束聚焦至基板W之目標部分C上。藉助於第二定位器PW及位置感測器PS2 (例如干涉式器件、線性編碼器或電容性感測器),可準確地移動基板台WT (例如以使不同目標部分C定位於輻射光束B之路徑中)。類似地,第一定位器PM及另一位置感測器PS1可用於相對於輻射光束B之路徑準確地定位圖案化器件(例如遮罩) MA。可使用圖案化器件對準標記M1、M2及基板對準標記P1、P2來對準圖案化器件(例如遮罩)MA及基板W。The radiation beam B can be incident on a patterned device (such as a mask) MA held on a support structure (such as a patterned device table) MT, and be patterned by the patterned device. After being reflected from the patterned device (eg, mask) MA, the radiation beam B passes through the projection system PS, which focuses the beam onto the target portion C of the substrate W. With the aid of the second positioner PW and the position sensor PS2 (for example, an interferometric device, a linear encoder or a capacitive sensor), the substrate table WT can be accurately moved (for example, so that different target parts C are positioned between the radiation beams B). Path). Similarly, the first positioner PM and the other position sensor PS1 can be used to accurately position the patterned device (such as a mask) MA relative to the path of the radiation beam B. The patterned device alignment marks M1, M2 and the substrate alignment marks P1, P2 can be used to align the patterned device (for example, the mask) MA and the substrate W.

所描繪設備LPA可用於以下模式中之至少一者:步進模式、掃描模式及靜止模式。在步進模式下,支撐結構(例如圖案化器件台) MT及基板台WT保持基本上靜止,同時一次性將賦予至輻射光束之整個圖案投影至目標部分C上(例如單次靜態曝光)。接著,基板台WT在X及/或Y方向上移位,使得可曝光不同目標部分C。在掃描模式下,同步地掃描支撐結構(例如圖案化器件台) MT及基板台WT,同時將賦予至輻射光束之圖案投影至目標部分C上(亦即單次動態曝光)。基板台WT相對於支撐結構(例如圖案化器件台) MT之速度及方向可藉由投影系統PS之放大率(縮小率)及影像反轉特性來判定。在靜止模式下,固持可程式化圖案化器件之支撐結構(例如圖案化器件台) MT保持基本上靜止,且移動或掃描基板台WT,同時將賦予至輻射光束之圖案投影至目標部分C上。在此模式下,通常採用脈衝輻射源,且在基板台WT之每一移動之後或在掃描期間之順次輻射脈衝之間視需要更新可程式化圖案化器件。此操作模式可易於應用於利用可程式化圖案化器件(諸如如上文所提及之類型之可程式化鏡面陣列)之無遮罩微影。The depicted device LPA can be used in at least one of the following modes: step mode, scan mode, and static mode. In the stepping mode, the support structure (for example, the patterned device stage) MT and the substrate stage WT remain substantially stationary, and at the same time, the entire pattern imparted to the radiation beam is projected onto the target portion C at one time (for example, a single static exposure). Then, the substrate table WT is shifted in the X and/or Y direction, so that different target portions C can be exposed. In the scanning mode, the support structure (such as the patterned device stage) MT and the substrate stage WT are simultaneously scanned, and the pattern imparted to the radiation beam is projected onto the target portion C (ie, a single dynamic exposure). The speed and direction of the substrate table WT relative to the support structure (such as the patterned device table) MT can be determined by the magnification (reduction ratio) and image reversal characteristics of the projection system PS. In the stationary mode, the support structure (for example, the patterned device stage) MT holding the programmable patterned device remains substantially stationary, and moves or scans the substrate stage WT, and at the same time projects the pattern imparted to the radiation beam onto the target portion C . In this mode, a pulsed radiation source is usually used, and the programmable patterned device is updated as necessary after each movement of the substrate table WT or between successive radiation pulses during scanning. This mode of operation can be easily applied to unmasked lithography using programmable patterned devices, such as programmable mirror arrays of the type mentioned above.

圖15為圖14中所展示之微影投影設備之詳細視圖。如圖15中所展示,LPA可包括源收集器模組SO、照明系統IL及投影系統PS。源收集器模組SO經組態以使得可將真空環境維持於源收集器模組SO之圍封結構220中。可藉由放電產生電漿源形成EUV輻射發射電漿210。可藉由氣體或蒸汽(例如Xe氣體、Li蒸汽或Sn蒸汽)產生EUV輻射,其中產生熱電漿210以發射在電磁光譜之EUV範圍內之輻射。藉由例如產生至少部分地離子化之電漿之放電來產生熱電漿210。可能需要為例如10 Pa之分壓之Xe、Li、Sn蒸汽或任何其他合適之氣體或蒸汽,以用於輻射之高效產生。在一些實施例中,提供經激發的錫(Sn)之電漿以產生EUV輻射。Fig. 15 is a detailed view of the lithographic projection device shown in Fig. 14. As shown in FIG. 15, the LPA may include a source collector module SO, an illumination system IL, and a projection system PS. The source collector module SO is configured such that a vacuum environment can be maintained in the enclosure structure 220 of the source collector module SO. The EUV radiation emitting plasma 210 can be formed by generating a plasma source by discharge. The EUV radiation can be generated by gas or steam (such as Xe gas, Li steam or Sn steam), in which thermoplasma 210 is generated to emit radiation in the EUV range of the electromagnetic spectrum. The thermoplasma 210 is generated by, for example, a discharge that generates at least partially ionized plasma. Xe, Li, Sn steam or any other suitable gas or steam with a partial pressure of 10 Pa, for example, may be required for efficient generation of radiation. In some embodiments, a plasma of excited tin (Sn) is provided to generate EUV radiation.

由熱電漿210發射之輻射經由位於源腔室211中之開口中或後方之視情況存在之氣體障壁或污染物截留器230 (在一些情況下亦稱為污染物障壁或箔片截留器)而自源腔室211傳遞至收集器腔室212中。污染物截留器230可包括通道結構。污染物截留器230亦可包括氣體障壁或氣體障壁與通道結構之組合。污染物截留器或污染物障壁230 (下文所描述)亦包括通道結構。收集器腔室211可包括可為掠入射收集器之輻射收集器CO。輻射收集器CO具有上游輻射收集器側251及下游輻射收集器側252。橫穿收集器CO之輻射可自光柵濾光片240反射以沿由線『O』指示之光軸聚焦於虛擬源點IF中。虛擬源點IF通常稱為中間焦點,且源收集器模組經組態以使得中間焦點IF位於圍封結構220中之開口221處或附近。虛擬源點IF為輻射發射電漿210之影像。The radiation emitted by the thermoplasma 210 passes through a gas barrier or pollutant trap 230 (also called a pollutant barrier or foil trap) located in or behind the opening in the source chamber 211 as appropriate. Transfer from the source chamber 211 to the collector chamber 212. The contaminant trap 230 may include a channel structure. The pollutant trap 230 may also include a gas barrier or a combination of a gas barrier and a channel structure. The pollutant trap or pollutant barrier 230 (described below) also includes a channel structure. The collector chamber 211 may include a radiation collector CO, which may be a grazing incidence collector. The radiation collector CO has an upstream radiation collector side 251 and a downstream radiation collector side 252. The radiation traversing the collector CO can be reflected from the grating filter 240 to be focused in the virtual source point IF along the optical axis indicated by the line "O". The virtual source point IF is generally referred to as an intermediate focus, and the source collector module is configured such that the intermediate focus IF is located at or near the opening 221 in the enclosure 220. The virtual source point IF is an image of the radiation emission plasma 210.

隨後,輻射橫穿照明系統IL,該照明系統IL可包括琢面化場鏡面器件22及琢面化光瞳鏡面器件24,其經配置以提供在圖案化器件MA處的輻射光束21之所要角分佈,以及在圖案化器件MA處的輻射強度之所要均一性。在由支撐結構MT固持之圖案化器件MA處反射輻射光束21後,形成經圖案化光束26,且經圖案化光束26藉由投影系統PS經由反射元件28、30成像至由基板台WT固持之基板W上。比所展示元件更多之元件通常可存在於照明光學器件單元IL及投影系統PS中。取決於例如微影設備之類型,可視情況存在光柵濾光片240。另外,可存在比圖式中所展示之鏡面多的鏡面,例如在投影系統PS中可存在比圖14中所展示之反射元件多1至6個的額外反射元件。The radiation then traverses the illumination system IL, which may include a faceted field mirror device 22 and a faceted pupil mirror device 24 configured to provide the desired angle of the radiation beam 21 at the patterned device MA Distribution, and the desired uniformity of the radiation intensity at the patterned device MA. After the radiation beam 21 is reflected at the patterned device MA held by the support structure MT, a patterned beam 26 is formed, and the patterned beam 26 is imaged by the projection system PS through the reflective elements 28, 30 to the substrate table WT. On the substrate W. More elements than shown can generally be present in the illumination optics unit IL and the projection system PS. Depending on the type of lithography equipment, for example, a grating filter 240 may be present. In addition, there may be more mirrors than those shown in the drawings. For example, there may be 1 to 6 additional reflective elements in the projection system PS than the reflective elements shown in FIG. 14.

如圖15中所說明之收集器光學器件CO描繪為具有掠入射反射器253、254及255之巢套式收集器,僅作為收集器(或收集器鏡面)之一實例。掠入射反射器253、254及255經安置為圍繞光軸O軸向對稱,且此類型之收集器光學器件CO可與通常稱為DPP源之放電產生電漿源組合使用。The collector optics CO as illustrated in FIG. 15 is depicted as a nested collector with grazing incidence reflectors 253, 254, and 255, only as an example of collectors (or collector mirrors). The grazing incidence reflectors 253, 254, and 255 are arranged to be axially symmetrical about the optical axis O, and this type of collector optics CO can be used in combination with a discharge generating plasma source commonly referred to as a DPP source.

圖16為微影投影設備LPA (先前圖式中所展示)之源收集器模組SO之詳細視圖。源收集器模組SO可為LPA輻射系統之部分。雷射器LA可經配置以將雷射能量沈積至諸如氙(Xe)、錫(Sn)或鋰(Li)之燃料中,從而產生具有數十eV的電子溫度之高度離子化電漿210。在此等離子之去激發及再結合期間所產生之高能輻射自電漿發射,由近正入射收集器光學器件CO收集,且聚焦至圍封結構220中之開口221上。Figure 16 is a detailed view of the source collector module SO of the lithographic projection equipment LPA (shown in the previous figure). The source collector module SO can be part of the LPA radiation system. The laser LA may be configured to deposit laser energy into a fuel such as xenon (Xe), tin (Sn), or lithium (Li), thereby generating a highly ionized plasma 210 with an electron temperature of tens of eV. The high-energy radiation generated during the de-excitation and recombination of the plasma is emitted from the plasma, collected by the near-normal incidence collector optics CO, and focused on the opening 221 in the enclosure structure 220.

可使用以下條項進一步描述實施例: 1.  一種用於提高檢測產出量之方法,該方法包含: 產生複數個脈衝組成電子束;及 將該複數個脈衝組成電子束合併成一合併之電子檢測束,該合併之電子檢測束比個別組成脈衝電子束中之每一者具有一更大亮度; 其中該合併之電子檢測束經組態以用於檢測一基板。 2.  如條項1之方法,其中該檢測產出量為該合併之電子檢測束之該亮度之一函數,且其中該合併之電子檢測束之該更大亮度提高該檢測產出量。 3.  如條項1或2之方法,其中該合併之電子檢測束沿一單一光軸對準。 4.  如條項1至3中任一項之方法,其中該檢測產出量與一半導體製造程序相關聯,且該基板與一半導體器件相關聯。 5.  如條項1至4中任一項之方法,其中該複數個脈衝組成電子束中之不同者中之電子之脈衝彼此異相,使得該合併之電子檢測束藉由一電子之該等異相脈衝之組合形成。 6.  如條項1至5中任一項之方法,其中產生該複數個脈衝組成電子束包含: 發射複數個連續電子束;及 在該複數個連續束中之個別束中產生能量散佈以在該等個別束中產生具有類似能量之電子之脈衝以形成該複數個脈衝組成電子束。 7.  如條項1至6中任一項之方法,其中用一偏轉腔將該複數個脈衝組成電子束合併成該合併之電子檢測束。 8.  如條項1至7中任一項之方法,其中產生該複數個脈衝組成電子束包含藉由一對應複數個肖特基發射器或冷場發射器發射該複數個脈衝組成電子束。 9.  如條項1至8中任一項之方法,其進一步包含使該合併之電子檢測束加速以減小庫侖交互作用之效應。 10. 如條項1至9中任一項之方法,其進一步包含: 藉由能量位準分散該合併之電子檢測束中之電子使得該合併之電子檢測束中具有較高能量的電子經由一軌道彎道遵循一相對較短路徑,且該合併之電子檢測束中具有較低能量的電子經由該軌道彎道遵循一相對較長路徑;及 使該合併之電子檢測束加速使得該合併之電子檢測束中具有該較低能量的該等電子比該合併之電子檢測束中具有該較高能量的該等電子自加速獲得更多能量,以便減小該等較低能量電子與該等較高能量電子之間的一能量散佈。 11. 如條項10之方法,其中該檢測產出量為該能量散佈之一逆函數,且其中減小該能量散佈進一步提高該檢測產出量。 12. 如條項10或11之方法,其中藉由能量位準分散該合併之電子檢測束中之電子包含使該合併之電子檢測束穿過包含複數個磁偏轉器之一軌道彎道。 13. 如條項12之方法,其中該軌道彎道包含四個磁偏轉器。 14. 如條項10至13中任一項之方法,其中該加速藉由一加速腔進行。 15. 如條項10至14中任一項之方法,其中藉由能量位準分散該合併之電子檢測束中之電子及使該合併之電子檢測束加速產生單色電子聚束。 16. 如條項1至15中任一項之方法,其中該檢測產出量與亮場檢測或多束檢測相關聯。 17. 如條項1至16中任一項之方法,其中該檢測產出量與一掃描電子顯微鏡相關聯。 18. 如條項1至17中任一項之方法,其中該方法進一步包含: 用一掃描電子顯微鏡產生該合併之電子檢測束;及 用一多極校正器校正與該合併之電子檢測束相關聯之一球面像差。 19. 如條項18之方法,其中該檢測產出量為校正該球面像差之一函數,使得校正該球面像差進一步提高該檢測產出量。 20. 如條項1至19中任一項之方法,其中該複數個脈衝組成電子束包含至少10個脈衝組成電子束。 21. 一種用於提高檢測產出量之方法,該方法包含: 使一電子檢測束穿過一彎曲路徑; 在該電子檢測束橫穿該彎曲路徑時藉由能量位準分散該電子檢測束,使得該電子檢測束中具有較高能量的電子遵循一相對較短路徑,且該電子檢測束中具有較低能量的電子遵循一相對較長路徑;及 使該電子檢測束加速,使得該電子檢測束中具有該較低能量的該等電子比該電子檢測束中具有該較高能量的該等電子自加速獲得更多能量,以便減小該等較低能量電子與該等較高能量電子之間的一能量散佈。 其中加速之電子檢測束經組態以用於檢測一基板。 22. 如條項21之方法,其中該彎曲路徑包含一軌道彎道。 23. 一種用於提高檢測產出量之方法,該方法包含: 用一掃描電子顯微鏡產生一電子檢測束;及 用一多極校正器校正與該電子檢測束相關聯之一球面像差; 其中經校正電子檢測束經組態以用於檢測一基板。 24. 一種電腦程式產品,其包含具有記錄於其上之指令之一非暫時性電腦可讀媒體,該等指令在由一電腦執行時實施如條項1至23中任一項之方法。 25. 一種經組態以提高檢測產出量之檢測系統,該系統包含: 複數個發射器,其經組態以產生一對應複數個脈衝組成電子束;及 一電子光學配置,其經組態以將該複數個脈衝組成電子束合併成一合併之電子檢測束,該合併之電子檢測束比個別脈衝組成電子束中之每一者具有一更大亮度; 其中該合併之電子檢測束經組態以用於檢測一基板。 26. 如條項25之系統,其中該電子光學配置包含一偏轉腔。 27. 如條項25或26之系統,其進一步包含:一彎曲路徑,其經組態以藉由能量位準分散該合併之電子檢測束,使得該合併之電子檢測束中具有較高能量的電子遵循一相對較短路徑,且該合併之電子檢測束中具有較低能量的電子遵循一相對較長路徑;及 一加速器,其經組態以使該合併之電子檢測束加速,使得該合併之電子檢測束中具有該較低能量的該等電子比該合併之電子檢測束中具有該較高能量的該等電子自加速獲得更多能量,以便減小該等較低能量電子與該等較高能量電子之間的一能量散佈。 28. 如條項27之系統,其中該彎曲路徑為一軌道彎道。 29. 如條項28之系統,其中該軌道彎道包含複數個磁偏轉器。 30. 如條項27至29中任一項之系統,其中該加速器包含一加速腔。 31.    如條項25至30中任一項之系統,其進一步包含一多極校正器,該多極校正器經組態以校正與該合併之電子檢測束相關聯之一球面像差。 32. 如條項31之系統,其中該多極校正器包含至少一個轉印透鏡、至少一個轉接器透鏡、至少一個對準偏轉器、一光束傾斜線圈、一光束移位線圈及至少一個像散補償器。 33. 如條項25至32中任一項之系統,其中該複數個脈衝組成電子束中之不同者中之電子之脈衝彼此異相,使得該合併之電子檢測束藉由一電子之該等異相脈衝之組合形成。 34. 如條項25至33中任一項之系統,其中該複數個發射器包含複數個肖特基發射器或冷場發射器。 35. 如條項25至34中任一項之系統,其中該檢測系統為一掃描電子顯微鏡。 36. 一種經組態以提高檢測產出量之檢測系統,該系統包含: 一彎曲路徑,其經組態以藉由能量位準分散一合併之電子檢測束,使得該合併之電子檢測束中具有較高能量的電子遵循一相對較短路徑,且該合併之電子檢測束中具有較低能量的電子遵循一相對較長路徑;及 一加速器,其經組態以使該合併之電子檢測束加速,使得該合併之電子檢測束中具有該較低能量的該等電子比該合併之電子檢測束中具有該較高能量的該等電子自加速獲得更多能量,以便減小該等較低能量電子與該等較高能量電子之間的一能量散佈; 其中該合併之電子檢測束經組態以用於檢測一基板。 37. 如條項36之系統,其中該彎曲路徑為一軌道彎道。 38. 如條項37之系統,其中該軌道彎道包含複數個磁偏轉器。 39. 一種經組態以提高檢測產出量之掃描電子顯微鏡檢測系統,該系統包含: 一多極校正器,其經組態以校正與一合併之電子檢測束相關聯之一球面像差,其中該合併之電子檢測束經組態以用於檢測一基板。 40. 如條項39之系統,其中該多極校正器包含至少一個轉印透鏡、至少一個轉接器透鏡、至少一個對準偏轉器、一光束傾斜線圈、一光束移位線圈及至少一個像散補償器。 41. 一種高亮度電子源系統,該系統包含: 複數個發射器,其經組態以產生一對應複數個脈衝組成電子束;及 一電子光學配置,其經組態以將該複數個脈衝組成電子束合併成一合併之電子檢測束,該合併之電子檢測束比個別脈衝組成電子束中之每一者具有一更大亮度。 42. 如條項41之系統,其中該電子光學配置包含一偏轉腔。 43. 如條項41或42之系統,其進一步包含:一彎曲路徑,其經組態以藉由能量位準分散該合併之電子檢測束,使得該合併之電子檢測束中具有較高能量的電子遵循一相對較短路徑,且該合併之電子檢測束中具有較低能量的電子遵循一相對較長路徑;及 一加速器,其經組態以使該合併之電子檢測束加速,使得該合併之電子檢測束中具有該較低能量的該等電子比該合併之電子檢測束中具有該較高能量的該等電子自加速獲得更多能量,以便減小該等較低能量電子與該等較高能量電子之間的一能量散佈。 44. 如條項43之系統,其中該彎曲路徑為一軌道彎道。 45. 如條項44之系統,其中該軌道彎道包含複數個磁偏轉器。 46. 如條項43至45中任一項之系統,其中該加速器包含一加速腔。 47. 如條項41至46中任一項之系統,其進一步包含一多極校正器,該多極校正器經組態以校正與該合併之電子檢測束相關聯之一球面像差。 48. 如條項47之系統,其中該多極校正器包含至少一個轉印透鏡、至少一個轉接器透鏡、至少一個對準偏轉器、一光束傾斜線圈、一光束移位線圈及至少一個像散補償器。 49. 如條項41至49中任一項之系統,其中該複數個脈衝組成電子束中之不同者中之電子之脈衝彼此異相,使得該合併之電子檢測束藉由一電子之該等異相脈衝之組合形成。 50. 如條項41至49中任一項之系統,其中該複數個發射器包含複數個肖特基發射器或冷場發射器。 51. 一種高亮度電子源系統,該系統包含: 複數個發射器,其經組態以產生一對應複數個脈衝組成電子束;及 一第一射頻(RF)或微波結構,其經組態以將該複數個脈衝組成電子束合併成沿一單一光軸對準之一合併之電子束,該合併之電子束比個別脈衝組成電子束中之每一者具有一更大亮度。 52. 如條項51之系統,其中該第一RF或微波結構包含一偏轉腔。 53. 如條項51或52之系統,其進一步包含: 一電子光學元件之組合,其經組態以減小該電子束之該能量散佈。 54. 如條項51至53中任一項之系統,其中該電子光學元件之組合包含第二RF或微波結構及第三RF或微波結構,該第二RF或微波結構及該第三RF或微波結構藉由其間的漂移空間分開,其中: 該第二RF或微波結構經組態以藉由使該脈衝之一前部加速且使該脈衝之一後部減速來增加一傳入電子脈衝之一能量散佈; 該漂移空間經組態以按時間拉伸該脈衝; 該第三RF或微波結構經組態以藉由使該脈衝之該前部減速且使該脈衝之該後部加速來使該脈衝單色化;且 用於驅動該第一RF或微波結構、該第二RF或微波結構及該第三RF或微波結構之信號彼此同步。 55. 如條項51至54中任一項之系統,其中用於驅動該第一RF或微波結構、該第二RF或微波結構及/或該第三RF或微波結構之該等信號包含具有相同微波頻率之多個高階諧波的微波信號,使得一個別RF或微波結構內部之一正常正弦電磁場隨時間線性化,從而接近一鋸齒分佈。 56. 如條項51至55中任一項之系統,其中該第二RF或微波結構及該第三RF或微波結構包含諧振腔。 57. 如條項51至53中任一項之系統,其中該電子光學元件之組合包含一彎曲路徑,該彎曲路徑經組態以藉由能量位準分散該合併之電子束,使得該合併之電子束中具有較高能量的電子遵循一相對較短路徑,且該合併之電子束中具有較低能量的電子遵循一相對較長路徑;且 一加速器,其經組態以使該合併之電子束加速,使得該合併之電子束中具有該較低能量的該等電子比該合併之電子束中具有該較高能量的該等電子自加速獲得更多能量,以便減小該等較低能量電子與該等較高能量電子之間的一能量散佈。 58. 如條項57之系統,其中該彎曲路徑為一軌道彎道。 59. 如條項58之系統,其中該軌道彎道包含複數個磁偏轉器。 60. 如條項51至59中任一項之系統,其進一步包含一多極校正器,該多極校正器經組態以校正與該合併之電子束相關聯之一球面像差。 61. 如條項60之系統,其中該多極校正器包含至少一個轉印透鏡、至少一個轉接器透鏡、至少一個對準偏轉器、一光束傾斜線圈、一光束移位線圈及至少一個像散補償器。 62. 如條項51至61中任一項之系統,其中該複數個脈衝組成電子束中之不同者中之電子之脈衝彼此異相,使得該合併之電子束藉由一電子之該等異相脈衝之組合形成。 63. 如條項51至62中任一項之系統,其中該複數個發射器包含複數個肖特基發射器或冷場發射器。 64. 一種經組態以提高檢測產出量之檢測系統,其中該系統包含如條項51至63中任一項之高亮度低能量散佈脈衝電子源系統。 65. 一種用於提高檢測產出量之方法,該方法包含: 產生複數個脈衝組成電子束; 用一偏轉腔將該複數個脈衝組成電子束合併成一合併之電子檢測束,該合併之電子檢測束比個別組成脈衝電子束中之每一者具有一更大亮度; 藉由能量位準分散該合併之電子檢測束,使得該合併之電子檢測束中具有較高能量的電子導引該合併之電子檢測束中具有較低能量的電子;及 減小該等較低能量電子與該等較高能量電子之間的一能量散佈; 其中該合併之電子檢測束經組態以用於檢測一基板。 66. 如條項65之方法,其中該檢測產出量為該合併之電子檢測束之該亮度之一函數,且其中該合併之電子檢測束之該更大亮度提高該檢測產出量。 67. 如條項65至66中任一項之方法,其中該合併之電子檢測束沿一單一光軸對準。 68. 如條項65至67中任一項之方法,其中產生該複數個脈衝組成電子束包含: 發射複數個連續電子束;及 在該複數個連續束中之個別束中產生能量散佈以在該等個別束中產生具有類似能量之電子之脈衝以形成該複數個脈衝組成電子束。 69. 一種用於藉由以下操作減小一脈衝電子束之能量散佈之方法:藉由使該脈衝之一前部加速且使該脈衝之一後部減速來增加一傳入電子脈衝之一能量散佈;使用一漂移空間按時間拉伸該脈衝;及藉由使該脈衝之該前部減速且使該脈衝之該後部加速來使該脈衝單色化。 70. 一種電腦程式產品,其包含具有記錄於其上之指令之一非暫時性電腦可讀媒體,該等指令在由一電腦執行時實施如條項65至69中任一項之方法。The following items can be used to further describe the embodiments: 1. A method for improving the detection output, the method includes: Generate multiple pulses to form an electron beam; and Combining the plurality of pulse component electron beams into a combined electron detection beam, the combined electron detection beam having a greater brightness than each of the individual component pulse electron beams; The combined electron inspection beam is configured to inspect a substrate. 2. The method of clause 1, wherein the detection output is a function of the brightness of the combined electron detection beam, and wherein the greater brightness of the combined electron detection beam increases the detection output. 3. The method as in Clause 1 or 2, wherein the combined electron detection beam is aligned along a single optical axis. 4. The method according to any one of items 1 to 3, wherein the inspection output is associated with a semiconductor manufacturing process, and the substrate is associated with a semiconductor device. 5. The method according to any one of clauses 1 to 4, wherein the pulses of electrons in different ones of the plural pulses constituting the electron beams are out of phase with each other, so that the combined electron detection beam is out of phase by one electron The combination of pulses is formed. 6. The method of any one of items 1 to 5, wherein generating the plurality of pulses to form an electron beam includes: Emit a plurality of continuous electron beams; and Energy dispersion is generated in the individual beams of the plurality of continuous beams to generate pulses of electrons with similar energy in the individual beams to form the plurality of pulses to form an electron beam. 7. The method according to any one of items 1 to 6, wherein a deflection cavity is used to combine the plurality of pulses into electron beams into the combined electron detection beam. 8. The method according to any one of clauses 1 to 7, wherein generating the plurality of pulses to form an electron beam includes emitting the plurality of pulses to form an electron beam by a corresponding plurality of Schottky emitters or cold field emitters. 9. The method of any one of items 1 to 8, which further comprises accelerating the combined electron detection beam to reduce the effect of Coulomb interaction. 10. The method of any one of clauses 1 to 9, which further includes: The electrons in the combined electron detection beam are dispersed by the energy level so that the electrons with higher energy in the combined electron detection beam follow a relatively short path through an orbital curve, and the combined electron detection beam has Lower energy electrons follow a relatively long path through the orbital curve; and Accelerating the combined electron detection beam makes the electrons with the lower energy in the combined electron detection beam obtain more energy from self-acceleration than the electrons with the higher energy in the combined electron detection beam, so that Reduce an energy spread between the lower energy electrons and the higher energy electrons. 11. The method of clause 10, wherein the detection output is an inverse function of the energy dispersion, and wherein reducing the energy dispersion further increases the detection output. 12. The method of clause 10 or 11, wherein dispersing the electrons in the combined electron detection beam by energy level includes passing the combined electron detection beam through an orbital curve including a plurality of magnetic deflectors. 13. The method of Clause 12, wherein the orbital curve contains four magnetic deflectors. 14. The method according to any one of clauses 10 to 13, wherein the acceleration is performed by an acceleration chamber. 15. The method according to any one of clauses 10 to 14, wherein the electrons in the combined electron detection beam are dispersed by the energy level and the combined electron detection beam is accelerated to generate a monochromatic electron beam. 16. The method according to any one of clauses 1 to 15, wherein the detection output is associated with bright field detection or multi-beam detection. 17. The method according to any one of clauses 1 to 16, wherein the detection output is associated with a scanning electron microscope. 18. The method of any one of clauses 1 to 17, wherein the method further comprises: Use a scanning electron microscope to generate the combined electron inspection beam; and A multipole corrector is used to correct a spherical aberration associated with the combined electron detection beam. 19. The method of clause 18, wherein the detection yield is a function of correcting the spherical aberration, so that correcting the spherical aberration further improves the detection yield. 20. The method according to any one of clauses 1 to 19, wherein the plurality of pulses forming an electron beam includes at least 10 pulses forming an electron beam. 21. A method for increasing the throughput of testing, the method includes: Make an electron detection beam pass through a curved path; When the electron detection beam traverses the curved path, the energy level is used to disperse the electron detection beam, so that the electrons with higher energy in the electron detection beam follow a relatively short path, and the electron detection beam has a lower path. Energy electrons follow a relatively long path; and The electron detection beam is accelerated so that the electrons with the lower energy in the electron detection beam obtain more energy from the self-acceleration than the electrons with the higher energy in the electron detection beam, so as to reduce the comparison. An energy spread between low-energy electrons and the higher-energy electrons. The accelerated electron inspection beam is configured to inspect a substrate. 22. The method of clause 21, wherein the curved path includes a track curve. 23. A method for increasing the throughput of testing, the method includes: Use a scanning electron microscope to generate an electron detection beam; and Using a multi-pole corrector to correct a spherical aberration associated with the electron detection beam; The calibrated electron inspection beam is configured to inspect a substrate. 24. A computer program product comprising a non-transitory computer-readable medium with instructions recorded thereon, which, when executed by a computer, implement the method according to any one of items 1 to 23. 25. A detection system configured to increase detection output, the system includes: A plurality of emitters, which are configured to generate a corresponding plurality of pulses to form an electron beam; and An electron optical configuration configured to combine the plurality of pulse constituent electron beams into a combined electron detection beam, the combined electron detection beam having a greater brightness than each of the individual pulse constituent electron beams; The combined electron inspection beam is configured to inspect a substrate. 26. The system of clause 25, wherein the electro-optical configuration includes a deflection cavity. 27. The system of clause 25 or 26, which further comprises: a curved path configured to disperse the combined electron detection beam by energy level, so that the combined electron detection beam has a higher energy The electrons follow a relatively short path, and the electrons with lower energy in the combined electron detection beam follow a relatively long path; and An accelerator configured to accelerate the combined electron detection beam so that the electrons with the lower energy in the combined electron detection beam are higher than the electrons with the higher energy in the combined electron detection beam The electrons self-accelerate to obtain more energy, so as to reduce an energy spread between the lower-energy electrons and the higher-energy electrons. 28. Such as the system of item 27, wherein the curved path is a track curve. 29. Such as the system of Clause 28, wherein the orbital curve contains a plurality of magnetic deflectors. 30. The system of any one of clauses 27 to 29, wherein the accelerator includes an accelerating cavity. 31. The system of any one of clauses 25 to 30, which further includes a multi-pole corrector configured to correct a spherical aberration associated with the combined electron detection beam. 32. The system of clause 31, wherein the multi-pole corrector includes at least one transfer lens, at least one adapter lens, at least one alignment deflector, a beam tilt coil, a beam shift coil, and at least one image Dispersion compensator. 33. The system according to any one of clauses 25 to 32, wherein the pulses of electrons in different ones of the plurality of pulses constituting the electron beams are out of phase with each other, so that the combined electron detection beam is out of phase by one electron The combination of pulses is formed. 34. The system according to any one of clauses 25 to 33, wherein the plurality of transmitters includes a plurality of Schottky transmitters or cold field transmitters. 35. The system of any one of clauses 25 to 34, wherein the detection system is a scanning electron microscope. 36. A detection system configured to increase detection output, the system includes: A curved path configured to disperse a combined electron detection beam by energy levels, so that electrons with higher energy in the combined electron detection beam follow a relatively short path, and the combined electron detection beam The electrons with lower energy follow a relatively long path; and An accelerator configured to accelerate the combined electron detection beam so that the electrons with the lower energy in the combined electron detection beam are higher than the electrons with the higher energy in the combined electron detection beam The electrons self-accelerate to obtain more energy, so as to reduce an energy spread between the lower-energy electrons and the higher-energy electrons; The combined electron inspection beam is configured to inspect a substrate. 37. The system as in Clause 36, wherein the curved path is a track curve. 38. The system as in Clause 37, wherein the orbital curve includes a plurality of magnetic deflectors. 39. A scanning electron microscope inspection system configured to increase inspection output, the system includes: A multi-pole corrector configured to correct a spherical aberration associated with a combined electron detection beam, wherein the combined electron detection beam is configured for detection of a substrate. 40. The system of clause 39, wherein the multi-pole corrector includes at least one transfer lens, at least one adapter lens, at least one alignment deflector, a beam tilt coil, a beam shift coil, and at least one image Dispersion compensator. 41. A high-brightness electron source system, which includes: A plurality of emitters, which are configured to generate a corresponding plurality of pulses to form an electron beam; and An electron optical configuration configured to combine the plurality of pulse constituent electron beams into a combined electron detection beam, the combined electron detection beam having a greater brightness than each of the individual pulse constituent electron beams. 42. The system of clause 41, wherein the electro-optical configuration includes a deflection cavity. 43. The system of item 41 or 42, which further comprises: a curved path configured to disperse the combined electron detection beam by energy level, so that the combined electron detection beam has a higher energy The electrons follow a relatively short path, and the electrons with lower energy in the combined electron detection beam follow a relatively long path; and An accelerator configured to accelerate the combined electron detection beam so that the electrons with the lower energy in the combined electron detection beam are higher than the electrons with the higher energy in the combined electron detection beam The electrons self-accelerate to obtain more energy, so as to reduce an energy spread between the lower-energy electrons and the higher-energy electrons. 44. The system of item 43, wherein the curved path is a track curve. 45. The system of Clause 44, wherein the orbital curve contains a plurality of magnetic deflectors. 46. The system of any one of clauses 43 to 45, wherein the accelerator includes an accelerating cavity. 47. The system of any one of clauses 41 to 46, further comprising a multi-pole corrector configured to correct a spherical aberration associated with the combined electron detection beam. 48. The system of clause 47, wherein the multi-pole corrector includes at least one transfer lens, at least one adapter lens, at least one alignment deflector, a beam tilt coil, a beam shift coil, and at least one image Dispersion compensator. 49. The system according to any one of clauses 41 to 49, wherein the pulses of electrons in different ones of the plurality of pulses constituting the electron beams are out of phase with each other, so that the combined electron detection beam is out of phase by one electron The combination of pulses is formed. 50. The system according to any one of clauses 41 to 49, wherein the plurality of transmitters includes a plurality of Schottky transmitters or cold field transmitters. 51. A high-brightness electron source system, which includes: A plurality of emitters, which are configured to generate a corresponding plurality of pulses to form an electron beam; and A first radio frequency (RF) or microwave structure, which is configured to combine the plurality of pulses into electron beams into a combined electron beam aligned along a single optical axis, and the combined electron beams are smaller than the individual pulses Each of the beams has a greater brightness. 52. The system of clause 51, wherein the first RF or microwave structure includes a deflection cavity. 53. Such as the system of item 51 or 52, which further includes: A combination of electro-optical elements configured to reduce the energy spread of the electron beam. 54. The system of any one of clauses 51 to 53, wherein the combination of the electro-optical element includes a second RF or microwave structure and a third RF or microwave structure, the second RF or microwave structure and the third RF or The microwave structure is separated by the drift space in between, where: The second RF or microwave structure is configured to increase the energy spread of an incoming electron pulse by accelerating a front part of the pulse and decelerating a back part of the pulse; The drift space is configured to stretch the pulse in time; The third RF or microwave structure is configured to monochromatize the pulse by decelerating the front portion of the pulse and accelerating the back portion of the pulse; and The signals used to drive the first RF or microwave structure, the second RF or microwave structure, and the third RF or microwave structure are synchronized with each other. 55. The system of any one of clauses 51 to 54, wherein the signals used to drive the first RF or microwave structure, the second RF or microwave structure, and/or the third RF or microwave structure include The microwave signals with multiple high-order harmonics of the same microwave frequency linearize a normal sinusoidal electromagnetic field inside a specific RF or microwave structure over time, thus approaching a sawtooth distribution. 56. The system of any one of clauses 51 to 55, wherein the second RF or microwave structure and the third RF or microwave structure comprise resonant cavities. 57. The system of any one of clauses 51 to 53, wherein the combination of the electro-optical elements includes a curved path configured to disperse the combined electron beam by energy level so that the combined electron beam The electrons with higher energy in the electron beam follow a relatively shorter path, and the electrons with lower energy in the combined electron beam follow a relatively longer path; and An accelerator configured to accelerate the combined electron beam so that the electrons with the lower energy in the combined electron beam self-accelerate than the electrons with the higher energy in the combined electron beam More energy is obtained in order to reduce an energy spread between the lower energy electrons and the higher energy electrons. 58. The system of item 57, wherein the curved path is a track curve. 59. The system of item 58, wherein the orbital curve contains a plurality of magnetic deflectors. 60. The system of any one of clauses 51 to 59, further comprising a multi-pole corrector configured to correct a spherical aberration associated with the combined electron beam. 61. The system of clause 60, wherein the multi-pole corrector includes at least one transfer lens, at least one adapter lens, at least one alignment deflector, a beam tilt coil, a beam shift coil, and at least one image Dispersion compensator. 62. The system according to any one of clauses 51 to 61, wherein the pulses of electrons in different ones of the plurality of pulses constituting the electron beams are out of phase with each other, so that the combined electron beam is driven by the out-of-phase pulses of an electron The combination is formed. 63. The system according to any one of clauses 51 to 62, wherein the plurality of transmitters includes a plurality of Schottky transmitters or cold field transmitters. 64. A detection system configured to increase detection output, wherein the system includes a high-brightness, low-energy, pulsed electron source system such as any one of items 51 to 63. 65. A method for increasing the throughput of testing, the method includes: Generate multiple pulses to form an electron beam; Using a deflection cavity to combine the plurality of pulse component electron beams into a combined electron detection beam, the combined electron detection beam has a greater brightness than each of the individual component pulse electron beams; Dispersing the combined electron detection beam by the energy level, so that the electrons with higher energy in the combined electron detection beam guide the electrons with lower energy in the combined electron detection beam; and Reduce an energy spread between the lower energy electrons and the higher energy electrons; The combined electron inspection beam is configured to inspect a substrate. 66. The method of clause 65, wherein the detection yield is a function of the brightness of the combined electron detection beam, and wherein the greater brightness of the combined electron detection beam increases the detection yield. 67. The method of any one of clauses 65 to 66, wherein the combined electron detection beam is aligned along a single optical axis. 68. The method of any one of clauses 65 to 67, wherein generating the plurality of pulses to form an electron beam comprises: Emit a plurality of continuous electron beams; and Energy spread is generated in the individual beams of the plurality of continuous beams to generate pulses of electrons with similar energy in the individual beams to form the plurality of pulses to form an electron beam. 69. A method for reducing the energy spread of a pulsed electron beam by: increasing the energy spread of an incoming electron pulse by accelerating the front part of the pulse and decelerating the back part of the pulse ; Use a drift space to stretch the pulse in time; and monochromatize the pulse by decelerating the front part of the pulse and accelerating the back part of the pulse. 70. A computer program product comprising a non-transitory computer-readable medium with instructions recorded thereon, which, when executed by a computer, implement the method according to any one of items 65 to 69.

本文中所揭示之概念可模擬或在數學上模型化用於使子波長特徵成像之任何通用成像系統,且可尤其供能夠產生愈來愈短波長之新興成像技術使用。新興技術包括能夠藉由使用ArF雷射器來產生193nm波長且甚至能夠藉由使用氟雷射器來產生157nm波長之極紫外(EUV)、DUV微影。此外,EUV微影能夠藉由使用同步加速器或藉由用高能電子撞擊材料(固體或電漿)以產生在20nm至50nm範圍內之波長,以便產生在此範圍內之光子。The concepts disclosed herein can simulate or mathematically model any general imaging system for imaging sub-wavelength features, and can be used especially for emerging imaging technologies capable of generating shorter and shorter wavelengths. Emerging technologies include the ability to generate 193nm wavelength by using ArF lasers and even extreme ultraviolet (EUV) and DUV lithography with a wavelength of 157nm by using fluorine lasers. In addition, EUV lithography can generate a wavelength in the range of 20nm to 50nm by using a synchrotron or by striking a material (solid or plasma) with high-energy electrons in order to generate photons in this range.

儘管本文中所揭示之概念可用於在諸如矽晶圓之基板上之晶圓製造,但應理解,所揭示概念可供任何類型之製造系統(例如用於在除矽晶圓以外之基板上製造之製造系統)使用。此外,所揭示元件之組合及子組合可包含單獨實施例。舉例而言,本文中所描述之方法之單獨實施例可包括光束產生組件、光束合併組件、軌道彎道、加速組件、校正器組件及/或其他組件中之一或多者。作為另一實例,可在單一實施例中組合此等組件中之任何或所有。Although the concepts disclosed herein can be used for wafer manufacturing on substrates such as silicon wafers, it should be understood that the concepts disclosed can be used for any type of manufacturing system (for example, for manufacturing on substrates other than silicon wafers). The manufacturing system) is used. In addition, combinations and sub-combinations of the disclosed elements may include separate embodiments. For example, a separate embodiment of the method described herein may include one or more of a beam generating component, a beam combining component, a track curve, an acceleration component, a corrector component, and/or other components. As another example, any or all of these components can be combined in a single embodiment.

以上描述意欲為說明性而非限制性的。因此,對於熟習此項技術者將顯而易見的係,可在不脫離下文所闡明之申請專利範圍之範疇的情況下如所描述一般進行修改。The above description is intended to be illustrative and not restrictive. Therefore, the system that will be obvious to those familiar with the technology can be modified as described without departing from the scope of the patent application explained below.

1:脈衝組成電子束 2:脈衝組成電子束 3:脈衝組成電子束 4:脈衝組成電子束 5:脈衝組成電子束 6:脈衝組成電子束 7:脈衝組成電子束 8:脈衝組成電子束 9:脈衝組成電子束 10:脈衝組成電子束 10A:微影投影設備 11:脈衝組成電子束 12A:輻射源/源 14A:光學器件 16Aa:光學器件 16Ab:光學器件 16Ac:透射光學器件 18A:圖案化器件 20A:可調整濾光器或孔徑 21:輻射光束 22:琢面化場鏡面器件 22A:基板平面 24:琢面化光瞳鏡面器件 26:經圖案化光束 28:反射元件 30:反射元件 50:電子束檢測設備 52:初級電子束/電子束 54:電子源 56:聚光器透鏡 58:光束偏轉器 60:E×B偏轉器/偏轉器 62:物鏡 70:基板 72:次級電子偵測器 74:類比/數位轉換器 76:影像處理系統 78:記憶體 80:處理單元 81:帶電粒子束產生器 82:儲存媒體 83:探針形成物鏡模組 84:顯示器件 85:次級帶電粒子偵測器模組 86:影像形成模組 87:監視模組 88:帶電粒子束偏轉模組 89:樣品載物台 90:樣品 91:初級帶電粒子束 92:帶電粒子束探針 93:次級帶電粒子 94:次級帶電粒子偵測信號 99:聚光器透鏡模組 210:EUV輻射發射電漿/熱電漿/輻射發射電漿/高度離子化電漿 211:源腔室 212:收集器腔室 220:圍封結構 221:開口 230:污染物截留器 240:光柵濾光片 251:上游輻射收集器側 252:下游輻射收集器側 253:掠入射反射器 254:掠入射反射器 255:掠入射反射器 400:方法 402:操作/產生 403:操作/合併 404:操作/分散 406:操作/加速 408:操作/校正 410:操作/進行 500:肖特基發射器/發射器 502:電子源針 504:抑制器 506:提取器 508:聚焦陽極 510:能量 512:尖端 600:聚束器腔 602:電子源 604:加速器 606:第一諧振腔/腔 607:輸入 608:聚束器 610:第二諧振腔/腔/第二腔 611:輸出 612:捕獲器 614:收集器 620:回饋路徑 650:聚束(或脈衝)電子之群組 700:合併之電子檢測束 701:單一光軸 702:脈衝 704:脈衝 706:脈衝 708:脈衝 710:脈衝 712:脈衝 714:脈衝 716:脈衝 718:脈衝 720:脈衝 722:脈衝 724:脈衝 726:脈衝 750:偏轉腔 752:間隔 800:部分 802:彎曲路徑 850:電子 852:相對較短路徑 854:電子 856:相對較長路徑 858:電子 860:其他路徑 868:加速腔 870:縱向分離/單色電子聚束 900:聚光器透鏡 901:多極校正器 902:光束傾斜線圈 904:光束移位線圈 906:轉接器透鏡 908:六極元件 910:六極元件 912:轉印透鏡 914:轉印透鏡 916:轉印透鏡 918:轉印透鏡 920:對準偏轉器 922:對準偏轉器 924:對準偏轉器 926:對準偏轉器 928:對準偏轉器 930:像散補償器 932:像散補償器 940:試樣平面 942:光束 944:軸向線 946:場線 1050:樣品 1052:多束檢測系統 1054:源 1056:源倍增器 1058:第一投影系統 1060:第二投影系統 1062:偵測器 1101:縱向擴束器 1100:腔 1102:腔 1104:光束 1106:漂移空間 1108:圖表 1109:脈寬 1110:圖表 1111:能量散佈 1112:圖表 1114:圖表 1116:圖表 e- :電子 t:時間 AD:調整構件 B:光束/輻射光束 BD:光束遞送系統 BS:匯流排 C:目標部分 CC:游標控制件 CI:通信介面 CO:聚光器/輻射收集器/收集器/收集器光學器件 CS:電腦系統 DS:顯示器 E:能量 HC:主電腦 ID:輸入器件 IF:干涉式量測構件/虛擬源點/中間焦點 IL:照明系統/照明光學器件單元 IN:積光器 INT:網際網路 L:長度 LA:雷射器 LAN:區域網路 LPA:微影投影設備 M1:圖案化器件對準標記 M2:圖案化器件對準標記 MA:圖案化器件 MM:主記憶體 MT:第一物件台/圖案化器件台/物件台/支撐結構 NDL:網路鏈路 O:線/光軸 P1:基板對準標記 P2:基板對準標記 PL:透鏡 PM:第一定位器 PRO:處理器 PS:投影系統/物品 PS1:位置感測器 PS2:位置感測器 PW:第二定位器 SD:儲存器件 SO:輻射源 ST:基板台 W:基板 WT:第二物件台/物件台 X:方向 Y:方向 Z:方向1: Pulse composition electron beam 2: Pulse composition electron beam 3: Pulse composition electron beam 4: Pulse composition electron beam 5: Pulse composition electron beam 6: Pulse composition electron beam 7: Pulse composition electron beam 8: Pulse composition electron beam 9: Pulse composition electron beam 10: pulse composition electron beam 10A: lithographic projection equipment 11: pulse composition electron beam 12A: radiation source/source 14A: optical device 16Aa: optical device 16Ab: optical device 16Ac: transmission optics 18A: patterned device 20A: Adjustable filter or aperture 21: Radiation beam 22: Faceted field mirror device 22A: Substrate plane 24: Faceted pupil mirror device 26: Patterned beam 28: Reflective element 30: Reflective element 50: Electron beam inspection equipment 52: primary electron beam/electron beam 54: electron source 56: condenser lens 58: beam deflector 60: E×B deflector/deflector 62: objective lens 70: substrate 72: secondary electron detection 74: analog/digital converter 76: image processing system 78: memory 80: processing unit 81: charged particle beam generator 82: storage medium 83: probe formation objective lens module 84: display device 85: secondary charged particles Detector module 86: Image forming module 87: Monitoring module 88: Charged particle beam deflection module 89: Sample stage 90: Sample 91: Primary charged particle beam 92: Charged particle beam probe 93: Secondary Charged particles 94: secondary charged particle detection signal 99: condenser lens module 210: EUV radiation emission plasma/thermoplasma/radiation emission plasma/highly ionized plasma 211: source chamber 212: collector chamber Chamber 220: enclosure structure 221: opening 230: pollutant trap 240: grating filter 251: upstream radiation collector side 252: downstream radiation collector side 253: grazing incidence reflector 254: grazing incidence reflector 255: grazing Incident reflector 400: Method 402: Operate/Generate 403: Operate/Merge 404: Operate/Scatter 406: Operate/Accelerate 408: Operate/Correction 410: Operate/Proceed 500: Schottky emitter/Emitter 502: Electron source Needle 504: suppressor 506: extractor 508: focusing anode 510: energy 512: tip 600: buncher cavity 602: electron source 604: accelerator 606: first cavity/cavity 607: input 608: buncher 610: Second cavity/cavity/second cavity 611: output 612: trap 614: collector 620: feedback path 650: bunched (or pulsed) electron group 700: combined electron detection beam 701: single optical axis 702 : Pulse 704: Pulse 706: Pulse 708: Pulse 710: Pulse 712: Pulse 714: Pulse 716: Pulse 718: Pulse 720: Pulse 722: Pulse 724: Pulse 726: Pulse 750: Deflection Cavity 752: Interval 800: Part 802: Curved path 850: electron 852: relatively short path 854: electron 856: Relatively long path 858: electron 860: other path 868: acceleration cavity 870: longitudinal separation/monochromatic electron beaming 900: condenser lens 901: multi-pole corrector 902: beam tilt coil 904: beam shift coil 906: Adapter lens 908: hexapole element 910: hexapole element 912: transfer lens 914: transfer lens 916: transfer lens 918: transfer lens 920: alignment deflector 922: alignment deflector 924: alignment Deflector 926: Alignment Deflector 928: Alignment Deflector 930: Astigmatism Compensator 932: Astigmatism Compensator 940: Specimen Plane 942: Beam 944: Axial Line 946: Field Line 1050: Sample 1052: Multiple Beams Detection system 1054: source 1056: source multiplier 1058: first projection system 1060: second projection system 1062: detector 1101: longitudinal beam expander 1100: cavity 1102: cavity 1104: beam 1106: drift space 1108: chart 1109 : 1110 width: 1111 chart: 1112 energy spread: chart 1114: 1116 chart: chart e -: electronic t: time AD: adjusting member B: light / radiation beam BD: beam delivery system BS: bus C: CC target portion : Cursor control unit CI: communication interface CO: condenser/radiation collector/collector/collector optics CS: computer system DS: display E: energy HC: main computer ID: input device IF: interferometric measurement component /Virtual Source Point/Intermediate Focus IL: Illumination System/Illumination Optics Unit IN: Integrator INT: Internet L: Length LA: Laser LAN: Local Area Network LPA: Lithography Projection Equipment M1: Patterning Device Alignment mark M2: patterned device alignment mark MA: patterned device MM: main memory MT: first object table/patterned device table/object table/support structure NDL: network link O: line/optical axis P1: substrate alignment mark P2: substrate alignment mark PL: lens PM: first locator PRO: processor PS: projection system/item PS1: position sensor PS2: position sensor PW: second locator SD : Storage device SO: Radiation source ST: Substrate table W: Substrate WT: Second object table/Object table X: Direction Y: Direction Z: Direction

併入於本說明書中且構成本說明書之一部分的隨附圖式說明一或多個實施例且連同本說明書解釋此等實施例。現將參考隨附示意性圖式而僅藉助於實例來描述本發明之實施例,在該等圖式中,對應元件符號指示對應部分,且在該等圖式中:The accompanying drawings incorporated in this specification and forming a part of this specification illustrate one or more embodiments and explain these embodiments together with this specification. The embodiments of the present invention will now be described with reference to the accompanying schematic drawings only by means of examples. In these drawings, corresponding component symbols indicate corresponding parts, and in these drawings:

圖1說明根據一實施例之微影投影設備之各種子系統之方塊圖。Fig. 1 illustrates a block diagram of various subsystems of a lithographic projection apparatus according to an embodiment.

圖2示意性地描繪根據一實施例之電子束檢測設備之實施例。Fig. 2 schematically depicts an embodiment of an electron beam inspection device according to an embodiment.

圖3示意性地說明根據一實施例之檢測設備之另一實施例。Fig. 3 schematically illustrates another embodiment of a detection device according to an embodiment.

圖4說明根據一實施例之用於提高檢測產出量之實例方法。FIG. 4 illustrates an example method for improving the detection throughput according to an embodiment.

圖5說明根據一實施例之肖特基發射器之實例。應注意,當使用聚束器腔(本文中所描述)時,可例如使用連續發射肖特基發射器。Figure 5 illustrates an example of a Schottky transmitter according to an embodiment. It should be noted that when a buncher cavity (described herein) is used, a continuous firing Schottky transmitter can be used, for example.

圖6說明根據一實施例之聚束器腔之實例。Figure 6 illustrates an example of a buncher cavity according to an embodiment.

圖7說明根據一實施例之將脈衝組成電子束合併成合併之電子檢測束。FIG. 7 illustrates the combination of pulsed component electron beams into a combined electron detection beam according to an embodiment.

圖8說明根據一實施例之藉由能量位準分散合併之電子檢測束之部分。FIG. 8 illustrates a portion of an electron detection beam combined by energy level dispersion and integration according to an embodiment.

圖9說明根據一實施例之多極校正器之實例。Fig. 9 illustrates an example of a multi-pole corrector according to an embodiment.

圖10說明根據一實施例之用合併之電子檢測束進行檢測。Figure 10 illustrates detection with a combined electron detection beam according to an embodiment.

圖11說明根據一實施例之使用微波腔的縱向擴束器。Figure 11 illustrates a longitudinal beam expander using a microwave cavity according to an embodiment.

圖12為根據一實施例之實例電腦系統之方塊圖。FIG. 12 is a block diagram of an example computer system according to an embodiment.

圖13為根據一實施例之微影投影設備之示意圖。Fig. 13 is a schematic diagram of a lithography projection device according to an embodiment.

圖14為根據一實施例之另一微影投影設備之示意圖。Fig. 14 is a schematic diagram of another lithography projection device according to an embodiment.

圖15為根據一實施例之微影投影設備之詳細視圖。Fig. 15 is a detailed view of a lithography projection device according to an embodiment.

圖16為根據一實施例之微影投影設備之源收集器模組之詳細視圖。FIG. 16 is a detailed view of the source collector module of the lithography projection device according to an embodiment.

400:方法 400: method

402:操作/產生 402: Operation/Generation

403:操作/合併 403: Operation/Merge

404:操作/分散 404: Operation/Scatter

406:操作/加速 406: Operation/Acceleration

408:操作/校正 408: Operation/Calibration

410:操作/進行 410: Operation/Proceed

Claims (15)

一種高亮度電子源系統,該系統包含: 複數個發射器,其經組態以產生一對應複數個脈衝組成電子束;及 一第一射頻(RF)或微波結構,其經組態以將該複數個脈衝組成電子束合併成沿一單一光軸對準之一合併之電子束,該合併之電子束比個別脈衝組成電子束中之每一者具有一更大亮度。A high-brightness electron source system, which includes: A plurality of emitters, which are configured to generate a corresponding plurality of pulses to form an electron beam; and A first radio frequency (RF) or microwave structure, which is configured to combine the plurality of pulses into electron beams into a combined electron beam aligned along a single optical axis, and the combined electron beams are smaller than the individual pulses Each of the beams has a greater brightness. 如請求項1之系統,其中該第一RF或微波結構包含一偏轉腔。The system of claim 1, wherein the first RF or microwave structure includes a deflection cavity. 如請求項1之系統,其進一步包含: 一電子光學元件之組合,其經組態以減小該電子束之能量散佈。Such as the system of claim 1, which further includes: A combination of electro-optical elements configured to reduce the energy spread of the electron beam. 如請求項3之系統,其中該電子光學元件之組合包含第二RF或微波結構及第三RF或微波結構,該第二RF或微波結構及該第三RF或微波結構藉由其間的一漂移空間分離,其中: 該第二RF或微波結構經組態以藉由使該脈衝之一前部加速且使該脈衝之一後部減速來增加一傳入電子脈衝之一能量散佈; 該漂移空間經組態以按時間拉伸該脈衝; 該第三RF或微波結構經組態以藉由使該脈衝之該前部減速且使該脈衝之該後部加速來使該脈衝單色化;且 用於驅動該第一RF或微波結構、該第二RF或微波結構及該第三RF或微波結構之信號彼此同步。Such as the system of claim 3, wherein the combination of the electro-optical element includes a second RF or microwave structure and a third RF or microwave structure, and the second RF or microwave structure and the third RF or microwave structure are caused by a drift therebetween Space separation, where: The second RF or microwave structure is configured to increase the energy spread of an incoming electron pulse by accelerating a front part of the pulse and decelerating a back part of the pulse; The drift space is configured to stretch the pulse in time; The third RF or microwave structure is configured to monochromatize the pulse by decelerating the front portion of the pulse and accelerating the back portion of the pulse; and The signals used to drive the first RF or microwave structure, the second RF or microwave structure, and the third RF or microwave structure are synchronized with each other. 如請求項4之系統,其中用於驅動該第一RF或微波結構、該第二RF或微波結構及/或該第三RF或微波結構之該等信號包含具有相同微波頻率之多個高階諧波的微波信號,使得一個別RF或微波結構內部之一正常正弦電磁場隨時間線性化,從而接近一鋸齒分佈。Such as the system of claim 4, wherein the signals used to drive the first RF or microwave structure, the second RF or microwave structure, and/or the third RF or microwave structure include multiple high-order harmonics with the same microwave frequency The microwave signal of the wave linearizes a normal sinusoidal electromagnetic field inside a separate RF or microwave structure over time, thus approaching a sawtooth distribution. 如請求項4之系統,其中該第二RF或微波結構及該第三RF或微波結構包含諧振腔。The system of claim 4, wherein the second RF or microwave structure and the third RF or microwave structure include resonant cavities. 如請求項3之系統,其中該電子光學元件之組合包含:一彎曲路徑,其經組態以藉由能量位準分散該合併之電子束,使得該合併之電子束中具有較高能量的電子遵循一相對較短路徑,且該合併之電子束中具有較低能量的電子遵循一相對較長路徑;及 一加速器,其經組態以使該合併之電子束加速,使得該合併之電子束中具有該較低能量的該等電子比該合併之電子束中具有該較高能量的該等電子自加速獲得更多能量,以便減小該等較低能量電子與該等較高能量電子之間的一能量散佈。Such as the system of claim 3, wherein the combination of the electro-optical elements includes: a curved path configured to disperse the combined electron beam by the energy level, so that the combined electron beam has higher energy electrons Follow a relatively short path, and the electrons with lower energy in the combined electron beam follow a relatively long path; and An accelerator configured to accelerate the combined electron beam so that the electrons with the lower energy in the combined electron beam self-accelerate than the electrons with the higher energy in the combined electron beam More energy is obtained in order to reduce an energy spread between the lower energy electrons and the higher energy electrons. 如請求項7之系統,其中該彎曲路徑為一軌道彎道。Such as the system of claim 7, wherein the curved path is a track curve. 如請求項8之系統,其中該軌道彎道包含複數個磁偏轉器。Such as the system of claim 8, wherein the track curve includes a plurality of magnetic deflectors. 如請求項1之系統,其進一步包含一多極校正器,該多極校正器經組態以校正與該合併之電子束相關聯之一球面像差。Such as the system of claim 1, further comprising a multi-pole corrector configured to correct a spherical aberration associated with the combined electron beam. 如請求項10之系統,其中該多極校正器包含至少一個轉印透鏡、至少一個轉接器透鏡、至少一個對準偏轉器、一光束傾斜線圈、一光束移位線圈及至少一個像散補償器。The system of claim 10, wherein the multi-pole corrector includes at least one transfer lens, at least one adapter lens, at least one alignment deflector, a beam tilt coil, a beam shift coil, and at least one astigmatism compensation Device. 如請求項1之系統,其中該複數個脈衝組成電子束中之不同者中之電子之脈衝彼此異相,使得該合併之電子束藉由一電子之該等異相脈衝之組合形成。Such as the system of claim 1, wherein the pulses of electrons in different ones of the plurality of pulses constituting the electron beams are out of phase with each other, so that the combined electron beam is formed by a combination of the out-of-phase pulses of an electron. 如請求項1之系統,其中該複數個發射器包含複數個肖特基(Schottky)發射器或冷場發射器。Such as the system of claim 1, wherein the plurality of transmitters include a plurality of Schottky transmitters or cold field transmitters. 一種經組態以提高檢測產出量之檢測系統,其中該系統包含如請求項1之高亮度電子源系統。A detection system configured to increase detection output, wherein the system includes a high-brightness electron source system as in claim 1. 一種用於提高檢測產出量之方法,該方法包含: 產生複數個脈衝組成電子束; 用一偏轉腔將該複數個脈衝組成電子束合併成一合併之電子檢測束,該合併之電子檢測束比個別組成脈衝電子束中之每一者具有一更大亮度; 藉由能量位準分散該合併之電子檢測束,使得該合併之電子檢測束中具有較高能量的電子導引該合併之電子檢測束中具有較低能量的電子;及 減小該等較低能量電子與該等較高能量電子之間的一能量散佈; 其中該合併之電子檢測束經組態以用於檢測一基板。A method for increasing the throughput of detection, the method includes: Generate multiple pulses to form an electron beam; Using a deflection cavity to combine the plurality of pulse component electron beams into a combined electron detection beam, the combined electron detection beam has a greater brightness than each of the individual component pulse electron beams; Dispersing the combined electron detection beam by the energy level, so that the electrons with higher energy in the combined electron detection beam guide the electrons with lower energy in the combined electron detection beam; and Reduce an energy spread between the lower energy electrons and the higher energy electrons; The combined electron inspection beam is configured to inspect a substrate.
TW109147023A 2020-01-07 2020-12-31 High brightness low energy spread pulsed electron source TW202143277A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
EP20150403.2A EP3848953A1 (en) 2020-01-07 2020-01-07 High brightness electron source
EP20150403.2 2020-01-07
EP20212120 2020-12-07
EP20212120.8 2020-12-07

Publications (1)

Publication Number Publication Date
TW202143277A true TW202143277A (en) 2021-11-16

Family

ID=74068278

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109147023A TW202143277A (en) 2020-01-07 2020-12-31 High brightness low energy spread pulsed electron source

Country Status (2)

Country Link
TW (1) TW202143277A (en)
WO (1) WO2021140020A2 (en)

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
JP4075966B2 (en) 1996-03-06 2008-04-16 エーエスエムエル ネザーランズ ビー.ブイ. Differential interferometer system and lithographic step-and-scan apparatus comprising this system
EP1920369A2 (en) 2005-08-08 2008-05-14 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US7882480B2 (en) 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
US7707538B2 (en) 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US20090157630A1 (en) 2007-10-26 2009-06-18 Max Yuan Method of extracting data and recommending and generating visual displays
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.

Also Published As

Publication number Publication date
WO2021140020A2 (en) 2021-07-15

Similar Documents

Publication Publication Date Title
TWI698723B (en) Machine learning based inverse optical proximity correction and process model calibration
TWI797362B (en) Method for determining an etch profile of a layer of a wafer for a simulation system
TWI596422B (en) Computer-implemented method for improving a lithographic process and related computer program product
TWI791357B (en) Method for selecting data associated with patterning process and related non-transitory computer readable medium
TWI718771B (en) Methods, computer program product, and systems for reducing variability of an error associated with a structure on a wafer
KR102294366B1 (en) Methods for Defect Verification
TWI545392B (en) Compupter-implemented method for simulating a scattered radiation field of a patterning device and related computer program product
TW202143277A (en) High brightness low energy spread pulsed electron source
EP3848953A1 (en) High brightness electron source
KR20190117709A (en) Methods of determining scattering of radiation by finite thickness structures on a patterning device
TWI661264B (en) Methods of tuning process models
TWI808567B (en) Methods and apparatus for controlling electron density distributions
EP4148499A1 (en) Patterning device defect detection systems and methods
TW202326791A (en) Patterning parameter determination using a charged particle inspection system
WO2024088666A1 (en) Simulation-assisted methods and software to guide selection of patterns or gauges for lithographic processes
TW202141197A (en) Lithographic apparatus and method for drift compensation
KR20190100350A (en) Guidance and inspection methods of process models in the manufacturing process