TW202141797A - Semiconductor device, integrated chip and method of manufacturing the same - Google Patents

Semiconductor device, integrated chip and method of manufacturing the same Download PDF

Info

Publication number
TW202141797A
TW202141797A TW109142188A TW109142188A TW202141797A TW 202141797 A TW202141797 A TW 202141797A TW 109142188 A TW109142188 A TW 109142188A TW 109142188 A TW109142188 A TW 109142188A TW 202141797 A TW202141797 A TW 202141797A
Authority
TW
Taiwan
Prior art keywords
layer
dopant
diffusion barrier
drain
epitaxial source
Prior art date
Application number
TW109142188A
Other languages
Chinese (zh)
Other versions
TWI764399B (en
Inventor
陳奎銘
陳祈銘
喻中一
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/064,811 external-priority patent/US11522049B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202141797A publication Critical patent/TW202141797A/en
Application granted granted Critical
Publication of TWI764399B publication Critical patent/TWI764399B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

Various embodiments of the present disclosure are directed towards a semiconductor device including a gate electrode over a semiconductor substrate. An epitaxial source/drain layer is disposed on the semiconductor substrate and is laterally adjacent to the gate electrode. The epitaxial source/drain layer comprises a first dopant. A diffusion barrier layer is between the epitaxial source/drain layer and the semiconductor substrate. The diffusion barrier layer comprises a barrier dopant that is different from the first dopant.

Description

用於源極結構及汲極結構以增強電晶體效能的擴散障壁層Diffusion barrier layer for source structure and drain structure to enhance transistor performance

現代積體晶片使用廣泛範圍的裝置來達成不同的功能。一般而言,積體晶片包括主動裝置及被動裝置。主動裝置包括電晶體,例如金屬氧化物半導體場效電晶體(metal oxide semiconductor field effect transistor,MOSFET)。基於MOSFET裝置的開關速度,MOSFET裝置被用於例如汽車電氣系統、電源、及電源管理應用等應用中。開關速度至少部分是基於MOSFET裝置的汲極-源極接通電阻(drain-source on resistance,RDS(on))。RDS(on)代表「汲極-源極接通電阻」或者當MOSFET「接通」時,MOSFET中的汲極與源極之間的總電阻。RDS(on)與電流損耗相關聯且是MOSFET的最大額定電流(maximum current rating)的基礎。Modern integrated chips use a wide range of devices to achieve different functions. Generally speaking, integrated chips include active devices and passive devices. The active device includes a transistor, such as a metal oxide semiconductor field effect transistor (MOSFET). Based on the switching speed of MOSFET devices, MOSFET devices are used in applications such as automotive electrical systems, power supplies, and power management applications. The switching speed is based at least in part on the drain-source on resistance (RDS(on)) of the MOSFET device. RDS(on) stands for "drain-source on-resistance" or the total resistance between the drain and source in the MOSFET when the MOSFET is "on". RDS(on) is related to current loss and is the basis of the maximum current rating of the MOSFET.

本揭露提供用於實施本揭露的不同特徵的許多不同實施例或實例。以下闡述組件及佈置的具體實例以簡化本揭露。當然,該些僅為實例而非旨在進行限制。舉例而言,在以下說明中,在第二特徵之上或第二特徵上形成第一特徵可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且亦可包括其中第一特徵與第二特徵之間可形成附加特徵進而使得第一特徵與第二特徵可不直接接觸的實施例。另外,本揭露在各種實例中可重複使用參考編號及/或字母。此種重複使用是為了簡明及清晰起見,且自身並不表示所論述的各個實施例及/或配置之間的關係。The present disclosure provides many different embodiments or examples for implementing different features of the present disclosure. Specific examples of components and arrangements are described below to simplify the disclosure. Of course, these are only examples and not intended to be limiting. For example, in the following description, forming the first feature on or on the second feature may include an embodiment in which the first feature and the second feature are formed in direct contact, and may also include the first feature and the second feature. An embodiment in which an additional feature can be formed between the feature and the second feature so that the first feature and the second feature may not directly contact. In addition, the present disclosure may reuse reference numbers and/or letters in various examples. Such repeated use is for the sake of conciseness and clarity, and does not itself represent the relationship between the various embodiments and/or configurations discussed.

此外,為易於說明,本文中可能使用例如「在…之下(beneath)」、「在…下方(below)」、「下部的(lower)」、「在…上方(above)」、「上部的(upper)」等空間相對性用語來闡述圖中所示一個元件或特徵與另一(其他)元件或特徵的關係。除圖中所繪示的定向外,所述空間相對性用語旨在涵蓋裝置在使用或操作中的不同定向。設備可具有其他定向(旋轉90度或處於其他定向),且本文中所使用的空間相對性描述語可同樣相應地進行解釋。In addition, for ease of explanation, this article may use, for example, "beneath", "below", "lower", "above", and "upper (Upper)" and other spatially relative terms are used to describe the relationship between one element or feature shown in the figure and another (other) element or feature. In addition to the orientations depicted in the figures, the terms of spatial relativity are intended to cover different orientations of the device in use or operation. The device can have other orientations (rotated by 90 degrees or in other orientations), and the spatial relativity descriptors used herein can also be interpreted accordingly.

在過去的二十年裡,電晶體(例如金屬氧化物半導體場效電晶體(MOSFET))已使用源極結構及汲極結構,所述源極結構及汲極結構通常是藉由在閘極結構的相對兩側上在基底中植入摻雜劑而形成。近年來,具有磊晶源極結構及磊晶汲極結構的電晶體因其改善的效能及尺寸已開始得到廣泛應用。一種電晶體包括:閘極結構,位於基底的阱區之上;以及磊晶源極/汲極層,在閘極結構的相對兩側設置於基底內/基底之上。磊晶源極/汲極層各自包含具有第一摻雜類型(例如,N型)的第一摻雜劑。此外,基底的阱區具有與第一摻雜類型相反的第二摻雜類型(例如,P型)。閘極電極包括上覆於閘極介電層上的閘極電極。當施加至閘極電極的電壓等於或大於電晶體的閥值電壓時,電晶體接通。當電晶體接通時,施加至閘極電極的電壓使得在磊晶源極/汲極層之間在阱區內形成可選擇性形成的通道。可選擇性形成的通道包括可在磊晶源極/汲極層之間流動的移動(mobile)電荷載流子。為了提高開關速度(switching speed)並增大與電晶體相關聯的最大額定電流,可降低RDS(on)。存在影響RDS(on)的許多因素,例如閘極結構之下的通道區域、磊晶源極/汲極層中的擴散電阻、磊晶源極/汲極層的電阻、以及上覆的導電接觸件與磊晶源極/汲極層之間的接觸電阻。In the past two decades, transistors (such as metal oxide semiconductor field-effect transistors (MOSFETs)) have used source and drain structures. The source and drain structures are usually used in the gate The structure is formed by implanting dopants in the substrate on opposite sides of the structure. In recent years, transistors with an epitaxial source structure and an epitaxial drain structure have begun to be widely used due to their improved performance and size. A transistor includes: a gate structure located on a well region of a substrate; and an epitaxial source/drain layer arranged in/on the substrate on opposite sides of the gate structure. The epitaxial source/drain layers each include a first dopant having a first doping type (for example, N-type). In addition, the well region of the substrate has a second doping type (for example, P type) that is opposite to the first doping type. The gate electrode includes a gate electrode overlying the gate dielectric layer. When the voltage applied to the gate electrode is equal to or greater than the threshold voltage of the transistor, the transistor is turned on. When the transistor is turned on, the voltage applied to the gate electrode causes a channel that can be selectively formed in the well region between the epitaxial source/drain layer. Channels that can be selectively formed include mobile charge carriers that can flow between the epitaxial source/drain layers. In order to increase the switching speed and increase the maximum rated current associated with the transistor, the RDS(on) can be reduced. There are many factors that affect RDS (on), such as the channel area under the gate structure, the diffusion resistance in the epitaxial source/drain layer, the resistance of the epitaxial source/drain layer, and the overlying conductive contact The contact resistance between the device and the epitaxial source/drain layer.

為了降低電晶體的RDS(on),磊晶源極/汲極層內的第一摻雜劑(例如,磷)的摻雜濃度相對高(例如,大於或等於1021 原子/立方釐米)。此可例如降低磊晶源極/汲極層的電阻且降低上覆的導電接觸件與磊晶源極/汲極層之間的接觸電阻。然而,隨著第一摻雜劑的摻雜濃度增加,第一摻雜劑擴散出磊晶源極/汲極層的可能性增加。因此,第一摻雜劑的相對高的摻雜濃度可能導致第一摻雜劑擴散至基底中。此會降低磊晶源極/汲極層中的第一摻雜劑的摻雜濃度,進而增加磊晶源極/汲極層的電阻且隨後增加電晶體的RDS(on)。此外,第一摻雜劑的擴散可使電晶體的閥值電壓偏移,此可降低各自包括磊晶源極/汲極層的電晶體的陣列兩端的閥值電壓的均勻性,進而降低電晶體的陣列的效能。In order to reduce the RDS(on) of the transistor, the doping concentration of the first dopant (for example, phosphorus) in the epitaxial source/drain layer is relatively high (for example, greater than or equal to 10 21 atoms/cm 3 ). This can, for example, reduce the resistance of the epitaxial source/drain layer and reduce the contact resistance between the overlying conductive contact and the epitaxial source/drain layer. However, as the doping concentration of the first dopant increases, the possibility that the first dopant diffuses out of the epitaxial source/drain layer increases. Therefore, the relatively high doping concentration of the first dopant may cause the first dopant to diffuse into the substrate. This will reduce the doping concentration of the first dopant in the epitaxial source/drain layer, thereby increasing the resistance of the epitaxial source/drain layer and subsequently increasing the RDS(on) of the transistor. In addition, the diffusion of the first dopant can shift the threshold voltage of the transistor, which can reduce the uniformity of the threshold voltage across the array of transistors each including the epitaxial source/drain layer, thereby reducing the electrical The effectiveness of the array of crystals.

因此,本揭露是有關於一種電晶體裝置,所述電晶體裝置包括設置於磊晶源極/汲極層與半導體基底之間的擴散障壁層。舉例而言,電晶體裝置包括上覆於半導體基底的阱區上的閘極結構。在閘極結構的相對兩側上在半導體基底內/半導體基底之上設置有磊晶源極/汲極層。磊晶源極/汲極層各自包含具有第一摻雜類型(例如,N型)的第一摻雜劑(例如,磷、砷等),其中第一摻雜劑的摻雜濃度相對高(例如,大於或等於1*1021 原子/立方釐米)。此外,擴散障壁層直接設置於每一磊晶源極/汲極層之下,使得擴散障壁層將磊晶源極/汲極層與半導體基底隔開。擴散障壁層各自包含被配置成減輕及/或阻止第一摻雜劑自磊晶源極/汲極層擴散至半導體基底(例如,至阱區)的障壁摻雜劑(例如,碳)。藉由減輕及/或阻止第一摻雜劑的擴散,可保持磊晶源極/汲極層的相對高的濃度,藉此降低磊晶源極/汲極層的電阻且降低電晶體裝置的RDS(on)。此外,電晶體裝置可為積體晶片的部分,所述積體晶片包括位於半導體基底之上/半導體基底內的電晶體的陣列,藉由減輕第一摻雜劑的擴散,可保持陣列兩端的閥值電壓的均勻性,藉此增強積體晶片的效能。Therefore, the present disclosure relates to a transistor device including a diffusion barrier layer disposed between the epitaxial source/drain layer and the semiconductor substrate. For example, the transistor device includes a gate structure overlying the well region of the semiconductor substrate. On opposite sides of the gate structure, an epitaxial source/drain layer is arranged in/on the semiconductor substrate. The epitaxial source/drain layers each include a first dopant (for example, phosphorus, arsenic, etc.) having a first doping type (for example, N-type), wherein the doping concentration of the first dopant is relatively high ( For example, greater than or equal to 1*10 21 atoms/cubic centimeter). In addition, the diffusion barrier layer is directly disposed under each epitaxial source/drain layer, so that the diffusion barrier layer separates the epitaxial source/drain layer from the semiconductor substrate. The diffusion barrier layers each include a barrier dopant (for example, carbon) configured to reduce and/or prevent diffusion of the first dopant from the epitaxial source/drain layer to the semiconductor substrate (for example, to the well region). By reducing and/or preventing the diffusion of the first dopant, the relatively high concentration of the epitaxial source/drain layer can be maintained, thereby reducing the resistance of the epitaxial source/drain layer and reducing the resistance of the transistor device RDS (on). In addition, the transistor device may be part of an integrated wafer that includes an array of transistors on/in the semiconductor substrate. By reducing the diffusion of the first dopant, the two ends of the array can be maintained The uniformity of the threshold voltage, thereby enhancing the performance of the integrated chip.

圖1示出包括第一電晶體110的積體晶片100的一些實施例的剖視圖,第一電晶體110具有第一對磊晶源極/汲極層116a與116b及直接位於第一對磊晶源極/汲極層116a至116b之下的第一對擴散障壁層114a與114b。1 shows a cross-sectional view of some embodiments of an integrated wafer 100 including a first transistor 110 having a first pair of epitaxy source/drain layers 116a and 116b and directly located on the first pair of epitaxy The first pair of diffusion barrier layers 114a and 114b under the source/drain layers 116a to 116b.

積體晶片100包括半導體基底102。半導體基底102具有設置於隔離結構104的側壁之間的第一阱區106。在一些實施例中,半導體基底102可為或可包括半導體晶圓(例如,矽晶圓)、絕緣體上矽(silicon-on-insulator,SOI)基底、本征(intrinsic)單晶矽、另一合適的基底、或者類似物。隔離結構104自半導體基底102的頂表面延伸至位於半導體基底102的頂表面下方的點。第一電晶體110包括閘極電極122、側壁間隔件結構120、閘極介電層124及上覆於半導體基底102的第一對源極/汲極結構112a與112b。閘極電極122上覆於第一阱區106,且閘極介電層124設置於閘極電極122與半導體基底102之間。側壁間隔件結構120在側向上環繞閘極電極122及閘極介電層124。此外,第一對源極/汲極結構112a至112b在閘極電極122的相對兩側間隔開。在一些實施例中,第一電晶體110可被配置成金屬氧化物半導體場效電晶體(MOSFET)、高壓電晶體、n通道金屬氧化物半導體(n-channel metal oxide semiconductor,nMOS)電晶體、平面金屬氧化物半導體(MOS)電晶體、鰭式場效電晶體(fin field-effect transistor,FinFET)、全環繞閘極FET(gate-all-around FET,GAAFET)、或者類似物。The integrated wafer 100 includes a semiconductor substrate 102. The semiconductor substrate 102 has a first well region 106 disposed between the sidewalls of the isolation structure 104. In some embodiments, the semiconductor substrate 102 may be or include a semiconductor wafer (for example, a silicon wafer), a silicon-on-insulator (SOI) substrate, an intrinsic single crystal silicon, another Suitable substrate, or similar. The isolation structure 104 extends from the top surface of the semiconductor substrate 102 to a point located below the top surface of the semiconductor substrate 102. The first transistor 110 includes a gate electrode 122, a sidewall spacer structure 120, a gate dielectric layer 124, and a first pair of source/drain structures 112a and 112b overlying the semiconductor substrate 102. The gate electrode 122 covers the first well region 106, and the gate dielectric layer 124 is disposed between the gate electrode 122 and the semiconductor substrate 102. The sidewall spacer structure 120 laterally surrounds the gate electrode 122 and the gate dielectric layer 124. In addition, the first pair of source/drain structures 112 a to 112 b are spaced apart on opposite sides of the gate electrode 122. In some embodiments, the first transistor 110 may be configured as a metal oxide semiconductor field effect transistor (MOSFET), a high voltage transistor, an n-channel metal oxide semiconductor (n-channel metal oxide semiconductor, nMOS) transistor , Planar metal oxide semiconductor (MOS) transistor, fin field-effect transistor (FinFET), gate-all-around FET (GAAFET), or similar.

層間介電(inter-level dielectric,ILD)層126上覆於半導體基底102及第一電晶體110。此外,在ILD層126內設置有多個導電接觸件128,且所述多個導電接觸件128上覆於閘極電極122及第一對源極/汲極結構112a至112b上。矽化物層118上覆於第一對源極/汲極結構112a至112b,使得矽化物層118在垂直方向上設置於第一對源極/汲極結構112a至112b與上覆的導電接觸件128之間。此外,源極/汲極結構112a至112b包括第一對磊晶源極/汲極層116a至116b及擴散障壁層114a至114b。擴散障壁層114a至114b在垂直方向上間隔在第一對磊晶源極/汲極層116a至116b與半導體基底102之間。An inter-level dielectric (ILD) layer 126 covers the semiconductor substrate 102 and the first transistor 110. In addition, a plurality of conductive contacts 128 are provided in the ILD layer 126, and the plurality of conductive contacts 128 cover the gate electrode 122 and the first pair of source/drain structures 112a to 112b. The silicide layer 118 is overlying the first pair of source/drain structures 112a to 112b, so that the silicide layer 118 is vertically disposed on the first pair of source/drain structures 112a to 112b and the overlying conductive contacts Between 128. In addition, the source/drain structures 112a to 112b include a first pair of epitaxial source/drain layers 116a to 116b and diffusion barrier layers 114a to 114b. The diffusion barrier layers 114a to 114b are vertically spaced between the first pair of epitaxial source/drain layers 116a to 116b and the semiconductor substrate 102.

第一對源極/汲極結構112a至112b包括可被配置成第一電晶體110的源極結構的第一源極/汲極結構112a以及可被配置成第一電晶體110的汲極結構的第二源極/汲極結構112b,或反之亦然。此外,第一對磊晶源極/汲極層116a至116b包括第一磊晶源極/汲極層116a及第二磊晶源極/汲極層116b。在實施例中,第一磊晶源極/汲極層116a可被配置成第一電晶體110的源極,且第二磊晶源極/汲極層116b可被配置成第一電晶體110的汲極,或反之亦然。另外,擴散障壁層114a至114b包括第一擴散障壁層114a及第二擴散障壁層114b。第一擴散障壁層114a設置於半導體基底102與第一磊晶源極/汲極層116a之間,且第二擴散障壁層114b設置於半導體基底102與第二磊晶源極/汲極層116b之間。The first pair of source/drain structures 112a to 112b includes a first source/drain structure 112a that can be configured as the source structure of the first transistor 110 and a drain structure that can be configured as the first transistor 110 Of the second source/drain structure 112b, or vice versa. In addition, the first pair of epitaxial source/drain layers 116a to 116b includes a first epitaxial source/drain layer 116a and a second epitaxial source/drain layer 116b. In an embodiment, the first epitaxial source/drain layer 116a may be configured as the source of the first transistor 110, and the second epitaxial source/drain layer 116b may be configured as the first transistor 110 The dip pole, or vice versa. In addition, the diffusion barrier layers 114a to 114b include a first diffusion barrier layer 114a and a second diffusion barrier layer 114b. The first diffusion barrier layer 114a is disposed between the semiconductor substrate 102 and the first epitaxial source/drain layer 116a, and the second diffusion barrier layer 114b is disposed between the semiconductor substrate 102 and the second epitaxial source/drain layer 116b between.

在一些實施例中,可在半導體基底102之上磊晶地生長擴散障壁層114a至114b,使得第一擴散障壁層114a及第二擴散障壁層114b可各自被稱為磊晶擴散障壁層。在第一電晶體110的操作期間,藉由向閘極電極122及第一對源極/汲極結構112a至112b施加合適的偏置條件,可在第一阱區106的通道區108內形成選擇性導電通道。在此種實施例中,電荷載流子可在第一對源極/汲極結構112a至112b之間在通道區108內流動。In some embodiments, the diffusion barrier layers 114a to 114b may be epitaxially grown on the semiconductor substrate 102, so that the first diffusion barrier layer 114a and the second diffusion barrier layer 114b may each be referred to as an epitaxial diffusion barrier layer. During the operation of the first transistor 110, by applying appropriate bias conditions to the gate electrode 122 and the first pair of source/drain structures 112a to 112b, the channel region 108 of the first well region 106 can be formed Selective conduction channel. In such an embodiment, charge carriers can flow in the channel region 108 between the first pair of source/drain structures 112a to 112b.

在一些實施例中,第一磊晶源極/汲極層116a及第二磊晶源極/汲極層116b各自包含具有第一摻雜類型(例如,N型)的第一摻雜劑且可具有介於約1019 至4*1021 原子/立方釐米之間的範圍內的摻雜濃度。在一些實施例中,第一阱區106具有第二摻雜類型(例如,P型)且可具有介於約1015 至1017 原子/立方釐米之間的範圍內的摻雜濃度。在各種實施例中,第一摻雜類型與第二摻雜類型相反。在又一些實施例中,擴散障壁層114a至114b各自包含具有第一摻雜類型(例如,N型)的第一摻雜劑且可具有介於約1019 至4*1021 原子/立方釐米之間的範圍內的第一摻雜劑的第一摻雜濃度。此外,擴散障壁層114a至114b各自包含障壁摻雜劑(例如,碳(C))且可具有介於約1019 至3*1021 原子/立方釐米之間的範圍內的障壁摻雜劑的第二摻雜濃度。在一些實施例中,障壁摻雜劑可被稱為擴散障壁物質。第一摻雜劑可例如為或包含磷、砷、另一種合適的N型摻雜劑、或前述材料的任意組合。障壁摻雜劑可例如為或包含碳(C),但其他障壁摻雜劑是適用的。因此,在一些實施例中,障壁摻雜劑與第一摻雜劑不同。In some embodiments, the first epitaxial source/drain layer 116a and the second epitaxial source/drain layer 116b each include a first dopant having a first doping type (for example, N-type) and It may have a doping concentration in the range between about 10 19 to 4*10 21 atoms/cm3. In some embodiments, the first well region 106 has a second doping type (for example, P-type) and may have a doping concentration in a range between about 10 15 to 10 17 atoms/cm 3. In various embodiments, the first doping type is opposite to the second doping type. In still other embodiments, the diffusion barrier layers 114a to 114b each include a first dopant having a first doping type (for example, N-type) and may have a range of about 10 19 to 4*10 21 atoms/cm 3 The range between the first dopant concentration of the first dopant. In addition, the diffusion barrier layers 114a to 114b each include a barrier dopant (for example, carbon (C)) and may have a barrier dopant in the range of about 10 19 to 3*10 21 atoms/cm 3 The second doping concentration. In some embodiments, the barrier dopant may be referred to as a diffusion barrier substance. The first dopant may, for example, be or include phosphorus, arsenic, another suitable N-type dopant, or any combination of the foregoing materials. The barrier dopant may, for example, be or contain carbon (C), but other barrier dopants are suitable. Therefore, in some embodiments, the barrier dopant is different from the first dopant.

為了降低第一對磊晶源極/汲極層116a至116b的電阻(例如,薄層電阻(sheet resistance)),第一磊晶源極/汲極層116a及第二磊晶源極/汲極層116b內的第一摻雜劑的摻雜濃度相對高(例如,大於約1*1021 原子/立方釐米)。隨著第一摻雜劑的摻雜濃度增加,第一摻雜劑擴散出第一對磊晶源極/汲極層116a至116b並擴散至半導體基底102(例如,至第一阱區106)的可能性增加。舉例而言,若第一摻雜劑包含磷且摻雜濃度相對高(例如,大於約1*1021 原子/立方釐米),則第一摻雜劑可能易於擴散出第一對磊晶源極/汲極層116a至116b。此外,障壁摻雜劑(例如,碳)被配置成減輕或阻止第一摻雜劑(例如,磷、砷等)的擴散。舉例而言,障壁摻雜劑可充當代替原子且取代擴散障壁層114a至114b的整個晶格中的矽原子,藉此減輕跨越擴散障壁層114a至114b及/或第一對磊晶源極/汲極層116a至116b的晶格的第一摻雜劑的擴散。因此,由於擴散障壁層114a至114b設置於第一對磊晶源極/汲極層116a至116b與半導體基底102之間且由於包含障壁摻雜劑,擴散障壁層114a至114b減輕第一摻雜劑自第一對磊晶源極/汲極層116a至116b至半導體基底102的擴散。此有利於保持第一對磊晶源極/汲極層116a至116b內的第一摻雜劑的相對高的摻雜濃度,進而保持第一對磊晶源極/汲極層116a至116b的降低的電阻(例如,降低的薄層電阻)。此外,減輕第一摻雜劑的擴散具有降低第一電晶體110的RDS(on)的效果。有利的是,較低的RDS(on)有利於第一電晶體110中的電流流動,藉此提高開關速度並增大第一電晶體110的最大額定電流。另外,減輕第一摻雜劑向半導體基底102的擴散會減輕及/或防止第一電晶體110的閥值電壓的偏移,藉此進一步增強第一電晶體110的效能。In order to reduce the resistance (for example, sheet resistance) of the first pair of epitaxial source/drain layers 116a to 116b, the first epitaxial source/drain layer 116a and the second epitaxial source/drain layer 116a The doping concentration of the first dopant in the pole layer 116b is relatively high (for example, greater than about 1*10 21 atoms/cm 3 ). As the doping concentration of the first dopant increases, the first dopant diffuses out of the first pair of epitaxial source/drain layers 116a to 116b and diffuses to the semiconductor substrate 102 (for example, to the first well region 106) The possibility of increased. For example, if the first dopant contains phosphorus and the doping concentration is relatively high (for example, greater than about 1*10 21 atoms/cm 3 ), the first dopant may easily diffuse out of the first pair of epitaxial source electrodes /Drain layers 116a to 116b. In addition, the barrier dopant (for example, carbon) is configured to reduce or prevent the diffusion of the first dopant (for example, phosphorus, arsenic, etc.). For example, the barrier dopant can act as substitute atoms and replace silicon atoms in the entire lattice of the diffusion barrier layers 114a to 114b, thereby reducing the crossing of the diffusion barrier layers 114a to 114b and/or the first pair of epitaxial source/ The diffusion of the first dopant of the lattice of the drain layers 116a to 116b. Therefore, since the diffusion barrier layers 114a to 114b are disposed between the first pair of epitaxial source/drain layers 116a to 116b and the semiconductor substrate 102 and contain barrier dopants, the diffusion barrier layers 114a to 114b reduce the first doping The agent diffuses from the first pair of epitaxial source/drain layers 116a to 116b to the semiconductor substrate 102. This is beneficial to maintain a relatively high doping concentration of the first dopant in the first pair of epitaxial source/drain layers 116a to 116b, thereby maintaining the first pair of epitaxial source/drain layers 116a to 116b. Reduced resistance (for example, reduced sheet resistance). In addition, reducing the diffusion of the first dopant has the effect of reducing the RDS(on) of the first transistor 110. Advantageously, a lower RDS(on) facilitates the flow of current in the first transistor 110, thereby increasing the switching speed and increasing the maximum rated current of the first transistor 110. In addition, reducing the diffusion of the first dopant into the semiconductor substrate 102 can reduce and/or prevent the deviation of the threshold voltage of the first transistor 110, thereby further enhancing the performance of the first transistor 110.

圖2A示出積體晶片200的一些實施例的剖視圖,積體晶片200包括在側向上鄰近第二電晶體208設置的第一電晶體110。FIG. 2A shows a cross-sectional view of some embodiments of an integrated wafer 200 that includes a first transistor 110 disposed laterally adjacent to a second transistor 208.

積體晶片200包括半導體基底102,半導體基底102具有在側向上鄰近P型金屬氧化物半導體(P-type metal oxide semiconductor,PMOS)區203的N型金屬氧化物半導體(N-type metal oxide semiconductor,NMOS)區201。半導體基底102包括第一半導體材料層202、絕緣層204、及第二半導體材料層206。在各種實施例中,半導體基底102是絕緣體上半導體(semiconductor-on-insulator,SOI)基底、部分耗盡的絕緣體上半導體(partially-depleted semiconductor-on-insulator,PDSOI)基底、完全耗盡的絕緣體上半導體(fully-depleted semiconductor-on-insulator,FDSOI)基底、或者另一種合適的半導體基底。第一半導體材料層202可例如為或包含晶體矽、單晶矽、摻雜矽、本征矽、一些其他矽材料、一些其他半導體材料、或前述材料的任意組合。此外,第一半導體材料層202可具有帶有[100]定向的面心立方(face-center-cubic,fcc)結構。在實施例中,第二半導體材料層206是或包含晶體矽、單晶矽、摻雜矽、本征矽、一些其他矽材料、一些其他半導體材料、或前述材料的任意組合。另外,絕緣層204可例如為或包含介電材料,例如二氧化矽、或者另一種合適的材料。The integrated wafer 200 includes a semiconductor substrate 102 having an N-type metal oxide semiconductor (P-type metal oxide semiconductor, PMOS) region 203 laterally adjacent to it. NMOS) area 201. The semiconductor substrate 102 includes a first semiconductor material layer 202, an insulating layer 204, and a second semiconductor material layer 206. In various embodiments, the semiconductor substrate 102 is a semiconductor-on-insulator (SOI) substrate, a partially-depleted semiconductor-on-insulator (PDSOI) substrate, or a fully-depleted insulator. Fully-depleted semiconductor-on-insulator (FDSOI) substrate, or another suitable semiconductor substrate. The first semiconductor material layer 202 can be, for example, or include crystalline silicon, single crystal silicon, doped silicon, intrinsic silicon, some other silicon materials, some other semiconductor materials, or any combination of the foregoing materials. In addition, the first semiconductor material layer 202 may have a face-center-cubic (fcc) structure with a [100] orientation. In an embodiment, the second semiconductor material layer 206 is or includes crystalline silicon, single crystal silicon, doped silicon, intrinsic silicon, some other silicon materials, some other semiconductor materials, or any combination of the foregoing materials. In addition, the insulating layer 204 may be, for example, or include a dielectric material, such as silicon dioxide, or another suitable material.

第一電晶體110設置於NMOS區201內且第二電晶體208設置於PMOS區203內。在一些實施例中,第一電晶體110被配置成NMOS電晶體且第二電晶體208被配置成PMOS電晶體。第一電晶體110及第二電晶體208分別包括閘極電極122、側壁間隔件結構120、及閘極介電層124。閘極電極122可例如為或包含多晶矽、摻雜多晶矽、金屬材料(例如鋁、銅、鈦、鉭、鎢、另一種合適的材料、或前述材料的任意組合。側壁間隔件結構120可例如為或包含氮化矽、碳化矽、另一種介電材料、或前述材料的任意組合。此外,閘極介電層124可例如為或包含二氧化矽、高介電常數介電材料、或者類似物。如本文所用,高介電常數介電材料是介電常數大於3.9的介電材料。The first transistor 110 is disposed in the NMOS region 201 and the second transistor 208 is disposed in the PMOS region 203. In some embodiments, the first transistor 110 is configured as an NMOS transistor and the second transistor 208 is configured as a PMOS transistor. The first transistor 110 and the second transistor 208 respectively include a gate electrode 122, a sidewall spacer structure 120, and a gate dielectric layer 124. The gate electrode 122 may be, for example, or include polysilicon, doped polysilicon, a metal material (such as aluminum, copper, titanium, tantalum, tungsten, another suitable material, or any combination of the foregoing materials. The sidewall spacer structure 120 may be, for example, Or include silicon nitride, silicon carbide, another dielectric material, or any combination of the foregoing materials. In addition, the gate dielectric layer 124 may be, for example, or include silicon dioxide, a high-k dielectric material, or the like As used herein, a high-permittivity dielectric material is a dielectric material with a dielectric constant greater than 3.9.

隔離結構104設置於半導體基底102內且可自第一半導體材料層202的頂表面經由絕緣層204連續地延伸至第二半導體材料層206。隔離結構104被配置成劃分半導體基底102的裝置區,例如NMOS區201及PMOS區203。此外,隔離結構104可被配置成在設置於半導體基底102內/半導體基底102之上的裝置(例如,第一電晶體110與第二電晶體208)之間提供電性隔離。隔離結構104可被配置成淺溝渠隔離(shallow trench isolation,STI)結構、深溝渠隔離(deep trench isolation,DTI)結構、或者類似物,且例如可包含介電材料,例如二氧化矽、氮化矽、碳化矽、另一種合適的介電材料、或前述材料的任意組合。The isolation structure 104 is disposed in the semiconductor substrate 102 and can continuously extend from the top surface of the first semiconductor material layer 202 to the second semiconductor material layer 206 via the insulating layer 204. The isolation structure 104 is configured to divide the device area of the semiconductor substrate 102, such as the NMOS area 201 and the PMOS area 203. In addition, the isolation structure 104 may be configured to provide electrical isolation between devices (for example, the first transistor 110 and the second transistor 208) disposed in/on the semiconductor substrate 102. The isolation structure 104 may be configured as a shallow trench isolation (STI) structure, a deep trench isolation (DTI) structure, or the like, and may include, for example, a dielectric material, such as silicon dioxide, nitride Silicon, silicon carbide, another suitable dielectric material, or any combination of the foregoing materials.

第一電晶體110更包括第一對源極/汲極結構112a至112b,所述第一對源極/汲極結構112a至112b上覆於第一半導體材料層202上且在第一電晶體110的閘極電極122的相對兩側間隔開。在一些實施例中,第一對源極/汲極結構112a至112b包括第一對磊晶源極/汲極層116a至116b以及間隔在第一半導體材料層202與第一對磊晶源極/汲極層116a至116b之間的擴散障壁層114a至114b。第二電晶體208更包括第二對磊晶源極/汲極層210a至210b,所述第二對磊晶源極/汲極層210a至210b上覆於第一半導體材料層202上且在第二電晶體208的閘極電極122的相對兩側上間隔開。在一些實施例中,第二對磊晶源極/汲極層210a至210b用作第二電晶體208的第二對源極/汲極結構。此外,第二電晶體208的閘極電極122上覆於設置於第一半導體材料層202內的第二阱區212上。第二阱區212具有第一摻雜類型(例如,N型)且可具有介於約1015 至1017 原子/立方釐米之間的範圍內的摻雜濃度、或者另一合適的摻雜濃度值。第二對磊晶源極/汲極層210a至210b可例如具有第二摻雜類型(例如,P型),所述第二摻雜類型具有介於約1019 至4*1021 原子/立方釐米之間的範圍內摻雜濃度、或者另一合適的摻雜濃度值。在各種實施例中,第二摻雜類型與第一摻雜類型相反。此外,第二對磊晶源極/汲極層210a至210b生長為具有P型材料的磊晶層(例如,磊晶矽)。在一些實施例中,第二對磊晶源極/汲極層210a至210b包含矽鍺(silicon germanium,SiGe)、或者另一種合適的材料。另外,第二對磊晶源極/汲極層210a至210b包括設置於第二電晶體208的閘極電極122的相對兩側上的第三磊晶源極/汲極層210a及第四磊晶源極/汲極層210b。在一些實施例中,第一半導體材料層202具有第二摻雜類型(例如,P型)。The first transistor 110 further includes a first pair of source/drain structures 112a to 112b, the first pair of source/drain structures 112a to 112b overlying the first semiconductor material layer 202 and on the first transistor The gate electrode 122 of 110 is spaced apart on two opposite sides. In some embodiments, the first pair of source/drain structures 112a to 112b includes a first pair of epitaxial source/drain layers 116a to 116b and is spaced between the first semiconductor material layer 202 and the first pair of epitaxial source /Diffusion barrier layers 114a to 114b between drain layers 116a to 116b. The second transistor 208 further includes a second pair of epitaxial source/drain layers 210a to 210b. The second pair of epitaxial source/drain layers 210a to 210b is overlying the first semiconductor material layer 202 and is The gate electrode 122 of the second transistor 208 is spaced apart on opposite sides. In some embodiments, the second pair of epitaxial source/drain layers 210 a to 210 b are used as the second pair of source/drain structures of the second transistor 208. In addition, the gate electrode 122 of the second transistor 208 covers the second well region 212 provided in the first semiconductor material layer 202. The second well region 212 has the first doping type (for example, N-type) and may have a doping concentration in the range of about 10 15 to 10 17 atoms/cm 3 or another suitable doping concentration value. The second pair of epitaxial source/drain layers 210a to 210b may, for example, have a second doping type (for example, P-type), and the second doping type has a range of about 10 19 to 4*10 21 atoms/cube. The doping concentration in the range between centimeters, or another suitable doping concentration value. In various embodiments, the second doping type is opposite to the first doping type. In addition, the second pair of epitaxial source/drain layers 210a to 210b is grown as an epitaxial layer with a P-type material (for example, epitaxial silicon). In some embodiments, the second pair of epitaxial source/drain layers 210a to 210b includes silicon germanium (SiGe), or another suitable material. In addition, the second pair of epitaxial source/drain layers 210a to 210b includes a third epitaxial source/drain layer 210a and a fourth epitaxy disposed on opposite sides of the gate electrode 122 of the second transistor 208 The crystal source/drain layer 210b. In some embodiments, the first semiconductor material layer 202 has a second doping type (for example, P-type).

另外,在第一對磊晶源極/汲極層116a至116b及第二對磊晶源極/汲極層210a至210b上上覆有矽化物層118。矽化物層118可例如為或包含矽化鎳、矽化鈦、或者另一種合適的材料。矽化物層118被配置成降低第一對磊晶源極/汲極層116a至116b及第二對磊晶源極/汲極層210a至210b與上覆的導電接觸件128之間的接觸電阻。導電接觸件128設置於ILD層126內。導電接觸件128可例如為或包含鎢、鋁、銅、氮化鈦、氮化鉭、釕、另一種導電材料、或前述材料的任意組合。此外,ILD層126可例如為或包含二氧化矽、低介電常數介電材料、或者類似物。如本文所用,低介電常數介電材料是介電常數小於3.9的介電材料。In addition, a silicide layer 118 is overlaid on the first pair of epitaxial source/drain layers 116a to 116b and the second pair of epitaxial source/drain layers 210a to 210b. The silicide layer 118 may be, for example, or include nickel silicide, titanium silicide, or another suitable material. The silicide layer 118 is configured to reduce the contact resistance between the first pair of epitaxial source/drain layers 116a to 116b and the second pair of epitaxial source/drain layers 210a to 210b and the overlying conductive contact 128 . The conductive contact 128 is disposed in the ILD layer 126. The conductive contact 128 may be, for example, or include tungsten, aluminum, copper, titanium nitride, tantalum nitride, ruthenium, another conductive material, or any combination of the foregoing materials. In addition, the ILD layer 126 may be, for example, or include silicon dioxide, a low-k dielectric material, or the like. As used herein, a low-k dielectric material is a dielectric material with a dielectric constant less than 3.9.

在一些實施例中,第一磊晶源極/汲極層116a及第二磊晶源極/汲極層116b可例如各自包含具有第一摻雜類型(例如,N型)的第一摻雜劑且可具有第一摻雜劑的摻雜濃度,所述第一摻雜劑的摻雜濃度為約3*1021 原子/立方釐米、在介於約1019 至4*1021 原子/立方釐米的範圍內、或者另一合適的摻雜濃度值。在又一些實施例中,第一摻雜劑可為或包含磷、砷、另一種合適的N型摻雜劑、或前述材料的任意組合。應理解,包含另一種元素的第一摻雜劑處於本揭露的範圍內。此外,第一對磊晶源極/汲極層116a至116b生長為具有N型材料的磊晶層(例如,磊晶矽)。舉例而言,此處第一對磊晶源極/汲極層116a至116b包含包括矽及磷的n型半導體材料,例如SiP。在再一些實施例中,第一對磊晶源極/汲極層116a至116b內的第一摻雜劑的原子百分比可為約百分之6、在介於約百分之0.2至百分之8的範圍內、或者另一合適的百分比值。在一些實施例中,第一磊晶源極/汲極層116a及第二磊晶源極/汲極層116b可例如各自由以下化合物組成或實質上由以下化合物組成:矽與磷的化合物,例如SiP;或者矽與砷的化合物,例如SiAs。應理解,包含其他化合物或元素的第一磊晶源極/汲極層116a及第二磊晶源極/汲極層116b處於本揭露的範圍內。在又一些實施例中,第一對磊晶源極/汲極層116a至116b具有帶有[100]定向的面心立方(fcc)結構。In some embodiments, the first epitaxial source/drain layer 116a and the second epitaxial source/drain layer 116b may each include, for example, a first dopant having a first doping type (eg, N-type) And may have a doping concentration of the first dopant, the doping concentration of the first dopant is about 3*10 21 atoms/cm3, between about 10 19 to 4*10 21 atoms/cu Within centimeters, or another suitable doping concentration value. In still other embodiments, the first dopant may be or include phosphorus, arsenic, another suitable N-type dopant, or any combination of the foregoing materials. It should be understood that the first dopant containing another element is within the scope of the present disclosure. In addition, the first pair of epitaxial source/drain layers 116a to 116b is grown as an epitaxial layer with an N-type material (for example, epitaxial silicon). For example, the first pair of epitaxial source/drain layers 116a to 116b here includes an n-type semiconductor material including silicon and phosphorus, such as SiP. In still other embodiments, the atomic percentage of the first dopant in the first pair of epitaxial source/drain layers 116a to 116b may be about 6%, and may be between about 0.2% and 100%. Within the range of 8, or another suitable percentage value. In some embodiments, the first epitaxial source/drain layer 116a and the second epitaxial source/drain layer 116b may, for example, each consist of the following compound or substantially consist of the following compound: a compound of silicon and phosphorus, For example, SiP; or a compound of silicon and arsenic, such as SiAs. It should be understood that the first epitaxial source/drain layer 116a and the second epitaxial source/drain layer 116b containing other compounds or elements are within the scope of the present disclosure. In still other embodiments, the first pair of epitaxial source/drain layers 116a to 116b has a face-centered cubic (fcc) structure with a [100] orientation.

在一些實施例中,若第一磊晶源極/汲極層116a及第二磊晶源極/汲極層116b內的第一摻雜劑的摻雜濃度實質上小(例如,小於約1019 原子/立方釐米),則第一磊晶源極/汲極層116a及第二磊晶源極/汲極層116b的薄層電阻增加。在再一些實施例中,若第一磊晶源極/汲極層116a及第二磊晶源極/汲極層116b內的第一摻雜劑的摻雜濃度實質上大(例如,大於約4*1021 原子/立方釐米),則第一摻雜劑可能損壞或扭曲第一磊晶源極/汲極層116a及第二磊晶源極/汲極層116b的晶格,進而降低第一磊晶源極/汲極層116a及第二磊晶源極/汲極層116b的穩定性。In some embodiments, if the doping concentration of the first dopant in the first epitaxial source/drain layer 116a and the second epitaxial source/drain layer 116b is substantially small (for example, less than about 10 19 atoms/cm3), the sheet resistance of the first epitaxial source/drain layer 116a and the second epitaxial source/drain layer 116b increases. In still other embodiments, if the doping concentration of the first dopant in the first epitaxial source/drain layer 116a and the second epitaxial source/drain layer 116b is substantially large (for example, greater than about 4*10 21 atoms/cm3), the first dopant may damage or distort the crystal lattices of the first epitaxial source/drain layer 116a and the second epitaxial source/drain layer 116b, thereby reducing the The stability of an epitaxial source/drain layer 116a and a second epitaxial source/drain layer 116b.

在又一些實施例中,擴散障壁層114a至114b各自包含具有第一摻雜類型(例如,N型)的第一摻雜劑且可具有第一摻雜劑的第一摻雜濃度,所述第一摻雜劑的第一摻雜濃度為約1.2*1020 原子/立方釐米、約1.2*1021 原子/立方釐米、在介於約1019 至4*1021 原子/立方釐米的範圍內、或者另一合適的摻雜濃度值。在一些實施例中,擴散障壁層114a至114b內的第一摻雜劑的第一摻雜濃度小於第一對磊晶源極/汲極層116a至116b內的第一摻雜劑的摻雜濃度。在各種實施例中,擴散障壁層114a至114b中的每一者內的第一摻雜劑的第一原子百分比可為約百分之2、在介於約百分之0.2至百分之8的範圍內、或者另一合適的百分比值。在各種實施例中,擴散障壁層114a至114b內的第一摻雜劑的第一原子百分比小於第一對磊晶源極/汲極層116a至116b內的第一摻雜劑的原子百分比。此外,擴散障壁層114a至114b包含障壁摻雜劑(例如,碳)且可具有障壁摻雜劑的第二摻雜濃度,所述障壁摻雜劑的第二摻雜濃度為約5.2*1020 原子/立方釐米、在介於約1019 至3*1021 原子/立方釐米的範圍內、或者另一合適的摻雜濃度值。障壁摻雜劑可例如為或包含碳(C),但其他障壁摻雜劑是適用的。因此,在一些實施例中,障壁摻雜劑不同於第一摻雜劑。在各種實施例中,擴散障壁層114a至114b內的障壁摻雜劑的第二原子百分比可為約百分之1、在介於約百分之0.2至百分之6的範圍內、或者另一合適的百分比值。因此,在一些實施例中,擴散障壁層114a至114b內的障壁摻雜劑的第二原子百分比小於擴散障壁層114a至114b內的第一摻雜劑的第一原子百分比。此外,擴散障壁層114a至114b可例如生長為具有N型材料及障壁摻雜劑的磊晶層(例如,磊晶矽)。舉例而言,擴散障壁層114a至114b可包含包括矽、磷及碳的n型半導體材料,例如SiCP。在一些實施例中,擴散障壁層114a至114b可例如各自由以下化合物組成或實質上由以下化合物組成:矽、碳及磷的化合物,例如SiCP;矽、碳及砷的化合物,如SiCAs;矽、碳及氧的化合物,如SiCO;或者摻雜碳的矽,例如SiC。應理解,包含其他化合物或元素的擴散障壁層114a至114b處於本揭露的範圍內。在又一些實施例中,擴散障壁層114a至114b具有帶有[100]定向的面心立方(fcc)結構。In still other embodiments, the diffusion barrier layers 114a to 114b each include a first dopant having a first doping type (for example, N-type) and may have a first doping concentration of the first dopant. The first doping concentration of the first dopant is about 1.2*10 20 atoms/cc, about 1.2*10 21 atoms/cc, in the range of about 10 19 to 4*10 21 atoms/cc , Or another suitable doping concentration value. In some embodiments, the first dopant concentration of the first dopant in the diffusion barrier layers 114a to 114b is less than the first dopant concentration of the first dopant in the first pair of epitaxial source/drain layers 116a to 116b concentration. In various embodiments, the first atomic percent of the first dopant in each of the diffusion barrier layers 114a to 114b may be about 2 percent, and may be between about 0.2 to 8 percent. , Or another suitable percentage value. In various embodiments, the first atomic percentage of the first dopant in the diffusion barrier layers 114a to 114b is smaller than the atomic percentage of the first dopant in the first pair of epitaxial source/drain layers 116a to 116b. In addition, the diffusion barrier layers 114a to 114b include a barrier dopant (for example, carbon) and may have a second doping concentration of the barrier dopant, the second doping concentration of the barrier dopant being about 5.2*10 20 Atoms/cubic centimeter, in the range of about 10 19 to 3*10 21 atoms/cubic centimeter, or another suitable doping concentration value. The barrier dopant may, for example, be or contain carbon (C), but other barrier dopants are suitable. Therefore, in some embodiments, the barrier dopant is different from the first dopant. In various embodiments, the second atomic percentage of the barrier dopant in the diffusion barrier layers 114a to 114b may be about one percent, in the range of about 0.2 to 6 percent, or another An appropriate percentage value. Therefore, in some embodiments, the second atomic percentage of the barrier dopant in the diffusion barrier layers 114a to 114b is less than the first atomic percentage of the first dopant in the diffusion barrier layers 114a to 114b. In addition, the diffusion barrier layers 114a to 114b can be grown, for example, as epitaxial layers (for example, epitaxial silicon) with N-type materials and barrier dopants. For example, the diffusion barrier layers 114a to 114b may include n-type semiconductor materials including silicon, phosphorus, and carbon, such as SiCP. In some embodiments, the diffusion barrier layers 114a to 114b may, for example, each consist of or substantially consist of the following compounds: compounds of silicon, carbon, and phosphorus, such as SiCP; compounds of silicon, carbon, and arsenic, such as SiCas; silicon , Carbon and oxygen compounds, such as SiCO; or carbon-doped silicon, such as SiC. It should be understood that the diffusion barrier layers 114a to 114b containing other compounds or elements are within the scope of the present disclosure. In still other embodiments, the diffusion barrier layers 114a to 114b have a face-centered cubic (fcc) structure with a [100] orientation.

在一些實施例中,若擴散障壁層114a至114b內的第一摻雜劑的第一摻雜濃度實質上小(例如,小於約1019 原子/立方釐米),則擴散障壁層114a至114b的薄層電阻增加。在再一些實施例中,若擴散障壁層114a至114b內的第一摻雜劑的第一摻雜濃度實質上大(例如,大於約4*1021 原子/立方釐米),則第一摻雜劑可能損壞或扭曲擴散障壁層114a至114b的晶格,進而降低擴散障壁層114a至114b的穩定性。在再一些實施例中,若擴散障壁層114a至114b內的障壁摻雜劑的第二摻雜濃度實質上小(例如,小於約1019 原子/立方釐米),則擴散障壁層114a至114b減輕及/或阻止第一摻雜劑擴散的能力顯著降低。在再一些實施例中,若擴散障壁層114a至114b內的障壁摻雜劑的第二摻雜濃度實質上大(例如,大於約3*1021 原子/立方釐米),則障壁摻雜劑可能損壞或扭曲擴散障壁層114a至114b的晶格,進而降低擴散障壁層114a至114b的穩定性。In some embodiments, if the first doping concentration of the first dopant in the diffusion barrier layers 114a to 114b is substantially small (for example, less than about 10 19 atoms/cm3), the diffusion barrier layers 114a to 114b The sheet resistance increases. In still other embodiments, if the first doping concentration of the first dopant in the diffusion barrier layers 114a to 114b is substantially large (for example, greater than about 4*10 21 atoms/cm3), the first doping The agent may damage or distort the crystal lattice of the diffusion barrier layers 114a to 114b, thereby reducing the stability of the diffusion barrier layers 114a to 114b. In still other embodiments, if the second doping concentration of the barrier dopant in the diffusion barrier layers 114a to 114b is substantially small (for example, less than about 10 19 atoms/cc), the diffusion barrier layers 114a to 114b are reduced And/or the ability to prevent the diffusion of the first dopant is significantly reduced. In still other embodiments, if the second doping concentration of the barrier dopant in the diffusion barrier layers 114a to 114b is substantially large (for example, greater than about 3*10 21 atoms/cm3), the barrier dopant may The crystal lattice of the diffusion barrier layers 114a to 114b is damaged or distorted, thereby reducing the stability of the diffusion barrier layers 114a to 114b.

此外,擴散障壁層114a至114b具有第一厚度t1,第一對磊晶源極/汲極層116a至116b具有第二厚度t2,且第一對源極/汲極結構112a至112b具有總厚度Ts。總厚度Ts可為第一厚度t1與第二厚度t2的和。第一厚度t1為例如約3奈米(nm)、在介於約1奈米至5奈米的範圍內、或者另一合適的值。第二厚度t2為例如約15奈米、在介於約5奈米至40奈米的範圍內、或者另一合適的值。因此,在一些實施例中,第一對磊晶源極/汲極層116a至116b的第二厚度t2大於擴散障壁層114a至114b的第一厚度t1。在再一些實施例中,第一厚度t1約為總厚度Ts的百分之16.7(例如,0.167*Ts)、在介於總厚度Ts的約百分之1至百分之50(例如,0.01*Ts至0.50*Ts)的範圍內、或者另一合適的值。在各種實施例中,第二厚度t2約為總厚度Ts的百分之83.3(例如,0.833*Ts)、在介於總厚度Ts的約百分之50至百分之99(例如,0.5*Ts至0.99*Ts)的範圍內、或者另一合適的值。In addition, the diffusion barrier layers 114a to 114b have a first thickness t1, the first pair of epitaxial source/drain layers 116a to 116b have a second thickness t2, and the first pair of source/drain structures 112a to 112b have a total thickness Ts. The total thickness Ts may be the sum of the first thickness t1 and the second thickness t2. The first thickness t1 is, for example, about 3 nanometers (nm), in the range of about 1 nanometer to 5 nanometers, or another suitable value. The second thickness t2 is, for example, about 15 nanometers, in the range of about 5 nanometers to 40 nanometers, or another suitable value. Therefore, in some embodiments, the second thickness t2 of the first pair of epitaxial source/drain layers 116a to 116b is greater than the first thickness t1 of the diffusion barrier layers 114a to 114b. In still other embodiments, the first thickness t1 is about 16.7 percent (for example, 0.167*Ts) of the total thickness Ts, and is between about 1 to 50 percent (for example, 0.01%) of the total thickness Ts. *Ts to 0.50*Ts), or another suitable value. In various embodiments, the second thickness t2 is about 83.3 percent (for example, 0.833*Ts) of the total thickness Ts, and is between about 50% to 99% (for example, 0.5*Ts) of the total thickness Ts. Ts to 0.99*Ts), or another suitable value.

在一些實施例中,若第一厚度t1實質上小(例如,小於約1奈米),則擴散障壁層114a至114b減輕及/或阻止第一摻雜劑擴散的能力顯著降低。在再一些實施例中,若第一厚度t1實質上大(例如,大於約5奈米),則擴散障壁層114a至114b的薄層電阻增加。在各種實施例中,若第二厚度t2實質上小(例如,小於約5奈米),則第一對磊晶源極/汲極層116a至116b的穩定性(例如,結構完整性)降低。在又一些實施例中,若第二厚度t2實質上大(例如,大於約40奈米),則第一對磊晶源極/汲極層116a至116b的薄層電阻可增加。In some embodiments, if the first thickness t1 is substantially small (for example, less than about 1 nanometer), the ability of the diffusion barrier layers 114a to 114b to reduce and/or prevent the diffusion of the first dopant is significantly reduced. In still other embodiments, if the first thickness t1 is substantially large (for example, greater than about 5 nm), the sheet resistance of the diffusion barrier layers 114a to 114b increases. In various embodiments, if the second thickness t2 is substantially small (for example, less than about 5 nm), the stability (for example, structural integrity) of the first pair of epitaxial source/drain layers 116a to 116b is reduced . In still other embodiments, if the second thickness t2 is substantially large (for example, greater than about 40 nm), the sheet resistance of the first pair of epitaxial source/drain layers 116a to 116b may increase.

圖2B示出圖2A所示積體晶片200的一些替代實施例的剖視圖,其中擴散障壁層114a至114b的底表面設置於第一半導體材料層202的頂表面202t下方距所述頂表面202t第一距離d1處。此外,第二對磊晶源極/汲極層210a至210b設置於第一半導體材料層202的頂表面202t下方距所述頂表面202t第二距離d2處。在一些實施例中,第一電晶體110的通道區在側向上設置於擴散障壁層114a至114b之間且第二電晶體208的通道區在側向上設置於第二對磊晶源極/汲極層210a至210b之間。此外,第一半導體材料層202的厚度Tfs是在第一半導體材料層202的頂表面202t與第一半導體材料層202的底表面202bs之間界定。第一半導體材料層202的厚度Tfs可例如在介於約20奈米至30奈米的範圍內。在各種實施例中,第一距離d1及第二距離d2分別在介於約5奈米至29.5奈米的範圍內、或者另一合適的值。在一些實施例中,第一距離d1不同於第二距離d2。2B shows a cross-sectional view of some alternative embodiments of the integrated wafer 200 shown in FIG. 2A, in which the bottom surfaces of the diffusion barrier layers 114a to 114b are disposed below the top surface 202t of the first semiconductor material layer 202 and are separated from the top surface 202t. A distance d1. In addition, the second pair of epitaxial source/drain layers 210a to 210b are disposed below the top surface 202t of the first semiconductor material layer 202 at a second distance d2 from the top surface 202t. In some embodiments, the channel region of the first transistor 110 is laterally disposed between the diffusion barrier layers 114a to 114b, and the channel region of the second transistor 208 is laterally disposed on the second pair of epitaxial source/drain electrodes. Between the pole layers 210a to 210b. In addition, the thickness Tfs of the first semiconductor material layer 202 is defined between the top surface 202t of the first semiconductor material layer 202 and the bottom surface 202bs of the first semiconductor material layer 202. The thickness Tfs of the first semiconductor material layer 202 may, for example, be in the range of about 20 nanometers to 30 nanometers. In various embodiments, the first distance d1 and the second distance d2 are in the range of about 5 nanometers to 29.5 nanometers, or another suitable value. In some embodiments, the first distance d1 is different from the second distance d2.

圖2C示出圖2A所示積體晶片200的一些替代實施例的剖視圖,其中擴散障壁層114a至114b的底表面是彎曲的且所述一對磊晶源極/汲極層116a至116b的底表面是彎曲的。在各種實施例中,所述一對磊晶源極/汲極層116a至116b的底表面在垂直方向上設置於第一半導體材料層202的頂表面202t下方。2C shows a cross-sectional view of some alternative embodiments of the integrated wafer 200 shown in FIG. 2A, in which the bottom surface of the diffusion barrier layer 114a to 114b is curved and the pair of epitaxial source/drain layers 116a to 116b The bottom surface is curved. In various embodiments, the bottom surfaces of the pair of epitaxial source/drain layers 116a to 116b are disposed below the top surface 202t of the first semiconductor material layer 202 in the vertical direction.

圖2D示出圖2A所示積體晶片200的一些替代實施例的剖視圖,其中擴散障壁層114a至114b各自為U形的且設置於由第一半導體材料層202的側壁及上表面界定的空腔內。2D shows a cross-sectional view of some alternative embodiments of the integrated wafer 200 shown in FIG. 2A, in which the diffusion barrier layers 114a to 114b are each U-shaped and disposed in the space defined by the sidewall and upper surface of the first semiconductor material layer 202 Cavity.

圖2E示出圖2A所示積體晶片200的一些替代實施例的剖視圖,其中第一阱區(圖2A所示106)及第二阱區(圖2A所示212)被省略。在此種實施例中,第一半導體材料層202的厚度Tfs可為約5奈米、在介於約0.5奈米至15奈米的範圍內、或者另一合適的厚度值。此外,第一半導體材料層202可例如為或包含本征矽、本征單晶矽、另一種合適的材料、或前述材料的任意組合。2E shows a cross-sectional view of some alternative embodiments of the integrated wafer 200 shown in FIG. 2A, in which the first well region (106 shown in FIG. 2A) and the second well region (212 shown in FIG. 2A) are omitted. In such an embodiment, the thickness Tfs of the first semiconductor material layer 202 may be about 5 nanometers, in the range of about 0.5 nanometers to 15 nanometers, or another suitable thickness value. In addition, the first semiconductor material layer 202 may be, for example, or include intrinsic silicon, intrinsic single crystal silicon, another suitable material, or any combination of the foregoing materials.

圖3A示出與圖2A所示積體晶片200的一些替代實施例對應的積體晶片300的一些實施例的剖視圖,其中擴散障壁層114a至114b是或包括第一半導體材料層202的摻雜區。在此種實施例中,擴散障壁層114a至114b可被稱為擴散障壁區。擴散障壁層114a至114b包含障壁摻雜劑(例如,碳)且可例如具有障壁摻雜劑的摻雜濃度,所述障壁摻雜劑的摻雜濃度為約5.2*1020 原子/立方釐米、在介於約1019 至3*1021 原子/立方釐米的範圍內、或者另一合適的摻雜濃度值。障壁摻雜劑可例如為或包含碳(C),但其他障壁摻雜劑是適用的。在各種實施例中,擴散障壁層114a至114b內的障壁摻雜劑的原子百分比可為約百分之1、在介於約百分之0.2至百分之6的範圍內、或者另一合適的百分比值。在又一些實施例中,擴散障壁層114a至114b的頂表面與第一半導體材料層202的頂表面202t對齊,且擴散障壁層114a至114b的底表面設置於位於第一半導體材料層202的頂表面202t下方的點處。在又一些實施例中,所述點設置於第一半導體材料層202的底表面202bs上方。此外,在一些實施例中,擴散障壁層114a至114b不含第一摻雜劑(例如,磷及/或砷),使得擴散障壁層114由以下材料組成或實質上由以下材料組成:第一半導體材料層202的材料(例如,矽)及障壁摻雜劑(例如,碳),例如SiC。在再一些實施例中,擴散障壁層114a至114b可如圖2A中所繪示及闡述使用障壁摻雜劑(例如,碳)及第一摻雜劑(例如,磷及/或砷)進行共摻雜。在此種實施例中,擴散障壁層114a至114b各自包含具有第一摻雜類型(例如,N型)的第一摻雜劑且可具有第一摻雜劑的第一摻雜濃度,所述第一摻雜劑的第一摻雜濃度介於約1019 至4*1021 原子/立方釐米之間的範圍內、或者另一合適的摻雜濃度值。3A shows a cross-sectional view of some embodiments of the integrated wafer 300 corresponding to some alternative embodiments of the integrated wafer 200 shown in FIG. 2A, wherein the diffusion barrier layers 114a to 114b are or include doping of the first semiconductor material layer 202 Area. In such an embodiment, the diffusion barrier layers 114a to 114b may be referred to as diffusion barrier regions. The diffusion barrier layers 114a to 114b include barrier dopants (for example, carbon) and may, for example, have a doping concentration of the barrier dopant, the doping concentration of the barrier dopant being about 5.2*10 20 atoms/cm3, It is in the range of about 10 19 to 3*10 21 atoms/cubic centimeter, or another suitable doping concentration value. The barrier dopant may, for example, be or contain carbon (C), but other barrier dopants are suitable. In various embodiments, the atomic percentage of the barrier dopants in the diffusion barrier layers 114a to 114b may be about 1, in the range of about 0.2 to 6 percent, or another suitable The percentage value of. In still other embodiments, the top surfaces of the diffusion barrier layers 114a to 114b are aligned with the top surface 202t of the first semiconductor material layer 202, and the bottom surfaces of the diffusion barrier layers 114a to 114b are disposed on the top of the first semiconductor material layer 202. At a point below the surface 202t. In still other embodiments, the dots are disposed above the bottom surface 202bs of the first semiconductor material layer 202. In addition, in some embodiments, the diffusion barrier layers 114a to 114b do not contain the first dopant (for example, phosphorus and/or arsenic), so that the diffusion barrier layer 114 is composed of or substantially composed of the following materials: The material of the semiconductor material layer 202 (for example, silicon) and the barrier dopant (for example, carbon), for example, SiC. In still other embodiments, the diffusion barrier layers 114a to 114b may use barrier dopants (e.g., carbon) and first dopants (e.g., phosphorus and/or arsenic) as shown and illustrated in FIG. 2A. Doped. In this embodiment, the diffusion barrier layers 114a to 114b each include a first dopant having a first doping type (for example, N-type) and may have a first doping concentration of the first dopant. The first doping concentration of the first dopant is in the range of about 10 19 to 4*10 21 atoms/cm 3, or another suitable doping concentration value.

圖3B示出圖3A所示積體晶片300的一些替代實施例的剖視圖,其中擴散障壁層114a至114b(即,擴散障壁區)自第一半導體材料層202的頂表面202t連續地延伸至第一半導體材料層202的底表面202bs。在此種實施例中,擴散障壁層114a至114b接觸絕緣層204的頂表面。3B shows a cross-sectional view of some alternative embodiments of the integrated wafer 300 shown in FIG. 3A, in which the diffusion barrier layers 114a to 114b (ie, the diffusion barrier regions) continuously extend from the top surface 202t of the first semiconductor material layer 202 to the first semiconductor material layer 202. The bottom surface 202bs of a layer 202 of semiconductor material. In this embodiment, the diffusion barrier layers 114a to 114b contact the top surface of the insulating layer 204.

圖3C示出圖3A所示積體晶片300的一些替代實施例的剖視圖,其中第一阱區(圖3A所示106)及第二阱區(圖3A所示212)被省略。在此種實施例中,第一半導體材料層202的厚度Tfs可為約5奈米、在介於約0.5奈米至15奈米的範圍內、或者另一合適的厚度值。此外,第一半導體材料層202的偏離擴散障壁層114a至114b的區可例如為或包含本征矽、本征單晶矽、另一種合適的材料、或前述材料的任意組合。3C shows a cross-sectional view of some alternative embodiments of the integrated wafer 300 shown in FIG. 3A, in which the first well region (106 shown in FIG. 3A) and the second well region (212 shown in FIG. 3A) are omitted. In such an embodiment, the thickness Tfs of the first semiconductor material layer 202 may be about 5 nanometers, in the range of about 0.5 nanometers to 15 nanometers, or another suitable thickness value. In addition, the regions of the first semiconductor material layer 202 that deviate from the diffusion barrier layers 114a to 114b may be, for example, or include intrinsic silicon, intrinsic single crystal silicon, another suitable material, or any combination of the foregoing materials.

圖3D示出與圖2A或圖2B所示積體晶片200的一些替代實施例對應的積體晶片300的一些實施例的剖視圖,其中第一對磊晶源極/汲極層116a至116b及第二對磊晶源極/汲極層210a至210b具有梯形形狀。3D shows a cross-sectional view of some embodiments of the integrated wafer 300 corresponding to some alternative embodiments of the integrated wafer 200 shown in FIG. 2A or FIG. 2B, in which the first pair of epitaxial source/drain layers 116a to 116b and The second pair of epitaxial source/drain layers 210a to 210b has a trapezoidal shape.

圖3E示出圖3D所示積體晶片300的一些替代實施例的剖視圖,其中擴散障壁層114a至114b具有梯形形狀。3E shows a cross-sectional view of some alternative embodiments of the integrated wafer 300 shown in FIG. 3D, in which the diffusion barrier layers 114a to 114b have a trapezoidal shape.

圖3F示出圖3D所示積體晶片300的一些替代實施例的剖視圖,其中第一阱區(圖3D所示106)及第二阱區(圖3D所示212)被省略。在此種實施例中,第一半導體材料層202的厚度Tfs可為約5奈米、在介於約0.5奈米至15奈米的範圍內、或者另一合適的厚度值。此外,第一半導體材料層202可例如為或包含本征矽、本征單晶矽、另一種合適的材料、或前述材料的任意組合。3F shows a cross-sectional view of some alternative embodiments of the integrated wafer 300 shown in FIG. 3D, in which the first well region (106 shown in FIG. 3D) and the second well region (212 shown in FIG. 3D) are omitted. In such an embodiment, the thickness Tfs of the first semiconductor material layer 202 may be about 5 nanometers, in the range of about 0.5 nanometers to 15 nanometers, or another suitable thickness value. In addition, the first semiconductor material layer 202 may be, for example, or include intrinsic silicon, intrinsic single crystal silicon, another suitable material, or any combination of the foregoing materials.

圖4A示出圖2A所示積體晶片200的一些不同替代實施例的示意圖400a,其中第一電晶體110及第二電晶體208分別被配置成FinFET裝置。4A shows a schematic diagram 400a of some different alternative embodiments of the integrated wafer 200 shown in FIG. 2A, in which the first transistor 110 and the second transistor 208 are respectively configured as FinFET devices.

在一些實施例中,半導體基底102包括第一鰭式結構402a及第二鰭式結構402b。第一鰭式結構402a及第二鰭式結構402b中的每一者在第一方向上(例如,沿著「y」方向)彼此平行延伸。在又一些實施例中,第一鰭式結構402a及第二鰭式結構402b分別被稱為半導體基底102的鰭。第一鰭式結構402a及第二鰭式結構402b沿著第二方向(例如,沿著「z」方向)在側向上彼此間隔開。在一些實施例中,第一方向與第二方向正交。第一鰭式結構402a及第二鰭式結構402b中的每一者分別包括半導體基底102的上部區的至少一部分。半導體基底102的上部區沿著第三方向(例如,沿著「x」方向)自半導體基底102的下部區在垂直方向上延伸。半導體基底102的上部區連續地延伸穿過隔離結構104。In some embodiments, the semiconductor substrate 102 includes a first fin structure 402a and a second fin structure 402b. Each of the first fin structure 402a and the second fin structure 402b extends parallel to each other in the first direction (for example, along the "y" direction). In still other embodiments, the first fin structure 402a and the second fin structure 402b are referred to as fins of the semiconductor substrate 102, respectively. The first fin structure 402a and the second fin structure 402b are laterally spaced apart from each other along the second direction (eg, along the "z" direction). In some embodiments, the first direction is orthogonal to the second direction. Each of the first fin structure 402a and the second fin structure 402b includes at least a part of the upper region of the semiconductor substrate 102, respectively. The upper region of the semiconductor substrate 102 extends in the vertical direction from the lower region of the semiconductor substrate 102 along the third direction (for example, along the “x” direction). The upper region of the semiconductor substrate 102 continuously extends through the isolation structure 104.

第一對源極/汲極結構112a至112b設置於第一鰭式結構402a上/第一鰭式結構402a之上。源極/汲極結構112a至112b在側向上(在「y」方向上)間隔開。閘極電極122及閘極介電層124沿著第二方向(例如,沿著「z」方向)自第一鰭式結構402a連續地延伸至第二鰭式結構402b。在第一電晶體110的操作期間,藉由向閘極電極122及第一對源極/汲極結構112a至112b施加合適的偏置條件,可在第一鰭式結構402a內形成選擇性導電通道。選擇性導電通道在第一對源極/汲極結構112a至112b之間(在「y」方向上)延伸。在再一些實施例中,擴散障壁層114a至114b設置於對應的磊晶源極/汲極層116a至116b與半導體基底102之間。在此種實施例中,擴散障壁層114a至114b中的每一者可沿著第一鰭式結構402a的側壁及/或第一鰭式結構402a的一部分的上表面設置。The first pair of source/drain structures 112a to 112b are disposed on the first fin structure 402a/on the first fin structure 402a. The source/drain structures 112a to 112b are spaced laterally (in the "y" direction). The gate electrode 122 and the gate dielectric layer 124 extend continuously from the first fin structure 402a to the second fin structure 402b along the second direction (for example, along the "z" direction). During the operation of the first transistor 110, by applying appropriate bias conditions to the gate electrode 122 and the first pair of source/drain structures 112a to 112b, selective conduction can be formed in the first fin structure 402a. aisle. The selective conductive channel extends between the first pair of source/drain structures 112a to 112b (in the "y" direction). In still other embodiments, the diffusion barrier layers 114 a to 114 b are disposed between the corresponding epitaxial source/drain layers 116 a to 116 b and the semiconductor substrate 102. In such an embodiment, each of the diffusion barrier layers 114a to 114b may be provided along the sidewall of the first fin structure 402a and/or the upper surface of a portion of the first fin structure 402a.

第二對磊晶源極/汲極層210a至210b設置於第二鰭式結構402b上/第二鰭式結構402b之上。源極/汲極層210a至210b在側向上(在「y」方向上)間隔開。在第二電晶體208的操作期間,藉由向閘極電極122及第二對磊晶源極/汲極層210a至210b施加合適的偏置條件,可在第二鰭式結構402b內形成選擇性導電通道。選擇性導電通道在第二對磊晶源極/汲極層210a至210b之間(在「y」方向上)延伸。在各種實施例中,源極/汲極層210a至210b中的每一者可沿著第二鰭式結構402b的側壁及/或第二鰭式結構402b的一部分的上表面設置。在又一些實施例中,第一電晶體110可被配置成n型FinFET裝置且第二電晶體208可被配置成p型FinFET裝置。The second pair of epitaxial source/drain layers 210a to 210b are disposed on the second fin structure 402b/on the second fin structure 402b. The source/drain layers 210a to 210b are spaced laterally (in the "y" direction). During the operation of the second transistor 208, by applying appropriate bias conditions to the gate electrode 122 and the second pair of epitaxial source/drain layers 210a to 210b, a selective fin structure 402b can be formed. Sexual conduction channel. The selective conductive channel extends between the second pair of epitaxial source/drain layers 210a to 210b (in the "y" direction). In various embodiments, each of the source/drain layers 210a to 210b may be disposed along the sidewall of the second fin structure 402b and/or the upper surface of a portion of the second fin structure 402b. In still other embodiments, the first transistor 110 may be configured as an n-type FinFET device and the second transistor 208 may be configured as a p-type FinFET device.

圖4B示出沿著圖4A所示線A-A’截取的第一電晶體110及第二電晶體208的一些實施例的剖視圖400b。如圖4B中所示,在一些實施例中,閘極電極122及閘極介電層124自第一鰭式結構402a連續地延伸至第二鰭式結構402b。圖4C示出沿著圖4所示線B-B’截取的第一電晶體110的一些實施例的剖視圖400c。如圖4C中所示,在一些實施例中,閘極介電層124自第一擴散障壁層114a在側向上連續地延伸至第二擴散障壁層114b。4B shows a cross-sectional view 400b of some embodiments of the first transistor 110 and the second transistor 208 taken along the line A-A' shown in FIG. 4A. As shown in FIG. 4B, in some embodiments, the gate electrode 122 and the gate dielectric layer 124 continuously extend from the first fin structure 402a to the second fin structure 402b. 4C shows a cross-sectional view 400c of some embodiments of the first transistor 110 taken along the line B-B' shown in FIG. 4. As shown in FIG. 4C, in some embodiments, the gate dielectric layer 124 continuously extends laterally from the first diffusion barrier layer 114a to the second diffusion barrier layer 114b.

圖4D示出圖4A所示第一電晶體110及第二電晶體208的一些不同替代實施例的示意圖400d,其中第一電晶體110及第二電晶體208分別被配置成GAAFET裝置。在再一些實施例中,第一電晶體110及第二電晶體208可各自被配置成奈米片場效電晶體(nanosheet field effect transistor,NSFET)及/或被稱為NSFET。4D shows a schematic diagram 400d of some different alternative embodiments of the first transistor 110 and the second transistor 208 shown in FIG. 4A, wherein the first transistor 110 and the second transistor 208 are respectively configured as GAAFET devices. In still other embodiments, the first transistor 110 and the second transistor 208 may each be configured as a nanosheet field effect transistor (NSFET) and/or referred to as an NSFET.

在一些實施例中,在第一鰭式結構402a及第二鰭式結構402b中的每一者之上設置有多個奈米結構404。在又一些實施例中,奈米結構404在垂直方向上堆疊於彼此之上且可在垂直方向上與對應的下伏的鰭式結構402a至402b間隔開非零距離。在一些實施例中,所述多個奈米結構404包括二至二十個奈米結構、或者其他合適數目的奈米結構。舉例而言,上覆於對應的第一鰭式結構402a上的所述多個奈米結構404包括三個奈米結構。在各種實施例中,奈米結構404各自包含與半導體基底102相同的材料。第一對源極/汲極結構112a至112b可例如設置於對應的多個奈米結構404的相對側上,使得對應的多個奈米結構404在第一對源極/汲極結構112a至112b之間在側向上連續地延伸。第二對磊晶源極/汲極層210a至210b可例如設置於另一對應的多個奈米結構404的相對兩側上,使得所述另一對應的多個奈米結構404在第二對磊晶源極/汲極層210a至210b之間在側向上連續地延伸。在再一些實施例中,第一對源極/汲極結構112a至112b及第二對磊晶源極/汲極層210a至210b可各自具有六邊形輪廓、菱形輪廓、矩形輪廓、或者另一種合適的輪廓。In some embodiments, a plurality of nanostructures 404 are provided on each of the first fin structure 402a and the second fin structure 402b. In still other embodiments, the nanostructures 404 are stacked on top of each other in the vertical direction and may be spaced a non-zero distance from the corresponding underlying fin structures 402a to 402b in the vertical direction. In some embodiments, the plurality of nanostructures 404 includes two to twenty nanostructures, or other suitable number of nanostructures. For example, the plurality of nanostructures 404 overlying the corresponding first fin structure 402a includes three nanostructures. In various embodiments, each of the nanostructures 404 includes the same material as the semiconductor substrate 102. The first pair of source/drain structures 112a to 112b may, for example, be disposed on opposite sides of the corresponding plurality of nanostructures 404, so that the corresponding plurality of nanostructures 404 are arranged on the first pair of source/drain structures 112a to 112a to The space between 112b extends continuously in the lateral direction. The second pair of epitaxial source/drain layers 210a to 210b may be disposed on opposite sides of another corresponding plurality of nanostructures 404, for example, so that the other corresponding plurality of nanostructures 404 are in the second The epitaxial source/drain layers 210a to 210b extend continuously in the lateral direction. In still other embodiments, the first pair of source/drain structures 112a to 112b and the second pair of epitaxial source/drain layers 210a to 210b may each have a hexagonal profile, a diamond profile, a rectangular profile, or another A suitable profile.

圖4E示出沿著圖4D所示線A-A’截取的第一電晶體110及第二電晶體208的一些實施例的剖視圖400e。如圖4E中所示,在一些實施例中,閘極介電層124連續地環繞奈米結構404中的每一者的外周。此外,閘極電極122可在垂直方向上設置於各個奈米結構404之間。圖4F示出沿著圖4D所示線B-B’截取的第一電晶體110的一些實施例的剖視圖400f。如圖4F中所示,在一些實施例中,奈米結構404中的每一者自第一擴散障壁層114a在側向上連續地延伸至第二擴散障壁層114b。4E shows a cross-sectional view 400e of some embodiments of the first transistor 110 and the second transistor 208 taken along the line A-A' shown in FIG. 4D. As shown in FIG. 4E, in some embodiments, the gate dielectric layer 124 continuously surrounds the outer circumference of each of the nanostructures 404. In addition, the gate electrode 122 may be disposed between the respective nanostructures 404 in the vertical direction. 4F shows a cross-sectional view 400f of some embodiments of the first transistor 110 taken along the line B-B' shown in FIG. 4D. As shown in FIG. 4F, in some embodiments, each of the nanostructures 404 continuously extends laterally from the first diffusion barrier layer 114a to the second diffusion barrier layer 114b.

圖5A至圖5C示出圖1、圖2A至圖2E、或者3A至圖3F所示第一電晶體110的第一對源極/汲極結構112a至112b的詳細分層的一些不同實施例的剖視圖。在此種實施例中,源極/汲極結構112a至112b各自包括磊晶層的多層堆疊。5A to 5C show some different embodiments of the detailed layering of the first pair of source/drain structures 112a to 112b of the first transistor 110 shown in FIGS. 1, 2A to 2E, or 3A to 3F Cutaway view. In this embodiment, the source/drain structures 112a to 112b each include a multilayer stack of epitaxial layers.

參照圖5A,源極/汲極結構112a至112b各自包括第一磊晶層502及位於第一磊晶層502之上的第二磊晶層504,其中第一摻雜劑例如是磷(P)。在一些實施例中,第一磊晶層502(在一些實施例中,被稱為擴散障壁磊晶層)可由以下材料組成或實質上由以下材料組成:矽、第一摻雜劑(例如,磷)、及障壁摻雜劑(例如,碳),例如SiCP。此外,第二磊晶層504(在一些實施例中,被稱為磊晶源極/汲極層)可例如由以下材料組成或實質上由以下材料組成:矽及第一摻雜劑,例如SiP。在各種實施例中,第一磊晶層502內的第一摻雜劑及障壁摻雜劑的摻雜濃度及/或原子百分比可與圖2A的擴散障壁層114a至114b相同。在又一些實施例中,第二磊晶層504內的第一摻雜劑的摻雜濃度及/或原子百分比可與圖2A的第一對磊晶源極/汲極層116a至116b相同。在各種實施例中,第一磊晶層502內的第一摻雜劑(例如,磷)的摻雜濃度與第二磊晶層504內的第一摻雜劑(例如,磷)的摻雜濃度可彼此不同。在替代實施例中,第一磊晶層502內的第一摻雜劑的摻雜濃度與第二磊晶層504內的第一摻雜劑的摻雜濃度大致相同。5A, the source/drain structures 112a to 112b each include a first epitaxial layer 502 and a second epitaxial layer 504 located on the first epitaxial layer 502, wherein the first dopant is, for example, phosphorus (P ). In some embodiments, the first epitaxial layer 502 (in some embodiments, referred to as a diffusion barrier epitaxial layer) may be composed of or substantially composed of the following materials: silicon, a first dopant (for example, Phosphorus), and barrier dopants (for example, carbon), such as SiCP. In addition, the second epitaxial layer 504 (in some embodiments, referred to as an epitaxial source/drain layer) may be composed of or substantially composed of the following materials: silicon and a first dopant, such as SiP. In various embodiments, the doping concentration and/or atomic percentage of the first dopant and barrier dopant in the first epitaxial layer 502 may be the same as the diffusion barrier layers 114a to 114b of FIG. 2A. In still other embodiments, the doping concentration and/or atomic percentage of the first dopant in the second epitaxial layer 504 may be the same as the first pair of epitaxial source/drain layers 116a to 116b in FIG. 2A. In various embodiments, the doping concentration of the first dopant (for example, phosphorus) in the first epitaxial layer 502 is the same as the doping concentration of the first dopant (for example, phosphorus) in the second epitaxial layer 504 The concentrations can be different from each other. In an alternative embodiment, the doping concentration of the first dopant in the first epitaxial layer 502 is approximately the same as the doping concentration of the first dopant in the second epitaxial layer 504.

在又一些實施例中,第一對源極/汲極結構112a至112b各自包括交替的堆疊層,所述交替的堆疊層包括第一磊晶層502及第二磊晶層504。舉例而言,如圖5B中所示,交替的堆疊層可包括兩個第一磊晶層502及兩個第二磊晶層504。在另一實例中,如圖5C中所示,交替的堆疊層可包括三個第一磊晶層502及三個第二磊晶層504。應理解,交替的堆疊層可例如包括任意數目的第一磊晶層502及第二磊晶層504。在各種實施例中,多個第一磊晶層502內的元素的摻雜濃度可彼此不同,且多個第二磊晶層504內的第一摻雜劑的摻雜濃度可彼此不同。在一些實施例中,由於第一磊晶層502分別包含障壁摻雜劑,因此每一第一磊晶層502可防止第一摻雜劑自上覆於對應的第一磊晶層502上及/或位於對應的第一磊晶層502之下的一或多個第二磊晶層504擴散。In still other embodiments, each of the first pair of source/drain structures 112 a to 112 b includes alternate stacked layers including a first epitaxial layer 502 and a second epitaxial layer 504. For example, as shown in FIG. 5B, alternate stacked layers may include two first epitaxial layers 502 and two second epitaxial layers 504. In another example, as shown in FIG. 5C, the alternating stacked layers may include three first epitaxial layers 502 and three second epitaxial layers 504. It should be understood that the alternate stacked layers may include any number of first epitaxial layers 502 and second epitaxial layers 504, for example. In various embodiments, the doping concentration of the elements in the plurality of first epitaxial layers 502 may be different from each other, and the doping concentration of the first dopant in the plurality of second epitaxial layers 504 may be different from each other. In some embodiments, since the first epitaxial layer 502 contains barrier dopants, each first epitaxial layer 502 can prevent the first dopant from overlying on the corresponding first epitaxial layer 502 and /Or one or more second epitaxial layers 504 located under the corresponding first epitaxial layer 502 are diffused.

圖6A至圖6C示出與圖5A至圖5C所示一些替代實施例對應的第一對源極/汲極結構112a至112b的詳細分層的一些實施例的剖視圖,其中第一摻雜劑是砷(As)。因此,第一磊晶層502可例如由以下材料組成或實質上由以下材料組成:矽、砷及碳,例如SiCAs。第二磊晶層504可例如由以下材料組成或實質上由以下材料組成:矽及砷,例如SiAs。6A to 6C show cross-sectional views of some embodiments of the detailed layering of the first pair of source/drain structures 112a to 112b corresponding to some alternative embodiments shown in FIGS. 5A to 5C, wherein the first dopant It is arsenic (As). Therefore, the first epitaxial layer 502 may, for example, be composed of or substantially composed of the following materials: silicon, arsenic, and carbon, such as SiCAs. The second epitaxial layer 504 may, for example, be composed of or substantially composed of the following materials: silicon and arsenic, such as SiAs.

圖7A至圖7C示出與圖5A至圖5C所示一些替代實施例對應的第一對源極/汲極結構112a至112b的詳細分層的一些實施例的剖視圖,其中第二磊晶層504的第一摻雜劑是磷,且第一磊晶層502包含第二摻雜劑,例如砷(As)。在一些實施例中,第一摻雜劑不同於第二摻雜劑,且第一摻雜劑及第二摻雜劑二者均是N型摻雜劑。在又一些實施例中,第一磊晶層502內的第二摻雜劑的摻雜濃度及/或原子百分比處於與圖1或圖2A的擴散障壁層114a至114b內的第一摻雜劑的摻雜濃度及/或原子百分比相同的範圍內及/或為與圖1或圖2A的擴散障壁層114a至114b內的第一摻雜劑的摻雜濃度及/或原子百分比相同的值。因此,第一磊晶層502可例如由以下材料組成或實質上由以下材料組成:矽、砷及碳,例如SiCAs。第二磊晶層504可例如由以下材料組成或實質上由以下材料組成:矽及磷,例如SiP。7A to 7C show cross-sectional views of some embodiments of the detailed layering of the first pair of source/drain structures 112a to 112b corresponding to some alternative embodiments shown in FIGS. 5A to 5C, in which the second epitaxial layer The first dopant of 504 is phosphorus, and the first epitaxial layer 502 includes a second dopant, such as arsenic (As). In some embodiments, the first dopant is different from the second dopant, and both the first dopant and the second dopant are N-type dopants. In still other embodiments, the doping concentration and/or atomic percentage of the second dopant in the first epitaxial layer 502 is the same as the first dopant in the diffusion barrier layers 114a to 114b of FIG. 1 or FIG. 2A. The doping concentration and/or atomic percentage of is within the same range and/or the same value as the doping concentration and/or atomic percentage of the first dopant in the diffusion barrier layers 114a to 114b of FIG. 1 or FIG. 2A. Therefore, the first epitaxial layer 502 may, for example, be composed of or substantially composed of the following materials: silicon, arsenic, and carbon, such as SiCAs. The second epitaxial layer 504 may, for example, be composed of or substantially composed of the following materials: silicon and phosphorus, such as SiP.

圖8A至圖8C示出與圖5A至圖5C所示一些替代實施例對應的第一對源極/汲極結構112a至112b的詳細分層的一些實施例的剖視圖,其中第一磊晶層502的第二摻雜劑是磷(P),且第二磊晶層502的第一摻雜劑是砷(As)。因此,第一磊晶層502可例如由以下材料組成或實質上由以下材料組成:矽、磷及碳,例如SiCP。第二磊晶層504可例如由以下材料組成或實質上由以下材料組成:矽及砷,例如SiAs。8A to 8C show cross-sectional views of some embodiments of the detailed layering of the first pair of source/drain structures 112a to 112b corresponding to some alternative embodiments shown in FIGS. 5A to 5C, in which the first epitaxial layer The second dopant of 502 is phosphorus (P), and the first dopant of the second epitaxial layer 502 is arsenic (As). Therefore, the first epitaxial layer 502 may, for example, be composed of or substantially composed of the following materials: silicon, phosphorus, and carbon, such as SiCP. The second epitaxial layer 504 may, for example, be composed of or substantially composed of the following materials: silicon and arsenic, such as SiAs.

圖9A示出對應於跨越圖1至圖8C所示第一源極/汲極結構112a的厚度Ts的障壁摻雜劑(例如,碳)的摻雜輪廓的一些實施例的圖表900a。應理解,儘管圖表900a示出並闡述跨越第一源極/汲極結構112a的厚度Ts的摻雜輪廓,然而所示摻雜輪廓可對應於跨越圖1至圖8C所示第二源極/汲極結構112b的厚度Ts的障壁摻雜劑的摻雜輪廓。在此種實施例中,第二擴散障壁層114b可具有與以下闡述的第一擴散障壁層114a相同的摻雜輪廓。此外,圖表900a的y軸與第一源極/汲極結構112a的厚度Ts對應。圖表900a的x軸與第一源極/汲極結構112a內的障壁摻雜劑(例如,碳)的摻雜濃度對應。9A shows a graph 900a corresponding to some embodiments of the doping profile of a barrier dopant (eg, carbon) that spans the thickness Ts of the first source/drain structure 112a shown in FIGS. 1 to 8C. It should be understood that although the graph 900a shows and illustrates the doping profile spanning the thickness Ts of the first source/drain structure 112a, the doping profile shown may correspond to spanning the second source/drain structure shown in FIGS. 1 to 8C. The doping profile of the barrier dopant of the thickness Ts of the drain structure 112b. In such an embodiment, the second diffusion barrier layer 114b may have the same doping profile as the first diffusion barrier layer 114a described below. In addition, the y-axis of the graph 900a corresponds to the thickness Ts of the first source/drain structure 112a. The x-axis of the graph 900a corresponds to the doping concentration of the barrier dopant (for example, carbon) in the first source/drain structure 112a.

摻雜濃度曲線902與第一源極/汲極結構112a內的障壁摻雜劑(例如,碳)的摻雜濃度的一些實施例對應。如曲線902所示,障壁摻雜劑的摻雜濃度自第一擴散障壁層114a的頂表面114t至水平線901連續地增加,且自水平線901至第一擴散障壁層114a的底表面114bs連續地降低。在此種實施例中,第一擴散障壁層114a可藉由磊晶製程形成,其中障壁摻雜劑前驅物氣體的流量在磊晶製程(例如,參見圖14)期間是恆定的,或者第一擴散障壁層114a可藉由單個植入製程(例如,參見圖23)形成。因此,在一些實施例中,第一擴散障壁層114a內的障壁摻雜劑的摻雜輪廓遵循高斯分佈。此外,水平線901例如平行於第一擴散障壁層114a的底表面114bs。應理解,具有另一分佈的第一擴散障壁層114a內的障壁摻雜劑的摻雜輪廓處於本揭露的範圍內。障壁摻雜劑的摻雜濃度的峰值是沿著水平線901設置。The doping concentration curve 902 corresponds to some embodiments of the doping concentration of the barrier dopant (for example, carbon) in the first source/drain structure 112a. As shown by the curve 902, the doping concentration of the barrier dopant continuously increases from the top surface 114t of the first diffusion barrier layer 114a to the horizontal line 901, and continuously decreases from the horizontal line 901 to the bottom surface 114bs of the first diffusion barrier layer 114a . In such an embodiment, the first diffusion barrier layer 114a may be formed by an epitaxial process, wherein the flow rate of the barrier dopant precursor gas is constant during the epitaxial process (for example, see FIG. 14), or the first diffusion barrier layer 114a The diffusion barrier layer 114a can be formed by a single implantation process (for example, see FIG. 23). Therefore, in some embodiments, the doping profile of the barrier dopant in the first diffusion barrier layer 114a follows a Gaussian distribution. In addition, the horizontal line 901 is, for example, parallel to the bottom surface 114bs of the first diffusion barrier layer 114a. It should be understood that the doping profile of the barrier dopant in the first diffusion barrier layer 114a with another distribution is within the scope of the present disclosure. The peak value of the doping concentration of the barrier dopant is set along the horizontal line 901.

圖9B示出與跨越圖1至圖8C所示第一源極/汲極結構112a的厚度Ts的障壁摻雜劑(例如,碳)的摻雜輪廓的一些替代實施例對應的圖表900b。應理解,儘管圖表900b示出並闡述跨越第一源極/汲極結構112a的厚度Ts的摻雜輪廓,然而所示摻雜輪廓可與跨越圖1至圖8所示第二源極/汲極結構112b的厚度Ts的障壁摻雜劑的摻雜輪廓對應。在此種實施例中,第二擴散障壁層114b可具有與以下闡述的第一擴散障壁層114a相同的摻雜輪廓。9B shows a graph 900b corresponding to some alternative embodiments of the doping profile of barrier dopants (eg, carbon) across the thickness Ts of the first source/drain structure 112a shown in FIGS. 1 to 8C. It should be understood that although the graph 900b shows and illustrates the doping profile spanning the thickness Ts of the first source/drain structure 112a, the doping profile shown may be different from spanning the second source/drain structure shown in FIGS. 1 to 8. The thickness Ts of the pole structure 112b corresponds to the doping profile of the barrier dopant. In such an embodiment, the second diffusion barrier layer 114b may have the same doping profile as the first diffusion barrier layer 114a described below.

第一摻雜濃度曲線904及第二摻雜濃度曲線906與第一源極/汲極結構112a內的障壁摻雜劑(例如,碳)的摻雜濃度的一些實施例對應。參照第一曲線904,障壁摻雜劑的摻雜濃度可自第一擴散障壁層114a的頂表面114t至第一擴散障壁層114a的底表面114bs連續地增加。在此種實施例中,第一擴散障壁層114a可藉由磊晶製程形成,其中障壁摻雜劑前驅物氣體的流量在磊晶製程(例如,參見圖14)期間逐漸地減少。因此,在一些實施例中,障壁摻雜劑的摻雜濃度的峰值是沿著第一擴散障壁層114a的底表面114bs設置。The first doping concentration curve 904 and the second doping concentration curve 906 correspond to some embodiments of the doping concentration of the barrier dopant (for example, carbon) in the first source/drain structure 112a. Referring to the first curve 904, the doping concentration of the barrier dopant may continuously increase from the top surface 114t of the first diffusion barrier layer 114a to the bottom surface 114bs of the first diffusion barrier layer 114a. In such an embodiment, the first diffusion barrier layer 114a may be formed by an epitaxial process, in which the flow rate of the barrier dopant precursor gas is gradually reduced during the epitaxial process (for example, see FIG. 14). Therefore, in some embodiments, the peak of the doping concentration of the barrier dopant is located along the bottom surface 114bs of the first diffusion barrier layer 114a.

參照第二曲線906,障壁摻雜劑的摻雜濃度可自第一擴散障壁層114a的底表面114bs至第一擴散障壁層114a的頂表面114t連續地增加。在此種實施例中,第一擴散障壁層114a可藉由多個植入製程形成,其中每一植入製程可被配置成在第一擴散障壁層114a內植入不同濃度的碳(例如,參見圖23)。在一些實施例中,障壁摻雜劑的摻雜濃度的峰值是沿著第一擴散障壁層114a的頂表面114t設置。因此,如由第一曲線904及第二曲線906所示,第一擴散障壁層114a內的障壁摻雜劑的摻雜輪廓遵循梯度分佈。應理解,具有另一分佈的第一擴散障壁層114a內的障壁摻雜劑的摻雜輪廓處於本揭露的範圍內。Referring to the second curve 906, the doping concentration of the barrier dopant may continuously increase from the bottom surface 114bs of the first diffusion barrier layer 114a to the top surface 114t of the first diffusion barrier layer 114a. In such an embodiment, the first diffusion barrier layer 114a may be formed by a plurality of implantation processes, and each of the implantation processes may be configured to implant a different concentration of carbon in the first diffusion barrier layer 114a (for example, See Figure 23). In some embodiments, the peak of the doping concentration of the barrier dopant is located along the top surface 114t of the first diffusion barrier layer 114a. Therefore, as shown by the first curve 904 and the second curve 906, the doping profile of the barrier dopant in the first diffusion barrier layer 114a follows a gradient distribution. It should be understood that the doping profile of the barrier dopant in the first diffusion barrier layer 114a with another distribution is within the scope of the present disclosure.

圖10A示出積體晶片1000的一些實施例的剖視圖,積體晶片1000包括在側向上鄰近第二電晶體裝置110b的第一電晶體裝置110a。Figure 10A shows a cross-sectional view of some embodiments of an integrated wafer 1000 that includes a first transistor device 110a laterally adjacent to a second transistor device 110b.

積體晶片1000包括多個源極/汲極結構1002至1006。所述多個源極/汲極結構1002至1006包括第一源極/汲極結構1002、第二源極/汲極結構1004、及第三源極/汲極結構1006。此外,第一電晶體裝置110a及第二電晶體裝置110b各自包括閘極電極122、閘極介電層124及側壁間隔件結構120。第一源極/汲極結構1002及第二源極/汲極結構1004設置於第一電晶體裝置110a的閘極電極122的相對兩側上。此外,第二源極/汲極結構1004及第三源極/汲極結構1006設置於第二電晶體裝置110b的閘極電極122的相對兩側上。因此,第二源極/汲極結構1004直接設置於第一電晶體裝置110a與第二電晶體裝置110b之間,使得第二源極/汲極結構1004是共用源極/汲極結構。此外,每一源極/汲極結構1002至1006包括磊晶源極/汲極層116及擴散障壁層114。應理解,磊晶源極/汲極層116可被配置成圖2A所示磊晶源極/汲極層116a至116b,且擴散障壁層114可被配置成圖2A所示擴散障壁層114a至114b。在再一些實施例中,第一電晶體裝置110a及第二電晶體裝置110b可各自被配置成圖2A所示第一電晶體110,使得第一電晶體裝置110a及第二電晶體裝置110b二者均被配置成N型金屬氧化物半導體(NMOS)電晶體。此外,第二半導體材料層206的厚度Tss可大於第一半導體材料層202的厚度Tfs。此外,側壁間隔件結構120的厚度Tsw可例如為約3奈米、4奈米、5奈米、在介於約3奈米至6奈米的範圍內、或者另一合適的值。絕緣層204的厚度Tii可例如為約18奈米、在介於約15奈米至20奈米的範圍內、或者另一合適的值。在一些實施例中,第一半導體材料層202的厚度Tfs可為約5奈米、在介於約5奈米至30奈米的範圍內、或者另一合適的值。The integrated chip 1000 includes a plurality of source/drain structures 1002 to 1006. The multiple source/drain structures 1002 to 1006 include a first source/drain structure 1002, a second source/drain structure 1004, and a third source/drain structure 1006. In addition, the first transistor device 110 a and the second transistor device 110 b each include a gate electrode 122, a gate dielectric layer 124 and a sidewall spacer structure 120. The first source/drain structure 1002 and the second source/drain structure 1004 are disposed on opposite sides of the gate electrode 122 of the first transistor device 110a. In addition, the second source/drain structure 1004 and the third source/drain structure 1006 are disposed on opposite sides of the gate electrode 122 of the second transistor device 110b. Therefore, the second source/drain structure 1004 is directly disposed between the first transistor device 110a and the second transistor device 110b, so that the second source/drain structure 1004 is a common source/drain structure. In addition, each of the source/drain structures 1002 to 1006 includes an epitaxial source/drain layer 116 and a diffusion barrier layer 114. It should be understood that the epitaxial source/drain layer 116 may be configured as the epitaxial source/drain layer 116a to 116b shown in FIG. 2A, and the diffusion barrier layer 114 may be configured as the diffusion barrier layer 114a to 114a shown in FIG. 2A. 114b. In still other embodiments, the first transistor device 110a and the second transistor device 110b can each be configured as the first transistor 110 shown in FIG. 2A, so that the first transistor device 110a and the second transistor device 110b are two All are configured as N-type metal oxide semiconductor (NMOS) transistors. In addition, the thickness Tss of the second semiconductor material layer 206 may be greater than the thickness Tfs of the first semiconductor material layer 202. In addition, the thickness Tsw of the sidewall spacer structure 120 may be, for example, about 3 nanometers, 4 nanometers, 5 nanometers, in the range of about 3 nanometers to 6 nanometers, or another suitable value. The thickness Tii of the insulating layer 204 may be, for example, about 18 nanometers, in the range of about 15 nanometers to 20 nanometers, or another suitable value. In some embodiments, the thickness Tfs of the first semiconductor material layer 202 may be about 5 nanometers, in the range of about 5 nanometers to 30 nanometers, or another suitable value.

圖10B示出圖10A所示積體晶片的一區段的一些實施例的剖視圖,其中擴散障壁層114自側壁間隔件結構120的側壁連續地延伸至第一半導體材料層202的上表面。在一些實施例中,擴散障壁層114及第一半導體材料層202各自具有帶有[100]定向的面心立方(fcc)結構。FIG. 10B shows a cross-sectional view of some embodiments of a section of the integrated wafer shown in FIG. 10A, in which the diffusion barrier layer 114 continuously extends from the sidewall of the sidewall spacer structure 120 to the upper surface of the first semiconductor material layer 202. In some embodiments, the diffusion barrier layer 114 and the first semiconductor material layer 202 each have a face-centered cubic (fcc) structure with a [100] orientation.

圖11至圖22示出根據本揭露的形成積體晶片的第一方法的一些實施例的剖視圖1100至剖視圖2200,所述積體晶片包括設置於基底內/基底之上的第一電晶體及第二電晶體,其中第一電晶體包括設置於基底與磊晶源極/汲極層之間的擴散障壁層。儘管圖11至圖22中所示的剖視圖1100至剖視圖2200是針對第一方法進行闡述,但應理解,圖11至圖22中所示的結構並非僅限於第一方法,而是可單獨地獨立於第一方法。儘管圖11至圖22被闡述為一系列動作,但應理解,該些動作並不限於所示次序,且在其他實施例中可改變動作的次序,且所揭露的方法亦可應用於其他結構。在其他實施例中,可全部或部分地省略示出和/或闡述的一些動作。FIGS. 11-22 show a cross-sectional view 1100 to a cross-sectional view 2200 of some embodiments of the first method of forming an integrated wafer according to the present disclosure. The integrated wafer includes a first transistor disposed in/on the substrate and The second transistor, wherein the first transistor includes a diffusion barrier layer disposed between the substrate and the epitaxial source/drain layer. Although the cross-sectional view 1100 to the cross-sectional view 2200 shown in FIGS. 11 to 22 are described for the first method, it should be understood that the structure shown in FIGS. 11 to 22 is not limited to the first method, but may be independently independent In the first method. Although FIGS. 11-22 are described as a series of actions, it should be understood that these actions are not limited to the order shown, and the order of actions can be changed in other embodiments, and the disclosed method can also be applied to other structures . In other embodiments, some actions shown and/or explained may be omitted in whole or in part.

如圖11的剖視圖1100中所示,提供半導體基底102,其中半導體基底102包括在側向上鄰近P型金屬氧化物半導體(PMOS)區203的N型金屬氧化物半導體(NMOS)區201。半導體基底102包括第一半導體材料層202、絕緣層204、及第二半導體材料層206。在各種實施例中,半導體基底102是絕緣體上半導體(SOI)基底。第一半導體材料層202可例如為或包含晶體矽、摻雜矽、本征矽、或者類似物。此外,第一半導體材料層202可具有帶有[100]定向的面心立方(fcc)結構。As shown in the cross-sectional view 1100 of FIG. 11, a semiconductor substrate 102 is provided, wherein the semiconductor substrate 102 includes an N-type metal oxide semiconductor (NMOS) region 201 that is laterally adjacent to a P-type metal oxide semiconductor (PMOS) region 203. The semiconductor substrate 102 includes a first semiconductor material layer 202, an insulating layer 204, and a second semiconductor material layer 206. In various embodiments, the semiconductor substrate 102 is a semiconductor-on-insulator (SOI) substrate. The first semiconductor material layer 202 may be, for example, or include crystalline silicon, doped silicon, intrinsic silicon, or the like. In addition, the first semiconductor material layer 202 may have a face-centered cubic (fcc) structure with a [100] orientation.

另外,如圖11中所示,對第一半導體材料層202執行薄化製程。在一些實施例中,薄化製程將第一半導體材料層202的初始厚度Tfi減小至厚度Tfs。初始厚度Tfi可例如在介於約20奈米至30奈米的範圍內、或者另一合適的值。此外,厚度Tfs為例如約5奈米、在介於約0.5奈米至15奈米的範圍內、或者另一合適的厚度值。薄化製程可例如包括執行平坦化製程(例如,化學機械平坦化(chemical mechanical planarization,CMP)製程)、機械研磨製程、蝕刻製程、另一種合適的薄化製程、或前述製程的任意組合。在實施例中,薄化製程可僅包括蝕刻製程,其中將第一半導體材料層202暴露於一種或多種蝕刻劑(例如鹽酸(hydrochloric acid,HCl)),藉此將第一半導體材料層202的厚度自初始厚度Tfi減小至厚度Tfs。In addition, as shown in FIG. 11, a thinning process is performed on the first semiconductor material layer 202. In some embodiments, the thinning process reduces the initial thickness Tfi of the first semiconductor material layer 202 to a thickness Tfs. The initial thickness Tfi may, for example, be in the range of about 20 nanometers to 30 nanometers, or another suitable value. In addition, the thickness Tfs is, for example, about 5 nanometers, in the range of about 0.5 nanometers to 15 nanometers, or another suitable thickness value. The thinning process may, for example, include performing a planarization process (for example, a chemical mechanical planarization (CMP) process), a mechanical polishing process, an etching process, another suitable thinning process, or any combination of the foregoing processes. In an embodiment, the thinning process may only include an etching process, in which the first semiconductor material layer 202 is exposed to one or more etchant (for example, hydrochloric acid (HCl)), thereby reducing the thickness of the first semiconductor material layer 202 The thickness decreases from the initial thickness Tfi to the thickness Tfs.

如圖12所示剖視圖1200中所示,在半導體基底102之上形成多個虛設閘極結構1202a至1202b及閘極介電層124,且在半導體基底102內形成隔離結構104。在一些實施例中,虛設閘極結構1202a至1202b可被配置成虛設閘極電極結構或被稱為虛設閘極電極結構。此外,所述多個虛設閘極結構1202a至1202b包括第一虛設閘極結構1202a及第二虛設閘極結構1202b。As shown in the cross-sectional view 1200 shown in FIG. 12, a plurality of dummy gate structures 1202a to 1202b and a gate dielectric layer 124 are formed on the semiconductor substrate 102, and an isolation structure 104 is formed in the semiconductor substrate 102. In some embodiments, the dummy gate structures 1202a to 1202b may be configured as dummy gate electrode structures or referred to as dummy gate electrode structures. In addition, the plurality of dummy gate structures 1202a to 1202b includes a first dummy gate structure 1202a and a second dummy gate structure 1202b.

另外,形成圖12所示結構的製程可例如包括在半導體基底102內形成隔離結構104,以及在半導體基底102之上形成閘極介電層124。隨後,在閘極介電層124之上形成所述多個虛設閘極結構1202a至1202b。虛設閘極結構1202a至1202b可包括多晶矽層1204、上部介電層1208及設置於多晶矽層1204與上部介電層1208之間的下部介電層1206。此外,在所述多個虛設閘極結構1202a至1202b之上沈積第一間隔件層1210,且在第一間隔件層1210之上沈積第二間隔件層1212。可例如藉由化學氣相沈積(chemical vapor deposition,CVD)、物理氣相沈積(physical vapor deposition,PVD)、原子層沈積(atomic layer deposition,ALD)、或者另一合適的沈積或生長製程來沈積第一間隔件層1210及第二間隔件層1212。在一些實施例中,第一間隔件層1210及第二間隔件層1212可為或包含氮化矽、碳化矽、另一種介電材料、或前述材料的任意組合。此外,在半導體基底102之上形成罩幕層1214,使得罩幕層1214覆蓋PMOS區203內的層,且未覆蓋及/或暴露NMOS區201的區。In addition, the process of forming the structure shown in FIG. 12 may include, for example, forming an isolation structure 104 in the semiconductor substrate 102 and forming a gate dielectric layer 124 on the semiconductor substrate 102. Subsequently, the plurality of dummy gate structures 1202a to 1202b are formed on the gate dielectric layer 124. The dummy gate structures 1202a to 1202b may include a polysilicon layer 1204, an upper dielectric layer 1208, and a lower dielectric layer 1206 disposed between the polysilicon layer 1204 and the upper dielectric layer 1208. In addition, a first spacer layer 1210 is deposited on the plurality of dummy gate structures 1202a to 1202b, and a second spacer layer 1212 is deposited on the first spacer layer 1210. It can be deposited, for example, by chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), or another suitable deposition or growth process. The first spacer layer 1210 and the second spacer layer 1212. In some embodiments, the first spacer layer 1210 and the second spacer layer 1212 may be or include silicon nitride, silicon carbide, another dielectric material, or any combination of the foregoing materials. In addition, a mask layer 1214 is formed on the semiconductor substrate 102 so that the mask layer 1214 covers the layers in the PMOS region 203 and does not cover and/or expose the regions of the NMOS region 201.

如圖13所示剖視圖1300中所示,在NMOS區201內對第一間隔件層1210及第二間隔件層1212執行圖案化製程,藉此在NMOS區201內形成側壁間隔件結構120及第一源極/汲極開口1302。在各種實施例中,側壁間隔件結構120包括沿著第一虛設閘極結構1202a的側壁設置的第一間隔件層1210及第二間隔件層1212。在一些實施例中,根據罩幕層(圖12所示1214)執行圖案化製程,且隨後執行移除製程以自半導體基底102之上移除罩幕層(圖12所示1214)。圖案化製程可例如包括執行濕式蝕刻製程、乾式蝕刻製程、或前述製程的任意組合。As shown in the cross-sectional view 1300 shown in FIG. 13, a patterning process is performed on the first spacer layer 1210 and the second spacer layer 1212 in the NMOS region 201, thereby forming the sidewall spacer structure 120 and the second spacer layer in the NMOS region 201 A source/drain opening 1302. In various embodiments, the sidewall spacer structure 120 includes a first spacer layer 1210 and a second spacer layer 1212 disposed along the sidewall of the first dummy gate structure 1202a. In some embodiments, the patterning process is performed according to the mask layer (1214 shown in FIG. 12), and then the removal process is performed to remove the mask layer from the semiconductor substrate 102 (1214 shown in FIG. 12). The patterning process may, for example, include performing a wet etching process, a dry etching process, or any combination of the foregoing processes.

如圖14所示剖視圖1400中所示,在第一源極/汲極開口(圖13所示1302)內以及在NMOS區201內的第一虛設閘極結構1202a的相對兩側上形成擴散障壁層114a至114b。在一些實施例中,可藉由選擇性磊晶生長製程形成擴散障壁層114a至114b,以選擇性地在第一源極/汲極開口(圖13所示1302)內沈積擴散障壁層114a至114b。此外,擴散障壁層114a至114b包含例如矽、具有第一摻雜類型(例如,N型)的第一摻雜劑(例如,砷(As)、磷(P)、或者類似物)、以及障壁摻雜劑(例如,碳)。選擇性磊晶生長製程可為磊晶製程或者另一種形式的沈積製程,例如,化學氣相沈積(CVD)、金屬有機化學氣相沈積(metal organic chemical vapor deposition,MO-CVD)、電漿增強型化學氣相沈積(plasma enhanced chemical vapor deposition,PE-CVD)、原子層沈積(ALD)、物理氣相沈積(PVD)、濺鍍、電子束/熱蒸鍍等。As shown in the cross-sectional view 1400 shown in FIG. 14, diffusion barriers are formed in the first source/drain opening (1302 shown in FIG. 13) and on opposite sides of the first dummy gate structure 1202a in the NMOS region 201 Layers 114a to 114b. In some embodiments, the diffusion barrier layers 114a to 114b can be formed by a selective epitaxial growth process to selectively deposit the diffusion barrier layers 114a to 114a in the first source/drain openings (1302 shown in FIG. 13). 114b. In addition, the diffusion barrier layers 114a to 114b include, for example, silicon, a first dopant having a first doping type (for example, N-type) (for example, arsenic (As), phosphorus (P), or the like), and barriers Dopants (for example, carbon). The selective epitaxial growth process can be an epitaxial process or another form of deposition process, such as chemical vapor deposition (CVD), metal organic chemical vapor deposition (MO-CVD), plasma enhanced Type chemical vapor deposition (plasma enhanced chemical vapor deposition, PE-CVD), atomic layer deposition (ALD), physical vapor deposition (PVD), sputtering, electron beam/thermal vapor deposition, etc.

在又一些實施例中,擴散障壁層114a至114b被形成為使得擴散障壁層114a至114b各自具有第一摻雜劑的第一摻雜濃度,所述第一摻雜劑的第一摻雜濃度為約1.2*1020 原子/立方釐米、約1.2*1021 原子/立方釐米、在介於約1019 至4*1021 原子/立方釐米的範圍內、或者另一合適的摻雜濃度值。在各種實施例中,擴散障壁層114a至114b中的每一者內的第一摻雜劑的第一原子百分比可為約百分之2、在介於約百分之0.2至百分之8的範圍內、或者另一合適的百分比值。此外,擴散障壁層114a至114b被形成為使得擴散障壁層114a至114b各自具有障壁摻雜劑的第二摻雜濃度,所述障壁摻雜劑的第二摻雜濃度為約5.2*1020 原子/立方釐米、在介於約1019 至3*1021 原子/立方釐米的範圍內、或者另一合適的摻雜濃度值。在各種實施例中,擴散障壁層114a至114b內的障壁摻雜劑的第二原子百分比可為約百分之1、在介於約百分之0.2至百分之6的範圍內、或者另一合適的百分比值。因此,在一些實施例中,擴散障壁層114a至114b內的障壁摻雜劑的第二原子百分比小於擴散障壁層114a至114b內的第一摻雜劑的第一原子百分比。In still other embodiments, the diffusion barrier layers 114a to 114b are formed such that the diffusion barrier layers 114a to 114b each have a first doping concentration of a first dopant, and the first doping concentration of the first dopant It is about 1.2*10 20 atoms/cc, about 1.2*10 21 atoms/cc, in the range of about 10 19 to 4*10 21 atoms/cc, or another suitable doping concentration value. In various embodiments, the first atomic percent of the first dopant in each of the diffusion barrier layers 114a to 114b may be about 2 percent, and may be between about 0.2 to 8 percent. , Or another suitable percentage value. In addition, the diffusion barrier layers 114a to 114b are formed such that the diffusion barrier layers 114a to 114b each have a second doping concentration of the barrier dopant, and the second doping concentration of the barrier dopant is about 5.2*10 20 atoms. /Cubic centimeter, in the range of about 10 19 to 3*10 21 atoms/cubic centimeter, or another suitable doping concentration value. In various embodiments, the second atomic percentage of the barrier dopant in the diffusion barrier layers 114a to 114b may be about one percent, in the range of about 0.2 to 6 percent, or another An appropriate percentage value. Therefore, in some embodiments, the second atomic percentage of the barrier dopant in the diffusion barrier layers 114a to 114b is less than the first atomic percentage of the first dopant in the diffusion barrier layers 114a to 114b.

此外,例如,假設擴散障壁層114a至114b包含矽、碳及磷(SiCP)。可在CVD反應器、LPCVD反應器、或超高真空CVD(ultra-high vacuum CVD,UHVCVD)中施行SiCP的沈積。反應器溫度可為約590攝氏度或者介於約500攝氏度與650攝氏度之間。另外,反應器壓力可為約10托或者介於約10托至300托之間。反應器中的載氣可由氫氣(H2 )或氮氣(N2 )組成。可使用矽前驅物氣體(例如,二氯矽烷(DCS或SiH2 Cl2 )、矽烷(SiH4 )或二矽烷(Si2 H6 ))及氯前驅物氣體(例如,氯化氫(HCl))來施行沈積。此外,沈積亦可使用磷源前驅物氣體(即,第一摻雜劑前驅物氣體)(例如,磷烷(PH3 ))及碳源前驅物氣體(即,障壁摻雜劑前驅物氣體)(例如,單甲基矽烷(CH6 Si))。在一些實施例中,前述沈積製程可被稱為選擇性磊晶生長製程。在替代實施例中,可使用砷前驅物氣體取代磷前驅物氣體,使得擴散障壁層114a至114b包含SiCAs。因此,可生長擴散障壁層114a至114b且使用第一摻雜劑及障壁摻雜劑對擴散障壁層114a至114b進行原位摻雜,使得擴散障壁層114a至114b被共摻雜(co-doped)為具有第一摻雜劑及障壁摻雜劑。在一些實施例中,障壁摻雜劑前驅物氣體的流量在沈積製程期間可為恆定的,使得擴散障壁層114a至114b內的障壁摻雜劑的摻雜輪廓具有高斯分佈(例如,如在圖9A中所示及/或所述)。在替代實施例中,障壁摻雜劑前驅物氣體的流量可在沈積製程期間逐漸地減少,使得擴散障壁層114a至114b內的障壁摻雜劑的摻雜輪廓具有梯度分佈(例如,如圖9B中所示及/或所述)。在再一些實施例中,在生長擴散障壁層114a至114b之後,可對擴散障壁層114a至114b執行一或多個摻雜製程,以使用第一摻雜劑及/或障壁摻雜劑選擇性地對擴散障壁層114進行摻雜,藉此將第一摻雜劑及/或障壁摻雜劑的摻雜濃度調整至合適的值。In addition, for example, it is assumed that the diffusion barrier layers 114a to 114b include silicon, carbon, and phosphorus (SiCP). The deposition of SiCP can be performed in a CVD reactor, an LPCVD reactor, or an ultra-high vacuum CVD (UHVCVD). The reactor temperature can be about 590 degrees Celsius or between about 500 degrees Celsius and 650 degrees Celsius. Additionally, the reactor pressure may be about 10 Torr or between about 10 Torr and 300 Torr. The carrier gas in the reactor can be composed of hydrogen (H 2 ) or nitrogen (N 2 ). Silicon precursor gas (for example, dichlorosilane (DCS or SiH 2 Cl 2 ), silane (SiH 4 ) or disilane (Si 2 H 6 )) and chlorine precursor gas (for example, hydrogen chloride (HCl)) can be used to Implement deposition. In addition, the deposition can also use phosphorous source precursor gas (ie, the first dopant precursor gas) (for example, phosphorane (PH 3 )) and carbon source precursor gas (ie, barrier dopant precursor gas) (For example, monomethylsilane (CH 6 Si)). In some embodiments, the aforementioned deposition process may be referred to as a selective epitaxial growth process. In an alternative embodiment, an arsenic precursor gas may be used instead of the phosphorus precursor gas, so that the diffusion barrier layers 114a to 114b include SiCAs. Therefore, the diffusion barrier layers 114a to 114b can be grown and the diffusion barrier layers 114a to 114b are doped in situ using the first dopant and the barrier dopant, so that the diffusion barrier layers 114a to 114b are co-doped. ) Is a dopant having a first dopant and a barrier rib. In some embodiments, the flow rate of the barrier dopant precursor gas may be constant during the deposition process, so that the doping profile of the barrier dopant in the diffusion barrier layers 114a to 114b has a Gaussian distribution (for example, as shown in FIG. As shown and/or described in 9A). In an alternative embodiment, the flow rate of the barrier dopant precursor gas may be gradually reduced during the deposition process, so that the doping profile of the barrier dopant in the diffusion barrier layers 114a to 114b has a gradient distribution (for example, as shown in FIG. 9B Shown and/or described in). In still other embodiments, after the diffusion barrier layers 114a to 114b are grown, one or more doping processes may be performed on the diffusion barrier layers 114a to 114b to use the first dopant and/or barrier dopant selective The diffusion barrier layer 114 is ground doped, thereby adjusting the doping concentration of the first dopant and/or the barrier dopant to an appropriate value.

在另一實施例中,形成擴散障壁層114a至114b可包括:在第一源極/汲極開口(圖13所示1302)內沈積磊晶矽層;以及對磊晶矽層執行一或多個摻雜製程,藉此形成擴散障壁層114a至114b。所述一或多個摻雜製程可包括將第一摻雜劑及障壁摻雜劑(例如,碳)選擇性地植入至磊晶矽層中,使得擴散障壁層114a至114b可被共摻雜為具有第一摻雜劑及障壁摻雜劑。此外,擴散障壁層114a至114b被形成為第一厚度t1,所述第一厚度t1可例如在介於約1奈米至5奈米的範圍內。此外,藉由使用磊晶製程形成擴散障壁層114a至114b,擴散障壁層114a至114b可具有與第一半導體材料層202相同的晶體結構及定向(例如,帶有[100]定向的面心立方(fcc)結構)。由於擴散障壁層114a至114b包含障壁摻雜劑(例如,碳),可在隨後的處理步驟期間及第一電晶體(圖20所示110)的操作期間減輕第一摻雜劑自擴散障壁層114a至114b及/或隨後形成的上覆的摻雜層(例如,圖15所示第一對磊晶源極/汲極層116a至116b)的擴散。In another embodiment, forming the diffusion barrier layers 114a to 114b may include: depositing an epitaxial silicon layer in the first source/drain opening (1302 shown in FIG. 13); and performing one or more epitaxial silicon layers on the epitaxial silicon layer. A doping process, thereby forming the diffusion barrier layers 114a to 114b. The one or more doping processes may include selectively implanting a first dopant and a barrier dopant (for example, carbon) into the epitaxial silicon layer, so that the diffusion barrier layers 114a to 114b can be co-doped The dopant has a first dopant and a barrier dopant. In addition, the diffusion barrier layers 114a to 114b are formed to a first thickness t1, and the first thickness t1 may, for example, be in the range of about 1 nanometer to 5 nanometers. In addition, by using an epitaxial process to form the diffusion barrier layers 114a to 114b, the diffusion barrier layers 114a to 114b can have the same crystal structure and orientation as the first semiconductor material layer 202 (for example, face-centered cubic with [100] orientation (Fcc) structure). Since the diffusion barrier layers 114a to 114b contain barrier dopants (for example, carbon), the first dopant self-diffusion barrier layer can be relieved during subsequent processing steps and during the operation of the first transistor (110 shown in FIG. 20) 114a to 114b and/or the subsequent formed overlying doped layers (for example, the first pair of epitaxial source/drain layers 116a to 116b shown in FIG. 15).

如圖15的剖視圖1500中所示,在擴散障壁層114a至114b之上以及在NMOS區201內形成第一對磊晶源極/汲極層116a至116b,藉此在第一虛設閘極結構1202a的相對兩側上形成第一對源極/汲極結構112a至112b。第一對源極/汲極結構112a至112b包括第一對磊晶源極/汲極層116a至116b及擴散障壁層114a至114b。在一些實施例中,可藉由選擇性磊晶生長製程形成第一對磊晶源極/汲極層116a至116b,以選擇性地在擴散障壁層114a至114b上沈積第一對磊晶源極/汲極層116a至116b。此外,第一對磊晶源極/汲極層116a至116b例如包含矽及第一摻雜劑(例如,砷(As)、磷(P)、或者類似物)。選擇性磊晶生長製程可為磊晶製程或者另一種形式的沈積製程,例如CVD、MO-CVD、PE-CVD、ALD、PVD、濺鍍、電子束/熱蒸鍍等。在一些實施例中,第一對磊晶源極/汲極層116a至116b內的第一摻雜劑可不同於擴散障壁層114a至114b內的第一摻雜劑。在一些實施例中,第一對磊晶源極/汲極層116a至116b被形成為使得第一對磊晶源極/汲極層116a至116b具有第一摻雜劑的摻雜濃度,所述第一摻雜劑的摻雜濃度為約3*1021 原子/立方釐米、在介於約1019 至4*1021 原子/立方釐米的範圍內、或者另一合適的摻雜濃度值。在再一些實施例中,第一對磊晶源極/汲極層116a至116b內的第一摻雜劑的原子百分比可為約百分之6、在介於約百分之0.2至百分之8的範圍內、或者另一合適的百分比值。As shown in the cross-sectional view 1500 of FIG. 15, a first pair of epitaxial source/drain layers 116a to 116b are formed on the diffusion barrier layers 114a to 114b and in the NMOS region 201, thereby forming a first pair of epitaxial source/drain layers 116a to 116b in the first dummy gate structure A first pair of source/drain structures 112a to 112b are formed on opposite sides of 1202a. The first pair of source/drain structures 112a to 112b includes a first pair of epitaxial source/drain layers 116a to 116b and diffusion barrier layers 114a to 114b. In some embodiments, the first pair of epitaxial source/drain layers 116a to 116b may be formed by a selective epitaxial growth process to selectively deposit the first pair of epitaxial sources on the diffusion barrier layers 114a to 114b The electrode/drain layers 116a to 116b. In addition, the first pair of epitaxial source/drain layers 116a to 116b includes, for example, silicon and a first dopant (for example, arsenic (As), phosphorus (P), or the like). The selective epitaxial growth process can be an epitaxial process or another form of deposition process, such as CVD, MO-CVD, PE-CVD, ALD, PVD, sputtering, electron beam/thermal evaporation, etc. In some embodiments, the first dopant in the first pair of epitaxial source/drain layers 116a to 116b may be different from the first dopant in the diffusion barrier layers 114a to 114b. In some embodiments, the first pair of epitaxial source/drain layers 116a to 116b is formed such that the first pair of epitaxial source/drain layers 116a to 116b has the doping concentration of the first dopant, so The doping concentration of the first dopant is about 3*10 21 atoms/cc, in the range of about 10 19 to 4*10 21 atoms/cc, or another suitable doping concentration value. In still other embodiments, the atomic percentage of the first dopant in the first pair of epitaxial source/drain layers 116a to 116b may be about 6%, and may be between about 0.2% and 100%. Within the range of 8, or another suitable percentage value.

此外,例如,假設第一對磊晶源極/汲極層116a至116b包含矽及磷(SiP)。可在CVD反應器、LPCVD反應器、或超高真空CVD(UHVCVD)中施行SiP的沈積。反應器溫度可為約680攝氏度或者介於約550攝氏度與750攝氏度之間。另外,反應器壓力可為約300托或者介於約50托至500托之間。反應器中的載氣可由氫氣(H2 )或氮氣(N2 )組成。可使用矽前驅物氣體(例如,二氯矽烷(DCS或SiH2 Cl2 )、矽烷(SiH4 )或二矽烷(Si2 H6 ))及氯前驅物氣體(例如,氯化氫(HCl))來施行沈積。在一些實施例中,前述沈積製程可被稱為選擇性磊晶生長製程。沈積製程可例如亦使用磷源前驅物氣體(即,第一摻雜劑前驅物氣體),例如磷烷(PH3 )。在替代實施例中,可例如使用砷前驅物氣體取代磷前驅物氣體,使得第一對磊晶源極/汲極層116a至116b包含SiAs。因此,可生長第一對磊晶源極/汲極層116a至116b且使用第一摻雜劑對第一對磊晶源極/汲極層116a至116b進行原位摻雜。在再一些實施例中,在生長第一對磊晶源極/汲極層116a至116b之後,可對第一對磊晶源極/汲極層116a至116b執行一或多個摻雜製程,以使用第一摻雜劑選擇性地對第一對磊晶源極/汲極層116a至116b進行摻雜,藉此將第一摻雜劑的摻雜濃度調整至合適的值。In addition, for example, suppose that the first pair of epitaxial source/drain layers 116a to 116b includes silicon and phosphorus (SiP). The deposition of SiP can be performed in a CVD reactor, an LPCVD reactor, or an ultra-high vacuum CVD (UHVCVD). The reactor temperature can be about 680 degrees Celsius or between about 550 degrees Celsius and 750 degrees Celsius. Additionally, the reactor pressure may be about 300 Torr or between about 50 Torr and 500 Torr. The carrier gas in the reactor can be composed of hydrogen (H 2 ) or nitrogen (N 2 ). Silicon precursor gas (for example, dichlorosilane (DCS or SiH 2 Cl 2 ), silane (SiH 4 ) or disilane (Si 2 H 6 )) and chlorine precursor gas (for example, hydrogen chloride (HCl)) can be used to Implement deposition. In some embodiments, the aforementioned deposition process may be referred to as a selective epitaxial growth process. The deposition process may, for example, also use a phosphorous source precursor gas (ie, the first dopant precursor gas), such as phosphorane (PH 3 ). In an alternative embodiment, for example, an arsenic precursor gas may be used instead of the phosphorus precursor gas, so that the first pair of epitaxial source/drain layers 116a to 116b include SiAs. Therefore, the first pair of epitaxial source/drain layers 116a to 116b can be grown and the first pair of epitaxial source/drain layers 116a to 116b can be doped in-situ using the first dopant. In still other embodiments, after growing the first pair of epitaxial source/drain layers 116a to 116b, one or more doping processes may be performed on the first pair of epitaxial source/drain layers 116a to 116b, The first pair of epitaxial source/drain layers 116a to 116b is selectively doped with the first dopant, thereby adjusting the doping concentration of the first dopant to an appropriate value.

在另一實施例中,形成第一對磊晶源極/汲極層116a至116b可包括:在擴散障壁層114a至114b內/擴散障壁層114a至114b之上沈積磊晶矽層;以及對磊晶矽層執行一或多個摻雜製程,藉此形成第一對磊晶源極/汲極層116a至116b。所述一或多個摻雜製程可包括將第一摻雜劑選擇性地植入至磊晶矽層中,使得第一對磊晶源極/汲極層116a至116b摻雜有第一摻雜劑。此外,第一對磊晶源極/汲極層116a至116b被形成為第二厚度t2,所述第二厚度t2例如可介於約5奈米至40奈米的範圍內、或者另一合適的值。此外,藉由使用磊晶製程形成第一對磊晶源極/汲極層116a至116b,第一對磊晶源極/汲極層116a至116b可具有與第一半導體材料層202及/或擴散障壁層114a至114b相同的晶體結構及定向(例如,帶有[100]定向的面心立方(fcc)結構)。In another embodiment, forming the first pair of epitaxial source/drain layers 116a to 116b may include: depositing an epitaxial silicon layer in/on the diffusion barrier layers 114a to 114b; and The epitaxial silicon layer performs one or more doping processes, thereby forming a first pair of epitaxial source/drain layers 116a to 116b. The one or more doping processes may include selectively implanting a first dopant into the epitaxial silicon layer, so that the first pair of epitaxial source/drain layers 116a to 116b is doped with the first dopant. Miscellaneous agents. In addition, the first pair of epitaxial source/drain layers 116a to 116b is formed to a second thickness t2, which may be in the range of about 5 nm to 40 nm, or another suitable thickness. Value. In addition, by using an epitaxial process to form the first pair of epitaxial source/drain layers 116a to 116b, the first pair of epitaxial source/drain layers 116a to 116b may have the same structure as the first semiconductor material layer 202 and/or The diffusion barrier layers 114a to 114b have the same crystal structure and orientation (for example, a face-centered cubic (fcc) structure with [100] orientation).

如圖16的剖視圖1600中所示,在圖15所示結構之上選擇性地形成罩幕層1602。罩幕層1602暴露/未遮罩PMOS區203的區域。As shown in the cross-sectional view 1600 of FIG. 16, a mask layer 1602 is selectively formed on the structure shown in FIG. 15. The mask layer 1602 exposes/unmasks the area of the PMOS region 203.

如圖17的剖視圖1700中所示,根據罩幕層1602對第一半導體材料層202執行圖案化製程,藉此在第一半導體材料層202內及第二虛設閘極結構1202b的相對兩側上形成第二源極/汲極層開口1702。圖案化製程進一步形成沿著第二虛設閘極結構1202b的相對兩側壁設置的側壁間隔件結構120。在一些實施例中,圖案化製程可包括對圖16所示結構的未被遮罩的區域執行乾式蝕刻製程、濕式蝕刻製程、或者另一種合適的蝕刻製程。As shown in the cross-sectional view 1700 of FIG. 17, a patterning process is performed on the first semiconductor material layer 202 according to the mask layer 1602, thereby forming a pattern in the first semiconductor material layer 202 and on opposite sides of the second dummy gate structure 1202b. A second source/drain layer opening 1702 is formed. The patterning process further forms the sidewall spacer structure 120 disposed along the two opposite sidewalls of the second dummy gate structure 1202b. In some embodiments, the patterning process may include performing a dry etching process, a wet etching process, or another suitable etching process on the unmasked area of the structure shown in FIG. 16.

如圖18所示剖視圖1800中所示,在第二源極/汲極層開口(圖17所示1702)內形成第二對磊晶源極/汲極層210a至210b且第二對磊晶源極/汲極層210a至210b設置於第二虛設閘極結構1202b的相對兩側上。在一些實施例中,可藉由選擇性磊晶生長製程選擇性地形成第二對磊晶源極/汲極層210a至210b,以在第一半導體材料層202上選擇性地沈積第二對磊晶源極/汲極層210a至210b。此外,第二對磊晶源極/汲極層210a至210b各自包含例如矽鍺(SiGe)且各自具有與第一摻雜類型(例如,N型)相反的第二摻雜類型(例如,P型)。選擇性磊晶生長製程可為磊晶製程或者另一種形式的沈積製程,例如CVD、MO-CVD、PE-CVD、ALD、PVD、濺鍍、電子束/熱蒸鍍等。在再一些實施例中,第二對磊晶源極/汲極層210a至210b不含第一摻雜劑及/或障壁摻雜劑,使得磊晶源極/汲極層210a至210b中的每一者內的第一摻雜劑及/或障壁摻雜劑的摻雜濃度分別小於磊晶源極/汲極層116a至116b及擴散障壁層114內的對應的摻雜濃度。此外,在形成第二對磊晶源極/汲極層210a至210b之後,執行一或多個移除製程以移除罩幕層1602,及/或沿著第一半導體材料層202(未示出)的頂表面設置的第一間隔件層1210及第二間隔件層1212。As shown in the cross-sectional view 1800 shown in FIG. 18, a second pair of epitaxial source/drain layers 210a to 210b is formed in the second source/drain layer opening (1702 as shown in FIG. 17) and the second pair of epitaxial The source/drain layers 210a to 210b are disposed on opposite sides of the second dummy gate structure 1202b. In some embodiments, the second pair of epitaxial source/drain layers 210a to 210b may be selectively formed by a selective epitaxial growth process to selectively deposit the second pair on the first semiconductor material layer 202 The epitaxial source/drain layers 210a to 210b. In addition, the second pair of epitaxial source/drain layers 210a to 210b each include, for example, silicon germanium (SiGe) and each has a second doping type (e.g., P type) opposite to the first doping type (e.g., N type) type). The selective epitaxial growth process can be an epitaxial process or another form of deposition process, such as CVD, MO-CVD, PE-CVD, ALD, PVD, sputtering, electron beam/thermal evaporation, etc. In still other embodiments, the second pair of epitaxial source/drain layers 210a to 210b does not contain the first dopant and/or barrier dopant, so that the epitaxial source/drain layers 210a to 210b The doping concentration of the first dopant and/or barrier dopant in each is smaller than the corresponding doping concentration in the epitaxial source/drain layers 116a to 116b and the diffusion barrier layer 114, respectively. In addition, after forming the second pair of epitaxial source/drain layers 210a to 210b, one or more removal processes are performed to remove the mask layer 1602 and/or along the first semiconductor material layer 202 (not shown) Out) are provided on the top surface of the first spacer layer 1210 and the second spacer layer 1212.

如圖19的剖視圖1900中所示,在第一對磊晶源極/汲極層116a至116b及第二對磊晶源極/汲極層210a至210b之上形成矽化物層118。在一些實施例中,矽化物層118可例如為或包含矽化鎳、矽化鈦、另一種合適的材料、或前述材料的任意組合。As shown in the cross-sectional view 1900 of FIG. 19, a silicide layer 118 is formed on the first pair of epitaxial source/drain layers 116a to 116b and the second pair of epitaxial source/drain layers 210a to 210b. In some embodiments, the silicide layer 118 may be, for example, or include nickel silicide, titanium silicide, another suitable material, or any combination of the foregoing materials.

如圖20的剖視圖2000中所示,在半導體基底102之上沈積下部層間介電(ILD)層2002。在一些實施例中,可藉由CVD、PVD、ALD、或者另一種合適的生長或沈積製程來沈積下部ILD層2002。下部ILD層2002可例如為或包含二氧化矽、低介電常數介電材料、或者類似物。此外,執行選擇性移除製程以自NMOS區201移除第一虛設閘極結構(圖19所示1202a),且隨後在NMOS區201內的閘極介電層124之上形成閘極電極122,藉此形成第一電晶體110。選擇性移除製程可例如包括:在PMOS區203之上及/或在NMOS區201的區域之上形成罩幕層(未示出);根據罩幕層對NMOS區201執行圖案化製程,藉此移除第一虛設閘極結構(圖19所示1202a)且在NMOS區201中的閘極介電層124之上形成第一閘極電極開口(未示出)。此外,形成第一電晶體110的閘極電極122包括在NMOS區201內的閘極介電層124之上(例如,藉由CVD、PVD、濺鍍、電鍍、無電鍍覆、或者另一種合適的沈積或生長製程)沈積閘極電極材料。As shown in the cross-sectional view 2000 of FIG. 20, a lower interlayer dielectric (ILD) layer 2002 is deposited on the semiconductor substrate 102. In some embodiments, the lower ILD layer 2002 may be deposited by CVD, PVD, ALD, or another suitable growth or deposition process. The lower ILD layer 2002 may be, for example, or include silicon dioxide, a low-k dielectric material, or the like. In addition, a selective removal process is performed to remove the first dummy gate structure (1202a shown in FIG. 19) from the NMOS region 201, and then a gate electrode 122 is formed on the gate dielectric layer 124 in the NMOS region 201 , Thereby forming the first transistor 110. The selective removal process may include, for example: forming a mask layer (not shown) on the PMOS region 203 and/or on the region of the NMOS region 201; performing a patterning process on the NMOS region 201 according to the mask layer, by This removes the first dummy gate structure (1202a shown in FIG. 19) and forms a first gate electrode opening (not shown) on the gate dielectric layer 124 in the NMOS region 201. In addition, the gate electrode 122 forming the first transistor 110 is included on the gate dielectric layer 124 in the NMOS region 201 (for example, by CVD, PVD, sputtering, electroplating, electroless plating, or another suitable Deposition or growth process) to deposit gate electrode material.

如圖21的剖視圖2100中所示,在PMOS區203內的閘極介電層124之上形成閘極電極122,藉此形成第二電晶體208。形成第二電晶體208的閘極電極122可例如包括:在NMOS區201之上及/或在PMOS區203的區域之上形成罩幕層(未示出);根據罩幕層對PMOS區203執行圖案化製程,藉此移除第二虛設閘極結構(圖20所示1202b);以及在PMOS區203內的閘極介電層124之上沈積閘極電極材料(例如,藉由CVD、PVD、濺鍍、電鍍、無電鍍覆、或另一種合適的沈積或生長製程),藉此形成第二電晶體208的閘極電極122。As shown in the cross-sectional view 2100 of FIG. 21, a gate electrode 122 is formed on the gate dielectric layer 124 in the PMOS region 203, thereby forming the second transistor 208. Forming the gate electrode 122 of the second transistor 208 may, for example, include: forming a mask layer (not shown) on the NMOS region 201 and/or on the area of the PMOS region 203; Perform a patterning process to remove the second dummy gate structure (1202b shown in FIG. 20); and deposit gate electrode material on the gate dielectric layer 124 in the PMOS region 203 (for example, by CVD, PVD, sputtering, electroplating, electroless plating, or another suitable deposition or growth process), thereby forming the gate electrode 122 of the second transistor 208.

如圖22的剖視圖2200中所示,在下部ILD層2002之上形成上部ILD層2202,且在下部ILD層2002及上部ILD層2202內形成多個導電接觸件128。在一些實施例中,可藉由CVD、PVD、ALD、或者另一種合適的生長或形成製程來沈積上部ILD層2202。此外,可例如藉由單鑲嵌製程或者另一合適的形成製程來形成所述多個導電接觸件128。As shown in the cross-sectional view 2200 of FIG. 22, an upper ILD layer 2202 is formed on the lower ILD layer 2002, and a plurality of conductive contacts 128 are formed in the lower ILD layer 2002 and the upper ILD layer 2202. In some embodiments, the upper ILD layer 2202 may be deposited by CVD, PVD, ALD, or another suitable growth or formation process. In addition, the plurality of conductive contacts 128 may be formed, for example, by a single damascene process or another suitable forming process.

圖23至圖25示出根據本揭露的形成積體晶片的第二方法的一些實施例的剖視圖2300至剖視圖2500,所述積體晶片包括設置於基底內/基底之上的第一電晶體及第二電晶體,其中第一電晶體包括設置於基底與磊晶源極/汲極層之間的擴散障壁層。在一些實施例中,圖23至圖25示出可取代第一方法的圖14至圖18處的動作來執行的動作的一些實施例。因此,第二方法示出圖11至圖22所示第一方法的一些替代實施例。舉例而言,第二方法可自圖11至圖13進行至圖23至圖25,且然後自圖25進行至圖19至圖22(即,跳過圖14至圖18)。在此種實施例中,第二方法示出形成第一對源極/汲極結構112a至112b的一些替代實施例。Figures 23 to 25 show cross-sectional views 2300 to 2500 of some embodiments of the second method of forming an integrated wafer according to the present disclosure. The integrated wafer includes a first transistor disposed in/on the substrate and The second transistor, wherein the first transistor includes a diffusion barrier layer disposed between the substrate and the epitaxial source/drain layer. In some embodiments, FIGS. 23-25 show some embodiments of actions that can be performed in place of the actions at FIGS. 14-18 of the first method. Therefore, the second method shows some alternative embodiments of the first method shown in FIGS. 11-22. For example, the second method may proceed from FIG. 11 to FIG. 13 to FIG. 23 to FIG. 25, and then proceed from FIG. 25 to FIG. 19 to FIG. 22 (ie, skip FIG. 14 to FIG. 18). In such an embodiment, the second method shows some alternative embodiments of forming the first pair of source/drain structures 112a to 112b.

如圖23的剖視圖2300中所示,對第一半導體材料層202執行摻雜製程,藉此在第一半導體材料層202內形成擴散障壁層114a至114b。在此種實施例中,擴散障壁層114a至114b可被稱為擴散障壁區。摻雜製程可例如包括將第一半導體材料層202的未被遮罩的區(例如,在摻雜製程期間,使用第一間隔件層1210及第二間隔件層1212作為罩幕層)暴露至一種或多種摻雜劑。在一些實施例中,所述一種或多種摻雜劑可包括障壁摻雜劑(例如,碳)及/或第一摻雜劑(例如,磷、砷、或者類似物)。在此種實施例中,可如圖3A中所示及/或所述來配置擴散障壁層114a至114b。在再一些實施例中,擴散障壁層114a至114b不含第一摻雜劑(例如,磷及/或砷),使得擴散障壁層114由以下材料組成或實質上由以下材料組成:矽及障壁摻雜劑(例如,碳),例如SiC。另外,所述一種或多種摻雜劑可相對於第一半導體材料層202的頂表面以一定角度設置,其中所述角度等於或大於90度。As shown in the cross-sectional view 2300 of FIG. 23, a doping process is performed on the first semiconductor material layer 202, thereby forming diffusion barrier layers 114a to 114b in the first semiconductor material layer 202. In such an embodiment, the diffusion barrier layers 114a to 114b may be referred to as diffusion barrier regions. The doping process may include, for example, exposing unmasked regions of the first semiconductor material layer 202 (for example, during the doping process, using the first spacer layer 1210 and the second spacer layer 1212 as mask layers) to One or more dopants. In some embodiments, the one or more dopants may include barrier dopants (for example, carbon) and/or first dopants (for example, phosphorus, arsenic, or the like). In such an embodiment, the diffusion barrier layers 114a to 114b may be configured as shown and/or described in FIG. 3A. In still other embodiments, the diffusion barrier layers 114a to 114b do not contain the first dopant (for example, phosphorus and/or arsenic), so that the diffusion barrier layer 114 is composed of or substantially composed of the following materials: silicon and barriers Dopants (for example, carbon), such as SiC. In addition, the one or more dopants may be disposed at an angle with respect to the top surface of the first semiconductor material layer 202, wherein the angle is equal to or greater than 90 degrees.

在一些實施例中,擴散障壁層114a至114b被形成為使得擴散障壁層114a至114b各自具有第一摻雜劑的第一摻雜濃度,所述第一摻雜劑的第一摻雜濃度為約1.2*1020 原子/立方釐米、約1.2*1021 原子/立方釐米、在介於約1019 至4*1021 原子/立方釐米的範圍內、或者另一合適的摻雜濃度值。在各種實施例中,擴散障壁層114a至114b中的每一者內的第一摻雜劑的第一原子百分比可為約百分之2、在介於約百分之0.2至百分之8的範圍內、或者另一合適的百分比值。此外,擴散障壁層114a至114b被形成為使得擴散障壁層114a至114b各自具有障壁摻雜劑的第二摻雜濃度,所述障壁摻雜劑的第二摻雜濃度例如為5.2*1020 原子/立方釐米、在介於約1019 至3*1021 原子/立方釐米的範圍內、或者另一合適的摻雜濃度值。在各種實施例中,擴散障壁層114a至114b內的障壁摻雜劑的第二原子百分比可例如為約百分之1、在介於約百分之0.2至百分之6的範圍內、或者另一合適的百分比值。In some embodiments, the diffusion barrier layers 114a to 114b are formed such that the diffusion barrier layers 114a to 114b each have a first dopant concentration of a first dopant, and the first dopant concentration of the first dopant is About 1.2*10 20 atoms/cc, about 1.2*10 21 atoms/cc, in the range of about 10 19 to 4*10 21 atoms/cc, or another suitable doping concentration value. In various embodiments, the first atomic percent of the first dopant in each of the diffusion barrier layers 114a to 114b may be about 2 percent, and may be between about 0.2 to 8 percent. , Or another suitable percentage value. In addition, the diffusion barrier layers 114a to 114b are formed such that the diffusion barrier layers 114a to 114b each have a second doping concentration of the barrier dopant, and the second doping concentration of the barrier dopant is, for example, 5.2*10 20 atoms. /Cubic centimeter, in the range of about 10 19 to 3*10 21 atoms/cubic centimeter, or another suitable doping concentration value. In various embodiments, the second atomic percentage of the barrier dopant in the diffusion barrier layers 114a to 114b may be, for example, about 1%, in the range of about 0.2% to 6%, or Another suitable percentage value.

在一些實施例中,摻雜製程包括執行單個植入製程,其中植入至第一半導體材料層202中的所述一種或多種摻雜劑的濃度在單個植入製程期間是恆定的。在此種實施例中,擴散障壁層114a至114b內的碳的摻雜輪廓具有高斯分佈(例如,如圖9A中所示及/或所述)。在替代實施例中,摻雜製程包括執行多個植入製程,其中每一植入製程可被配置成在擴散障壁層114a至114b內植入不同濃度的碳。在此種實施例中,擴散障壁層114a至114b內的碳的摻雜輪廓具有梯度分佈(例如,如圖9B中所示及/或所述)。In some embodiments, the doping process includes performing a single implantation process, wherein the concentration of the one or more dopants implanted into the first semiconductor material layer 202 is constant during the single implantation process. In such an embodiment, the doping profile of carbon in the diffusion barrier layers 114a to 114b has a Gaussian distribution (for example, as shown and/or described in FIG. 9A). In an alternative embodiment, the doping process includes performing multiple implantation processes, where each implantation process can be configured to implant different concentrations of carbon in the diffusion barrier layers 114a to 114b. In such an embodiment, the doping profile of carbon in the diffusion barrier layers 114a to 114b has a gradient distribution (for example, as shown and/or described in FIG. 9B).

如圖24所示剖視圖2400中所示,在擴散障壁層114a至114b之上形成第一對磊晶源極/汲極層116a至116b,藉此形成第一對源極/汲極結構112a至112b。在一些實施例中,如圖15中所示及/或所述形成第一對磊晶源極/汲極層116a至116b。此外,如圖24中所示,在NMOS區201之上形成罩幕層1602,且根據罩幕層1602對第一間隔件層1210及第二間隔件層1212執行圖案化製程,藉此在PMOS區203內形成第二源極/汲極層開口1702。在一些實施例中,圖案化製程不會過度蝕刻至第一半導體材料層202中。As shown in the cross-sectional view 2400 shown in FIG. 24, a first pair of epitaxial source/drain layers 116a to 116b are formed on the diffusion barrier layers 114a to 114b, thereby forming a first pair of source/drain structures 112a to 112a to 114b. 112b. In some embodiments, the first pair of epitaxial source/drain layers 116a to 116b are formed as shown and/or described in FIG. 15. In addition, as shown in FIG. 24, a mask layer 1602 is formed on the NMOS region 201, and a patterning process is performed on the first spacer layer 1210 and the second spacer layer 1212 according to the mask layer 1602, whereby the PMOS A second source/drain layer opening 1702 is formed in the region 203. In some embodiments, the patterning process does not overetch into the first semiconductor material layer 202.

如圖25的剖視圖2500中所示,在第一半導體材料層202之上形成第二對磊晶源極/汲極層210a至210b。在此種實施例中,第二對磊晶源極/汲極層210a至210b的底表面是沿著第一半導體材料層202的頂表面設置。在一些實施例中,如圖18中所示及/或所述形成第二對磊晶源極/汲極層210a至210b。此外,在形成第二對磊晶源極/汲極層210a至210b之後,執行一或多個移除製程以移除罩幕層1602及/或沿著第一半導體材料層202(未示出)的頂表面設置的第一間隔件層1210及第二間隔件層1212。As shown in the cross-sectional view 2500 of FIG. 25, a second pair of epitaxial source/drain layers 210a to 210b are formed on the first semiconductor material layer 202. In this embodiment, the bottom surfaces of the second pair of epitaxial source/drain layers 210 a to 210 b are arranged along the top surface of the first semiconductor material layer 202. In some embodiments, the second pair of epitaxial source/drain layers 210a to 210b are formed as shown and/or described in FIG. 18. In addition, after forming the second pair of epitaxial source/drain layers 210a to 210b, one or more removal processes are performed to remove the mask layer 1602 and/or along the first semiconductor material layer 202 (not shown) ) Are provided on the top surface of the first spacer layer 1210 and the second spacer layer 1212.

圖26示出根據一些實施例的形成積體晶片的方法2600,所述積體晶片包括設置於基底內/基底之上的第一電晶體及第二電晶體,其中第一電晶體包括設置於基底與磊晶源極/汲極層之間的擴散障壁層。儘管方法2600被示出及/或闡述為一系列動作或事件,然而應理解,所述方法不限於所示次序或動作。因此,在一些實施例中,可以與所示次序不同的次序施行所述動作和/或可同時施行所述動作。此外,在一些實施例中,可將所示動作或事件細分成多個動作或事件,所述多個動作或事件可分次單獨施行或與其他動作或子動作同時施行。在一些實施例中,可省略一些所示動作或事件,且可包括其他未示出的動作或事件。FIG. 26 shows a method 2600 of forming an integrated wafer according to some embodiments. The integrated wafer includes a first transistor and a second transistor disposed in/on the substrate, wherein the first transistor includes The diffusion barrier layer between the substrate and the epitaxial source/drain layer. Although the method 2600 is shown and/or described as a series of actions or events, it should be understood that the method is not limited to the order or actions shown. Therefore, in some embodiments, the actions may be performed in a different order than shown and/or the actions may be performed simultaneously. In addition, in some embodiments, the actions or events shown can be subdivided into multiple actions or events, and the multiple actions or events can be performed separately or simultaneously with other actions or sub-actions. In some embodiments, some of the illustrated actions or events may be omitted, and other actions or events that are not illustrated may be included.

在動作2602處,在半導體基底之上形成多個虛設閘極結構。在半導體基底的NMOS區中形成第一虛設閘極結構,且在半導體基底的PMOS區中形成第二虛設閘極結構。圖12示出與動作2602的一些實施例對應的剖視圖1200。At act 2602, a plurality of dummy gate structures are formed on the semiconductor substrate. A first dummy gate structure is formed in the NMOS region of the semiconductor substrate, and a second dummy gate structure is formed in the PMOS region of the semiconductor substrate. FIG. 12 shows a cross-sectional view 1200 corresponding to some embodiments of act 2602.

在動作2604處,在第一虛設閘極結構的相對兩側上形成擴散障壁層,其中擴散障壁層包含障壁摻雜劑。圖13及圖14示出與動作2604的一些實施例對應的剖視圖1300及剖視圖1400。此外,圖23示出與動作2604的一些替代實施例對應的剖視圖2300。At act 2604, a diffusion barrier layer is formed on opposite sides of the first dummy gate structure, wherein the diffusion barrier layer includes a barrier dopant. 13 and 14 show a cross-sectional view 1300 and a cross-sectional view 1400 corresponding to some embodiments of the act 2604. In addition, FIG. 23 shows a cross-sectional view 2300 corresponding to some alternative embodiments of act 2604.

在動作2606處,在擴散障壁層上形成第一對磊晶源極/汲極層,使得第一對磊晶源極/汲極層包含與障壁摻雜劑不同的第一摻雜劑。圖15示出與動作2606的一些實施例對應的剖視圖1500。圖24示出與動作2606的一些替代實施例對應的剖視圖2400。At act 2606, a first pair of epitaxial source/drain layers is formed on the diffusion barrier layer, so that the first pair of epitaxial source/drain layers includes a first dopant that is different from the barrier dopant. FIG. 15 shows a cross-sectional view 1500 corresponding to some embodiments of act 2606. FIG. 24 shows a cross-sectional view 2400 corresponding to some alternative embodiments of act 2606.

在動作2608處,在第二虛設閘極結構的相對兩側上形成第二對磊晶源極/汲極層。圖17及圖18示出與動作2608的一些實施例對應的剖視圖1700及剖視圖1800。圖24及圖25示出與動作2608的一些替代實施例對應的剖視圖2400及剖視圖2500。At act 2608, a second pair of epitaxial source/drain layers are formed on opposite sides of the second dummy gate structure. 17 and 18 show a cross-sectional view 1700 and a cross-sectional view 1800 corresponding to some embodiments of the act 2608. 24 and 25 show a cross-sectional view 2400 and a cross-sectional view 2500 corresponding to some alternative embodiments of the action 2608.

在動作2610處,執行移除製程以移除所述多個虛設閘極結構。圖20及圖21示出與動作2610的一些實施例對應的剖視圖2000及剖視圖2100。At act 2610, a removal process is performed to remove the plurality of dummy gate structures. 20 and 21 show a cross-sectional view 2000 and a cross-sectional view 2100 corresponding to some embodiments of act 2610.

在動作2612處,在半導體基底的NMOS區及PMOS區內形成閘極電極。圖20及圖21示出與動作2612的一些實施例對應的剖視圖2000及剖視圖2100。At act 2612, gate electrodes are formed in the NMOS region and the PMOS region of the semiconductor substrate. 20 and 21 show a cross-sectional view 2000 and a cross-sectional view 2100 corresponding to some embodiments of act 2612.

在動作2614處,在閘極電極以及第一對磊晶源極/汲極層及第二對磊晶源極/汲極層之上形成多個導電接觸件。圖22示出與動作2612的一些實施例對應的剖視圖2200。At act 2614, a plurality of conductive contacts are formed on the gate electrode and the first pair of epitaxial source/drain layers and the second pair of epitaxial source/drain layers. FIG. 22 shows a cross-sectional view 2200 corresponding to some embodiments of act 2612.

因此,在一些實施例中,本申請案是有關於一種包括設置於半導體基底與磊晶源極/汲極層之間的擴散障壁層的半導體結構。Therefore, in some embodiments, this application relates to a semiconductor structure including a diffusion barrier layer disposed between the semiconductor substrate and the epitaxial source/drain layer.

在一些實施例中,本申請案提供一種半導體裝置,所述半導體裝置包括:閘極電極,上覆於半導體基底上;磊晶源極/汲極層,設置於所述半導體基底上且在側向上鄰近所述閘極電極,其中所述磊晶源極/汲極層包含第一摻雜劑;以及擴散障壁層,位於所述磊晶源極/汲極層與所述半導體基底之間,其中所述擴散障壁層包含與所述第一摻雜劑不同的障壁摻雜劑。在實施例中,所述擴散障壁層被共摻雜為具有所述障壁摻雜劑及所述第一摻雜劑。在實施例中,所述磊晶源極/汲極層內的所述第一摻雜劑的摻雜濃度大於所述擴散障壁層內的所述第一摻雜劑的摻雜濃度,其中所述擴散障壁層內的所述障壁摻雜劑的摻雜濃度小於所述擴散障壁層內的所述第一摻雜劑的所述摻雜濃度。在實施例中,所述障壁摻雜劑被配置成防止所述第一摻雜劑自所述磊晶源極/汲極層擴散至所述半導體基底的直接位於所述閘極電極之下的區。在實施例中,所述擴散障壁層包含磊晶矽,且所述磊晶源極/汲極層的厚度大於所述擴散障壁層的厚度。在實施例中,所述擴散障壁層的底表面設置於所述半導體基底的頂表面下方,且其中所述磊晶源極/汲極層的底表面在垂直方向上位於所述半導體基底的所述頂表面上方。在實施例中,所述擴散障壁層實質上由矽、碳及磷(SiCP)組成,且所述磊晶源極/汲極層實質上由矽及磷(SiP)組成。在實施例中,所述擴散障壁層實質上由矽、碳及砷(SiCAs)組成,且所述磊晶源極/汲極層實質上由矽及砷(SiAs)組成。在實施例中,所述擴散障壁層是所述半導體基底的自所述半導體基底的頂表面延伸至位於所述半導體基底的所述頂表面下方的點的摻雜區,其中所述磊晶源極/汲極層沿著所述擴散障壁層的頂表面設置。In some embodiments, the present application provides a semiconductor device, the semiconductor device includes: a gate electrode overlying a semiconductor substrate; an epitaxial source/drain layer disposed on the semiconductor substrate and on the side Upwardly adjacent to the gate electrode, wherein the epitaxial source/drain layer includes a first dopant; and a diffusion barrier layer located between the epitaxial source/drain layer and the semiconductor substrate, Wherein the diffusion barrier layer includes a barrier dopant different from the first dopant. In an embodiment, the diffusion barrier layer is co-doped to have the barrier dopant and the first dopant. In an embodiment, the doping concentration of the first dopant in the epitaxial source/drain layer is greater than the doping concentration of the first dopant in the diffusion barrier layer, wherein The doping concentration of the barrier dopant in the diffusion barrier layer is less than the doping concentration of the first dopant in the diffusion barrier layer. In an embodiment, the barrier dopant is configured to prevent the first dopant from diffusing from the epitaxial source/drain layer to the semiconductor substrate directly below the gate electrode Area. In an embodiment, the diffusion barrier layer includes epitaxial silicon, and the thickness of the epitaxial source/drain layer is greater than the thickness of the diffusion barrier layer. In an embodiment, the bottom surface of the diffusion barrier layer is disposed below the top surface of the semiconductor substrate, and the bottom surface of the epitaxial source/drain layer is located on all sides of the semiconductor substrate in the vertical direction. Above the top surface. In an embodiment, the diffusion barrier layer is substantially composed of silicon, carbon, and phosphorus (SiCP), and the epitaxial source/drain layer is substantially composed of silicon and phosphorus (SiP). In an embodiment, the diffusion barrier layer is substantially composed of silicon, carbon, and arsenic (SiCAs), and the epitaxial source/drain layer is substantially composed of silicon and arsenic (SiAs). In an embodiment, the diffusion barrier layer is a doped region of the semiconductor substrate extending from the top surface of the semiconductor substrate to a point located below the top surface of the semiconductor substrate, wherein the epitaxial source The pole/drain layer is arranged along the top surface of the diffusion barrier layer.

在一些實施例中,本申請案提供一種積體晶片,所述積體晶片包括:絕緣體上半導體(SOI)基底,包括第一半導體層、第二半導體層及設置於所述第一半導體層與所述第二半導體層之間的絕緣層;N型金屬氧化物半導體(NMOS)電晶體,設置於所述第一半導體層之上,其中所述NMOS電晶體包括閘極電極、設置於所述閘極電極與所述第一半導體層之間的閘極介電層、及設置於所述閘極電極的相對兩側上的一對源極/汲極結構,其中所述一對源極/汲極結構包括:第一對磊晶源極/汲極層,位於所述第一半導體層之上,其中所述第一對磊晶源極/汲極層包含第一N型摻雜劑;以及擴散障壁層,設置於所述第一半導體層與所述第一對磊晶源極/汲極層之間,其中所述擴散障壁層包含與所述第一N型摻雜劑不同的障壁摻雜劑。在實施例中,所述擴散障壁層包含第一原子百分比的所述第一N型摻雜劑及第二原子百分比的所述障壁摻雜劑,其中所述第一原子百分比大於所述第二原子百分比。在實施例中,所述擴散障壁層是共摻雜為具有第二N型摻雜劑及所述障壁摻雜劑的磊晶層,其中所述第一N型摻雜劑不同於所述第二N型摻雜劑。在實施例中,所述第一N型摻雜劑包含磷且所述第二N型摻雜劑包含砷。在實施例中,所述積體晶片更包括:P型金屬氧化物半導體(PMOS)電晶體,設置於所述第一半導體層之上且在側向上鄰近所述N型金屬氧化物半導體電晶體,其中所述P型金屬氧化物半導體電晶體包括第二閘極電極、位於所述第二閘極電極之下的第二閘極介電層及設置於所述第二閘極電極的相對兩側上的第二對磊晶源極/汲極層,其中所述第二對磊晶源極/汲極層的底表面與所述擴散障壁層的底表面對齊。在實施例中,所述第一對磊晶源極/汲極層及所述擴散障壁層具有梯形形狀。在實施例中,所述擴散障壁層是所述第一半導體層的摻雜區,使得所述擴散障壁層自所述第一半導體層的頂表面連續地延伸至所述絕緣層的頂表面。In some embodiments, the present application provides an integrated wafer, the integrated wafer includes: a semiconductor-on-insulator (SOI) substrate, including a first semiconductor layer, a second semiconductor layer and disposed on the first semiconductor layer and The insulating layer between the second semiconductor layers; N-type metal oxide semiconductor (NMOS) transistors are arranged on the first semiconductor layer, wherein the NMOS transistors include gate electrodes and are arranged on the The gate dielectric layer between the gate electrode and the first semiconductor layer, and a pair of source/drain structures arranged on opposite sides of the gate electrode, wherein the pair of source/ The drain structure includes: a first pair of epitaxial source/drain layers located on the first semiconductor layer, wherein the first pair of epitaxial source/drain layers includes a first N-type dopant; And a diffusion barrier layer disposed between the first semiconductor layer and the first pair of epitaxial source/drain layers, wherein the diffusion barrier layer includes a barrier different from the first N-type dopant Dopant. In an embodiment, the diffusion barrier layer includes the first N-type dopant at a first atomic percentage and the barrier dopant at a second atomic percentage, wherein the first atomic percentage is greater than the second atomic percentage. Atomic percentage. In an embodiment, the diffusion barrier layer is an epitaxial layer co-doped with a second N-type dopant and the barrier dopant, wherein the first N-type dopant is different from the second N-type dopant. Two N-type dopants. In an embodiment, the first N-type dopant includes phosphorus and the second N-type dopant includes arsenic. In an embodiment, the integrated wafer further includes: a P-type metal oxide semiconductor (PMOS) transistor disposed on the first semiconductor layer and laterally adjacent to the N-type metal oxide semiconductor transistor , Wherein the P-type metal oxide semiconductor transistor includes a second gate electrode, a second gate dielectric layer located under the second gate electrode, and two opposite sides disposed on the second gate electrode A second pair of epitaxial source/drain layers on the side, wherein the bottom surface of the second pair of epitaxial source/drain layers is aligned with the bottom surface of the diffusion barrier layer. In an embodiment, the first pair of epitaxial source/drain layers and the diffusion barrier layer have a trapezoidal shape. In an embodiment, the diffusion barrier layer is a doped region of the first semiconductor layer, so that the diffusion barrier layer continuously extends from the top surface of the first semiconductor layer to the top surface of the insulating layer.

在一些實施例中,本申請案提供一種製造積體晶片的方法,所述方法包括:在半導體基底之上形成閘極電極結構;在所述半導體基底之上且在側向上鄰近所述閘極電極結構形成擴散障壁層,其中所述擴散障壁層包含障壁摻雜劑;以及在所述擴散障壁層之上形成磊晶源極/汲極層,使得所述磊晶源極/汲極層包含與所述障壁摻雜劑不同的第一摻雜劑,其中所述擴散障壁層位於所述磊晶源極/汲極層與所述半導體基底之間。在實施例中,形成所述擴散障壁層包括:在所述半導體基底之上形成罩幕層,其中所述罩幕層包括多個側壁,所述多個側壁在所述半導體基底之上界定源極/汲極區開口;以及執行選擇性磊晶生長製程,以選擇性地在所述源極/汲極區開口內形成所述擴散障壁層,其中所述選擇性磊晶生長製程包括使用所述第一摻雜劑及所述障壁摻雜劑對所述擴散障壁層進行原位摻雜。在實施例中,所述擴散障壁層被形成為使得所述擴散障壁層內的所述障壁摻雜劑的摻雜輪廓具有高斯分佈。在實施例中,形成所述磊晶源極/汲極層包括:執行選擇性磊晶生長製程,以沿著所述擴散障壁層的頂表面選擇性地形成所述磊晶源極/汲極層,其中所述磊晶源極/汲極層的底表面在垂直方向上位於所述半導體基底的頂表面上方。In some embodiments, the present application provides a method of manufacturing an integrated wafer, the method comprising: forming a gate electrode structure on a semiconductor substrate; on the semiconductor substrate and laterally adjacent to the gate electrode The electrode structure forms a diffusion barrier layer, wherein the diffusion barrier layer includes a barrier dopant; and an epitaxial source/drain layer is formed on the diffusion barrier layer, so that the epitaxial source/drain layer includes A first dopant different from the barrier dopant, wherein the diffusion barrier layer is located between the epitaxial source/drain layer and the semiconductor substrate. In an embodiment, forming the diffusion barrier layer includes: forming a mask layer on the semiconductor substrate, wherein the mask layer includes a plurality of sidewalls, and the plurality of sidewalls define a source on the semiconductor substrate. Electrode/drain region opening; and performing a selective epitaxial growth process to selectively form the diffusion barrier layer in the source/drain region opening, wherein the selective epitaxial growth process includes using all The first dopant and the barrier dopant perform in-situ doping on the diffusion barrier layer. In an embodiment, the diffusion barrier layer is formed such that the doping profile of the barrier dopant in the diffusion barrier layer has a Gaussian distribution. In an embodiment, forming the epitaxial source/drain layer includes: performing a selective epitaxial growth process to selectively form the epitaxial source/drain along the top surface of the diffusion barrier layer Layer, wherein the bottom surface of the epitaxial source/drain layer is vertically above the top surface of the semiconductor substrate.

以上概述了若干實施例的特徵,以使熟習此項技術者可更佳地理解本揭露的各個態樣。熟習此項技術者應理解,其可容易地使用本揭露作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的及/或達成與本文中所介紹的實施例相同的優點。熟習此項技術者亦應認識到,該些等效構造並不背離本揭露的精神及範圍,而且他們可在不背離本揭露的精神及範圍的條件下在本文中作出各種改變、代替及變更。The features of several embodiments are summarized above, so that those familiar with the art can better understand various aspects of the present disclosure. Those familiar with the art should understand that they can easily use the present disclosure as a basis for designing or modifying other processes and structures to perform the same purpose as the embodiments described in this article and/or achieve the same purposes as the embodiments described in this article. The same advantages. Those familiar with this technology should also realize that these equivalent structures do not depart from the spirit and scope of this disclosure, and they can make various changes, substitutions and alterations in this article without departing from the spirit and scope of this disclosure. .

100、200、300、1000:積體晶片 102:半導體基底 104:隔離結構 106:第一阱區 108:通道區 110、110a:第一電晶體 110b:第二電晶體裝置 112a、112b:源極/汲極結構 114、114a、114b:擴散障壁層 114bs、202bs:底表面 114t、202t:頂表面 116、116a、116b、210a、210b:磊晶源極/汲極層 118:矽化物層 120:側壁間隔件結構 122:閘極電極 124:閘極介電層 126:層間介電(ILD)層 128:導電接觸件 201:N型金屬氧化物半導體(NMOS)區 202:第一半導體材料層 203:P型金屬氧化物半導體(PMOS)區 204:絕緣層 206:第二半導體材料層 208:第二電晶體 212:第二阱區 400a、400d:示意圖 400b、400c、400e、400f:剖視圖 402a:第一鰭式結構/鰭式結構 402b:第二鰭式結構/鰭式結構 404:奈米結構 502:第一磊晶層 504:第二磊晶層 900a、900b:圖表 901:水平線 902:曲線 904:第一曲線 906:第二曲線 1002、1004、1006:源極/汲極結構 1100、1200、1300、1400、1500、1600、1700、1800、1900、2000、2100、2200、2300、2400、2500:剖視圖 1202a、1202b:虛設閘極結構 1204:多晶矽層 1206:下部介電層 1208:上部介電層 1210:第一間隔件層 1212:第二間隔件層 1214、1602:罩幕層 1302:第一源極/汲極開口 1702:第二源極/汲極層開口 2002:下層間介電(ILD)層 2202:上部ILD層 2600:方法 2602、2604、2606、2608、2610、2612、2614:動作 A-A’、B-B’:線 d1:第一距離 d2:第二距離 t1:第一厚度 t2:第二厚度 Tfi:初始厚度 Tfs、Tii、Tss、Tsw:厚度 Ts:總厚度/厚度 x、y、z:方向100, 200, 300, 1000: integrated wafer 102: Semiconductor substrate 104: Isolation structure 106: first well region 108: Passage area 110, 110a: first transistor 110b: second transistor device 112a, 112b: source/drain structure 114, 114a, 114b: diffusion barrier layer 114bs, 202bs: bottom surface 114t, 202t: top surface 116, 116a, 116b, 210a, 210b: epitaxial source/drain layer 118: Silicide layer 120: Sidewall spacer structure 122: gate electrode 124: gate dielectric layer 126: Interlayer Dielectric (ILD) layer 128: Conductive contacts 201: N-type metal oxide semiconductor (NMOS) region 202: The first semiconductor material layer 203: P-type metal oxide semiconductor (PMOS) region 204: Insulation layer 206: second semiconductor material layer 208: Second Transistor 212: second well region 400a, 400d: schematic diagram 400b, 400c, 400e, 400f: cross-sectional view 402a: First fin structure/fin structure 402b: second fin structure/fin structure 404: Nanostructure 502: first epitaxial layer 504: second epitaxial layer 900a, 900b: charts 901: Horizontal Line 902: curve 904: first curve 906: second curve 1002, 1004, 1006: source/drain structure 1100, 1200, 1300, 1400, 1500, 1600, 1700, 1800, 1900, 2000, 2100, 2200, 2300, 2400, 2500: sectional view 1202a, 1202b: dummy gate structure 1204: Polysilicon layer 1206: Lower dielectric layer 1208: Upper dielectric layer 1210: first spacer layer 1212: second spacer layer 1214, 1602: mask layer 1302: first source/drain opening 1702: second source/drain layer opening 2002: Lower Interlayer Dielectric (ILD) layer 2202: Upper ILD layer 2600: method 2602, 2604, 2606, 2608, 2610, 2612, 2614: Action A-A’, B-B’: Line d1: first distance d2: second distance t1: first thickness t2: second thickness Tfi: initial thickness Tfs, Tii, Tss, Tsw: thickness Ts: total thickness/thickness x, y, z: direction

結合附圖閱讀以下詳細說明,會最佳地理解本揭露的各個態樣。應注意,根據本行業中的標準慣例,各種特徵並非按比例繪製。事實上,為使論述清晰起見,可任意增大或減小各種特徵的尺寸。Reading the following detailed description in conjunction with the accompanying drawings will best understand each aspect of the present disclosure. It should be noted that in accordance with standard practices in this industry, various features are not drawn to scale. In fact, in order to make the discussion clear, the size of various features can be increased or decreased arbitrarily.

圖1示出積體晶片的一些實施例的剖視圖,所述積體晶片包括間隔於磊晶源極/汲極層與基底之間的擴散障壁層。Figure 1 shows a cross-sectional view of some embodiments of an integrated wafer including a diffusion barrier layer spaced between an epitaxial source/drain layer and a substrate.

圖2A至圖2E示出積體晶片的一些不同實施例的剖視圖,所述積體晶片包括設置於基底內/基底之上的第一電晶體及第二電晶體,其中第一電晶體包括設置於基底與第一電晶體的磊晶源極/汲極層之間的擴散障壁層。Figures 2A to 2E show cross-sectional views of some different embodiments of an integrated wafer including a first transistor and a second transistor disposed in/on the substrate, wherein the first transistor includes A diffusion barrier layer between the substrate and the epitaxial source/drain layer of the first transistor.

圖3A至圖3C示出積體晶片的一些不同實施例的剖視圖,所述積體晶片包括設置於基底內的擴散障壁層及上覆於擴散障壁層上的磊晶源極/汲極區。3A to 3C show cross-sectional views of some different embodiments of an integrated wafer including a diffusion barrier layer disposed in a substrate and an epitaxial source/drain region overlying the diffusion barrier layer.

圖3D至圖3F示出圖2A所示積體晶片的一些不同替代實施例的剖視圖。3D to 3F show cross-sectional views of some different alternative embodiments of the integrated wafer shown in FIG. 2A.

圖4A至圖4F示出圖2A所示積體晶片的一些不同替代實施例的各種視圖。4A to 4F show various views of some different alternative embodiments of the integrated wafer shown in FIG. 2A.

圖5A至圖5C直至8A至圖8C示出磊晶源極/汲極層及下伏的擴散障壁層的詳細分層的一些實施例的剖視圖。5A to 5C through 8A to 8C show cross-sectional views of some embodiments of the detailed layering of the epitaxial source/drain layer and the underlying diffusion barrier layer.

圖9A及圖9B示出與圖1至圖8B所示每一擴散障壁層內的障壁摻雜劑的濃度的一些不同實施例對應的各種圖表。9A and 9B show various graphs corresponding to some different embodiments of the concentration of barrier dopants in each diffusion barrier layer shown in FIGS. 1 to 8B.

圖10A示出積體晶片的一些實施例的剖視圖,所述積體晶片包括在側向上彼此鄰近且間隔開的第一N型金屬氧化物半導體(N-type metal oxide semiconductor,NMOS)電晶體的第二NMOS電晶體。10A shows a cross-sectional view of some embodiments of integrated wafers that include first N-type metal oxide semiconductor (N-type metal oxide semiconductor, NMOS) transistors that are adjacent to and spaced apart from each other in the lateral direction The second NMOS transistor.

圖10B示出圖10A所示積體晶片的一區段的一些實施例的剖視圖。Figure 10B shows a cross-sectional view of some embodiments of a section of the integrated wafer shown in Figure 10A.

圖11至圖22示出形成積體晶片的第一方法的一些實施例的剖視圖,所述積體晶片包括設置於基底內/基底之上的第一電晶體及第二電晶體,其中第一電晶體包括設置於基底與磊晶源極/汲極層之間的擴散障壁層。Figures 11-22 show cross-sectional views of some embodiments of a first method of forming an integrated wafer, the integrated wafer including a first transistor and a second transistor disposed in/on the substrate, wherein the first The transistor includes a diffusion barrier layer disposed between the substrate and the epitaxial source/drain layer.

圖23至圖25示出形成積體晶片的第二方法的一些實施例的剖視圖,所述積體晶片包括設置於基底內/基底之上的第一電晶體及第二電晶體,其中第一電晶體包括設置於基底與磊晶源極/汲極層之間的擴散障壁層。Figures 23 to 25 show cross-sectional views of some embodiments of a second method of forming an integrated wafer, the integrated wafer including a first transistor and a second transistor disposed in/on the substrate, wherein the first The transistor includes a diffusion barrier layer disposed between the substrate and the epitaxial source/drain layer.

圖26示出例示形成積體晶片的方法的一些實施例的流程圖,所述積體晶片包括設置於基底內/基底之上的第一電晶體及第二電晶體,其中第一電晶體包括設置於基底與磊晶源極/汲極層之間的擴散障壁層。FIG. 26 shows a flowchart illustrating some embodiments of a method of forming an integrated wafer, the integrated wafer including a first transistor and a second transistor disposed in/on the substrate, wherein the first transistor includes A diffusion barrier layer arranged between the substrate and the epitaxial source/drain layer.

100:積體晶片100: Integrated chip

102:半導體基底102: Semiconductor substrate

104:隔離結構104: Isolation structure

106:第一阱區106: first well region

108:通道區108: Passage area

110:第一電晶體110: The first transistor

112a、112b:源極/汲極結構112a, 112b: source/drain structure

114a、114b:擴散障壁層114a, 114b: diffusion barrier layer

116a、116b:磊晶源極/汲極層116a, 116b: epitaxy source/drain layer

118:矽化物層118: Silicide layer

120:側壁間隔件結構120: Sidewall spacer structure

122:閘極電極122: gate electrode

124:閘極介電層124: gate dielectric layer

126:層間介電(ILD)層126: Interlayer Dielectric (ILD) layer

128:導電接觸件128: Conductive contacts

Claims (20)

一種半導體裝置,包括: 閘極電極,上覆於半導體基底; 磊晶源極/汲極層,設置於所述半導體基底上且在側向上鄰近於所述閘極電極,其中所述磊晶源極/汲極層包含第一摻雜劑;以及 擴散障壁層,位於所述磊晶源極/汲極層與所述半導體基底之間,其中所述擴散障壁層包含與所述第一摻雜劑不同的障壁摻雜劑。A semiconductor device including: The gate electrode is overlaid on the semiconductor substrate; An epitaxial source/drain layer disposed on the semiconductor substrate and laterally adjacent to the gate electrode, wherein the epitaxial source/drain layer includes a first dopant; and A diffusion barrier layer is located between the epitaxial source/drain layer and the semiconductor substrate, wherein the diffusion barrier layer includes a barrier dopant different from the first dopant. 如請求項1所述的半導體裝置,其中所述擴散障壁層共摻雜有所述障壁摻雜劑及所述第一摻雜劑。The semiconductor device according to claim 1, wherein the diffusion barrier layer is co-doped with the barrier dopant and the first dopant. 如請求項2所述的半導體裝置,其中所述磊晶源極/汲極層內的所述第一摻雜劑的摻雜濃度大於所述擴散障壁層內的所述第一摻雜劑的摻雜濃度,其中所述擴散障壁層內的所述障壁摻雜劑的摻雜濃度小於所述擴散障壁層內的所述第一摻雜劑的所述摻雜濃度。The semiconductor device according to claim 2, wherein the doping concentration of the first dopant in the epitaxial source/drain layer is greater than that of the first dopant in the diffusion barrier layer Doping concentration, wherein the doping concentration of the barrier dopant in the diffusion barrier layer is less than the doping concentration of the first dopant in the diffusion barrier layer. 如請求項1所述的半導體裝置,其中所述障壁摻雜劑被配置成防止所述第一摻雜劑自所述磊晶源極/汲極層擴散至所述半導體基底的位於所述閘極電極正下方的區。The semiconductor device according to claim 1, wherein the barrier dopant is configured to prevent the first dopant from diffusing from the epitaxial source/drain layer to the semiconductor substrate located at the gate The area directly below the electrode. 如請求項1所述的半導體裝置,其中所述擴散障壁層包含磊晶矽,且所述磊晶源極/汲極層的厚度大於所述擴散障壁層的厚度。The semiconductor device according to claim 1, wherein the diffusion barrier layer includes epitaxial silicon, and the thickness of the epitaxial source/drain layer is greater than the thickness of the diffusion barrier layer. 如請求項1所述的半導體裝置,其中所述擴散障壁層的底表面設置於所述半導體基底的頂表面下方,且其中所述磊晶源極/汲極層的底表面在垂直方向上位於所述半導體基底的所述頂表面上方。The semiconductor device according to claim 1, wherein the bottom surface of the diffusion barrier layer is disposed below the top surface of the semiconductor substrate, and wherein the bottom surface of the epitaxial source/drain layer is located in a vertical direction Above the top surface of the semiconductor substrate. 如請求項1所述的半導體裝置,其中所述擴散障壁層實質上由矽、碳及磷(SiCP)組成,且所述磊晶源極/汲極層實質上由矽及磷(SiP)組成。The semiconductor device according to claim 1, wherein the diffusion barrier layer is substantially composed of silicon, carbon, and phosphorus (SiCP), and the epitaxial source/drain layer is substantially composed of silicon and phosphorus (SiP) . 如請求項1所述的半導體裝置,其中所述擴散障壁層實質上由矽、碳及砷(SiCAs)組成,且所述磊晶源極/汲極層實質上由矽及砷(SiAs)組成。The semiconductor device according to claim 1, wherein the diffusion barrier layer is substantially composed of silicon, carbon, and arsenic (SiCAs), and the epitaxial source/drain layer is substantially composed of silicon and arsenic (SiAs) . 如請求項1所述的半導體裝置,其中所述擴散障壁層是所述半導體基底的的摻雜區,所述摻雜區自所述半導體基底的頂表面延伸至所述半導體基底的所述頂表面下方的點,其中所述磊晶源極/汲極層沿著所述擴散障壁層的頂表面設置。The semiconductor device according to claim 1, wherein the diffusion barrier layer is a doped region of the semiconductor substrate, and the doped region extends from the top surface of the semiconductor substrate to the top of the semiconductor substrate. A point below the surface, where the epitaxial source/drain layer is arranged along the top surface of the diffusion barrier layer. 一種積體晶片,包括: 絕緣體上半導體基底,包括第一半導體層、第二半導體層及設置於所述第一半導體層與所述第二半導體層之間的絕緣層; N型金屬氧化物半導體電晶體,設置於所述第一半導體層之上,其中所述N型金屬氧化物半導體電晶體包括閘極電極、設置於所述閘極電極與所述第一半導體層之間的閘極介電層、及設置於所述閘極電極的相對兩側上的一對源極/汲極結構,其中所述一對源極/汲極結構包括: 第一對磊晶源極/汲極層,位於所述第一半導體層之上,其中所述第一對磊晶源極/汲極層包含第一N型摻雜劑;以及 擴散障壁層,設置於所述第一半導體層與所述第一對磊晶源極/汲極層之間,其中所述擴散障壁層包含與所述第一N型摻雜劑不同的障壁摻雜劑。An integrated wafer including: The semiconductor-on-insulator substrate includes a first semiconductor layer, a second semiconductor layer, and an insulating layer disposed between the first semiconductor layer and the second semiconductor layer; An N-type metal oxide semiconductor transistor is arranged on the first semiconductor layer, wherein the N-type metal oxide semiconductor transistor includes a gate electrode, which is arranged on the gate electrode and the first semiconductor layer The gate dielectric layer in between, and a pair of source/drain structures disposed on opposite sides of the gate electrode, wherein the pair of source/drain structures includes: A first pair of epitaxial source/drain layers is located on the first semiconductor layer, wherein the first pair of epitaxial source/drain layers includes a first N-type dopant; and A diffusion barrier layer is disposed between the first semiconductor layer and the first pair of epitaxial source/drain layers, wherein the diffusion barrier layer includes a barrier dopant that is different from the first N-type dopant Miscellaneous agents. 如請求項10所述的積體晶片,其中所述擴散障壁層包含第一原子百分比的所述第一N型摻雜劑及第二原子百分比的所述障壁摻雜劑,其中所述第一原子百分比不小於所述第二原子百分比。The integrated wafer according to claim 10, wherein the diffusion barrier layer includes the first N-type dopant at a first atomic percentage and the barrier dopant at a second atomic percentage, wherein the first The atomic percentage is not less than the second atomic percentage. 如請求項10所述的積體晶片,其中所述擴散障壁層是共摻雜為具有第二N型摻雜劑及所述障壁摻雜劑的磊晶層,其中所述第一N型摻雜劑不同於所述第二N型摻雜劑。The integrated wafer according to claim 10, wherein the diffusion barrier layer is an epitaxial layer co-doped with a second N-type dopant and the barrier dopant, wherein the first N-type dopant The dopant is different from the second N-type dopant. 如請求項12所述的積體晶片,其中所述第一N型摻雜劑包含磷且所述第二N型摻雜劑包含砷。The integrated wafer according to claim 12, wherein the first N-type dopant includes phosphorus and the second N-type dopant includes arsenic. 如請求項10所述的積體晶片,更包括: P型金屬氧化物半導體電晶體,設置於所述第一半導體層之上且在側向上鄰近所述N型金屬氧化物半導體電晶體,其中所述P型金屬氧化物半導體電晶體包括第二閘極電極、位於所述第二閘極電極之下的第二閘極介電層及設置於所述第二閘極電極的相對兩側上的第二對磊晶源極/汲極層,其中所述第二對磊晶源極/汲極層的底表面與所述擴散障壁層的底表面對齊。The integrated wafer described in claim 10 further includes: A P-type metal oxide semiconductor transistor is disposed on the first semiconductor layer and laterally adjacent to the N-type metal oxide semiconductor transistor, wherein the P-type metal oxide semiconductor transistor includes a second gate An electrode, a second gate dielectric layer under the second gate electrode, and a second pair of epitaxial source/drain layers disposed on opposite sides of the second gate electrode, wherein The bottom surface of the second pair of epitaxial source/drain layers is aligned with the bottom surface of the diffusion barrier layer. 如請求項10所述的積體晶片,其中所述第一對磊晶源極/汲極層及所述擴散障壁層具有梯形形狀。The integrated wafer according to claim 10, wherein the first pair of epitaxial source/drain layers and the diffusion barrier layer have a trapezoidal shape. 如請求項10所述的積體晶片,其中所述擴散障壁層是所述第一半導體層的摻雜區,使得所述擴散障壁層自所述第一半導體層的頂表面連續地延伸至所述絕緣層的頂表面。The integrated wafer according to claim 10, wherein the diffusion barrier layer is a doped region of the first semiconductor layer, so that the diffusion barrier layer continuously extends from the top surface of the first semiconductor layer to the The top surface of the insulating layer. 一種製造積體晶片的方法,所述方法包括: 在半導體基底之上形成閘極電極結構; 在所述半導體基底之上且在側向上鄰近所述閘極電極結構形成擴散障壁層,其中所述擴散障壁層包含障壁摻雜劑;以及 在所述擴散障壁層之上形成磊晶源極/汲極層,使得所述磊晶源極/汲極層包含與所述障壁摻雜劑不同的第一摻雜劑,其中所述擴散障壁層位於所述磊晶源極/汲極層與所述半導體基底之間。A method of manufacturing an integrated wafer, the method comprising: Forming a gate electrode structure on the semiconductor substrate; Forming a diffusion barrier layer on the semiconductor substrate and laterally adjacent to the gate electrode structure, wherein the diffusion barrier layer includes a barrier dopant; and An epitaxial source/drain layer is formed on the diffusion barrier layer, so that the epitaxial source/drain layer includes a first dopant different from the barrier dopant, wherein the diffusion barrier A layer is located between the epitaxial source/drain layer and the semiconductor substrate. 如請求項17所述的方法,其中形成所述擴散障壁層包括: 在所述半導體基底之上形成罩幕層,其中所述罩幕層包括多個側壁,所述多個側壁在所述半導體基底之上界定源極/汲極區開口;以及 執行選擇性磊晶生長製程,以選擇性地在所述源極/汲極區開口內形成所述擴散障壁層,其中所述選擇性磊晶生長製程包括使用所述第一摻雜劑及所述障壁摻雜劑對所述擴散障壁層進行原位摻雜。The method according to claim 17, wherein forming the diffusion barrier layer includes: Forming a mask layer on the semiconductor substrate, wherein the mask layer includes a plurality of sidewalls that define source/drain region openings on the semiconductor substrate; and A selective epitaxial growth process is performed to selectively form the diffusion barrier layer in the source/drain region openings, wherein the selective epitaxial growth process includes using the first dopant and the The barrier dopant performs in-situ doping on the diffusion barrier layer. 如請求項18所述的方法,其中所述擴散障壁層被形成為使得所述擴散障壁層內的所述障壁摻雜劑的摻雜輪廓具有高斯分佈。The method according to claim 18, wherein the diffusion barrier layer is formed such that a doping profile of the barrier dopant in the diffusion barrier layer has a Gaussian distribution. 如請求項17所述的方法,其中形成所述磊晶源極/汲極層包括:執行選擇性磊晶生長製程,以沿著所述擴散障壁層的頂表面選擇性地形成所述磊晶源極/汲極層,其中所述磊晶源極/汲極層的底表面在垂直方向上位於所述半導體基底的頂表面上方。The method according to claim 17, wherein forming the epitaxial source/drain layer comprises: performing a selective epitaxial growth process to selectively form the epitaxial crystal along the top surface of the diffusion barrier layer The source/drain layer, wherein the bottom surface of the epitaxial source/drain layer is vertically above the top surface of the semiconductor substrate.
TW109142188A 2020-04-27 2020-12-01 Semiconductor device, integrated chip and method of manufacturing the same TWI764399B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063015772P 2020-04-27 2020-04-27
US63/015,772 2020-04-27
US17/064,811 US11522049B2 (en) 2020-04-27 2020-10-07 Diffusion barrier layer for source and drain structures to increase transistor performance
US17/064,811 2020-10-07

Publications (2)

Publication Number Publication Date
TW202141797A true TW202141797A (en) 2021-11-01
TWI764399B TWI764399B (en) 2022-05-11

Family

ID=76710912

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109142188A TWI764399B (en) 2020-04-27 2020-12-01 Semiconductor device, integrated chip and method of manufacturing the same

Country Status (4)

Country Link
US (1) US11901413B2 (en)
KR (2) KR20220136325A (en)
CN (1) CN113113474A (en)
TW (1) TWI764399B (en)

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101071774B (en) * 2006-05-12 2010-12-08 联华电子股份有限公司 Metal oxide semiconductor field effect transistor and its manufacturing method
US7618866B2 (en) * 2006-06-09 2009-11-17 International Business Machines Corporation Structure and method to form multilayer embedded stressors
US8598003B2 (en) * 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US8729627B2 (en) * 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US9117843B2 (en) 2011-09-14 2015-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Device with engineered epitaxial region and methods of making same
JP5837387B2 (en) * 2011-10-11 2015-12-24 ルネサスエレクトロニクス株式会社 Semiconductor integrated circuit device and method for manufacturing semiconductor integrated circuit device
US8900958B2 (en) * 2012-12-19 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US20140203361A1 (en) 2013-01-22 2014-07-24 International Business Machines Corporation Extremely thin semiconductor-on-insulator field-effect transistor with an epitaxial source and drain having a low external resistance
US10263108B2 (en) 2014-08-22 2019-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-insensitive epitaxy formation
US9818872B2 (en) * 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9947788B2 (en) * 2016-02-09 2018-04-17 Globalfoundries Inc. Device with diffusion blocking layer in source/drain region
US20190081044A1 (en) * 2016-04-01 2019-03-14 Intel Corporation Semiconductor device having sub regions to define threshold voltages
CN108666219A (en) * 2017-03-29 2018-10-16 中芯国际集成电路制造(上海)有限公司 Semiconductor devices and forming method thereof
WO2018182749A1 (en) * 2017-04-01 2018-10-04 Intel Corporation Germanium-rich channel transistors including one or more dopant diffusion barrier elements
CN108962987B (en) * 2017-05-19 2020-11-13 中芯国际集成电路制造(上海)有限公司 Semiconductor device and method for manufacturing the same
US10714334B2 (en) 2017-11-28 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure
KR102582670B1 (en) 2018-07-13 2023-09-25 삼성전자주식회사 Semiconductor device
US11588052B2 (en) * 2018-08-06 2023-02-21 Intel Corporation Sub-Fin isolation schemes for gate-all-around transistor devices
US10720530B2 (en) 2018-09-27 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of forming same

Also Published As

Publication number Publication date
CN113113474A (en) 2021-07-13
TWI764399B (en) 2022-05-11
KR20220136325A (en) 2022-10-07
KR20240005246A (en) 2024-01-11
US11901413B2 (en) 2024-02-13
US20220367631A1 (en) 2022-11-17

Similar Documents

Publication Publication Date Title
US11469237B2 (en) Semiconductor devices with layers commonly contacting fins and methods of manufacturing the same
US20220029018A1 (en) Method for manufacturing semiconductor device with recess, epitaxial growth and diffusion
TWI816685B (en) Semiconductor device and manufacturing method thereof
KR101124657B1 (en) Silicon-on-insulator semiconductor device with silicon layers having different crystal orientations and method of forming the silicon-on-insulator semiconductor device
KR100772935B1 (en) Transistor and method of manufacturing the same
US20160343708A1 (en) Semiconductor devices and methods of manufacturing the same
TW201743445A (en) High voltage transistor device and method of producing the same
US9337313B2 (en) Spacerless fin device with reduced parasitic resistance and capacitance and method to fabricate same
US8466496B2 (en) Selective partial gate stack for improved device isolation
US9812450B2 (en) Semiconductor devices and methods of manufacturing the same
TWI783011B (en) Semiconductor device and method for manufacturing the same
US11688789B2 (en) Semiconductor device with reduced flicker noise
US11309417B2 (en) Method of manufacturing a semiconductor device and a semiconductor device
US20230377979A1 (en) Embedded stressors in epitaxy source/drain regions
US20080073730A1 (en) Semiconductor device and method for formimg the same
US11522049B2 (en) Diffusion barrier layer for source and drain structures to increase transistor performance
US20220384569A1 (en) Epitaxy Regions Extending Below STI Regions and Profiles Thereof
US20220336585A1 (en) Semiconductor devices having parasitic channel structures
TWI764399B (en) Semiconductor device, integrated chip and method of manufacturing the same
US11417601B2 (en) Semiconductor device and manufacturing method thereof
US20220336587A1 (en) Semiconductor devices having counter-doped structures
TWI836346B (en) Semiconductor device and method of forming the same
US20240153990A1 (en) Field effect transistor with backside source/drain contact
US20230420506A1 (en) Semiconductor device and manufacturing method thereof
WO2024041861A1 (en) Backside and frontside contacts for semiconductor device