TW202131405A - Semiconductor device and method for forming the same - Google Patents

Semiconductor device and method for forming the same Download PDF

Info

Publication number
TW202131405A
TW202131405A TW109141984A TW109141984A TW202131405A TW 202131405 A TW202131405 A TW 202131405A TW 109141984 A TW109141984 A TW 109141984A TW 109141984 A TW109141984 A TW 109141984A TW 202131405 A TW202131405 A TW 202131405A
Authority
TW
Taiwan
Prior art keywords
film layer
fin
layer
dummy
gate
Prior art date
Application number
TW109141984A
Other languages
Chinese (zh)
Other versions
TWI760947B (en
Inventor
林士堯
周昀亭
林志翰
林志忠
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/837,563 external-priority patent/US11217586B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202131405A publication Critical patent/TW202131405A/en
Application granted granted Critical
Publication of TWI760947B publication Critical patent/TWI760947B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

An embodiment device includes a first source/drain region over a semiconductor substrate and a dummy fin adjacent the first source/drain region. The dummy fin comprising: a first portion comprising a first film and a second portion over the first portion, wherein the second portion comprises: a second film; and a third film. The third film is between the first film and the second film, and the third film is made of a different material than the first film and the second film. A width of the second portion is less than a width of the first portion. The device further comprises a gate stack along sidewalls of the dummy fin.

Description

半導體裝置及其形成方法Semiconductor device and its forming method

本發明實施例係有關於一種半導體結構,且特別係有關於一種具有虛置鰭片的半導體裝置、半導體結構及其形成方法。The embodiment of the present invention relates to a semiconductor structure, and particularly relates to a semiconductor device with dummy fins, a semiconductor structure and a method of forming the same.

半導體裝置使用於各種電子應用中,例如,個人電腦、行動電話、數位相機及其他電子設備。半導體裝置通常藉由以下方式而製造,包括在半導體基板上依序沉積絕緣或介電層、導電層及半導體層,使用微影製程圖案化上述各材料層,藉以在此半導體基板上形成電路組件及元件。Semiconductor devices are used in various electronic applications, such as personal computers, mobile phones, digital cameras, and other electronic equipment. Semiconductor devices are usually manufactured by the following methods, including sequentially depositing an insulating or dielectric layer, a conductive layer, and a semiconductor layer on a semiconductor substrate, and patterning the above-mentioned material layers using a lithography process to form circuit components on the semiconductor substrate And components.

半導體產業藉由不斷降低最小部件尺寸以持續提高各種電子組件(例如,電晶體、二極體、電阻、電容等)的積體密度,這允許將更多的部件集積到特定區域中。但是,隨著最小部件尺寸的降低,出現了應解決的其他問題。The semiconductor industry continues to increase the integration density of various electronic components (for example, transistors, diodes, resistors, capacitors, etc.) by continuously reducing the minimum component size, which allows more components to be accumulated in a specific area. However, as the size of the smallest component decreases, other problems that should be resolved arise.

本揭露之一實施例揭示一種半導體裝置,包括:第一源極/汲極區域位於半導體基板之上;虛置鰭片相鄰於第一源極/汲極區域,虛置鰭片包括:第一部分包括第一膜層;以及第二部分位於第一部分之上,第二部分的寬度小於第一部分的寬度,其中第二部分包括:第二膜層;以及第三膜層位於第一膜層與第二膜層之間,第三膜層由與第一膜層及第二膜層不同的材料所製成;以及閘極堆疊,沿著虛置鰭片的側壁而設置。An embodiment of the disclosure discloses a semiconductor device, including: a first source/drain region is located on a semiconductor substrate; a dummy fin is adjacent to the first source/drain region, and the dummy fin includes: One part includes the first film layer; and the second part is located on the first part, the width of the second part is smaller than the width of the first part, wherein the second part includes: the second film layer; and the third film layer is located between the first film layer and the Between the second film layers, the third film layer is made of a material different from the first film layer and the second film layer; and the gate stack is arranged along the sidewall of the dummy fin.

本揭露之一實施例揭示一種半導體裝置,包括:第一電晶體位於半導體基板的頂表面處,第一電晶體包括:第一通道區域;以及第一閘極堆疊,位於第一通道區域的側壁之上並且沿著第一通道區域的側壁而設置;第二電晶體,位於半導體基板的頂表面處,第二電晶體包括:第二通道區域;以及第二閘極堆疊,位於第二通道區域的側壁之上並且沿著第二通道區域的側壁而設置;以及虛置鰭片,將第一閘極堆疊與第二閘極堆疊物理性地隔離,其中虛置鰭片包括:第一膜層;以及第二膜層,位於第一膜層之上,其中在第二膜層的高度位置所測量到的虛置鰭片的寬度小於在第一膜層的高度位置所測量到的虛置鰭片的寬度。An embodiment of the disclosure discloses a semiconductor device, including: a first transistor is located on the top surface of the semiconductor substrate, the first transistor includes: a first channel region; and a first gate stack located on the sidewall of the first channel region Above and along the sidewall of the first channel region; a second transistor located on the top surface of the semiconductor substrate; the second transistor includes: a second channel region; and a second gate stack located in the second channel region Above the sidewalls of and along the sidewalls of the second channel region; and dummy fins, which physically isolate the first gate stack from the second gate stack, wherein the dummy fins include: a first film layer And the second film layer, located above the first film layer, wherein the width of the dummy fin measured at the height position of the second film layer is smaller than the dummy fin measured at the height position of the first film layer The width of the slice.

本揭露之一實施例揭示一種半導體裝置的形成方法,包括:定義開口於第一半導體鰭片與第二半導體鰭片之間;形成虛置鰭片於第一半導體鰭片與第二半導體鰭片之間,形成虛置鰭片包括:沉積第一膜層於開口之中;將第一膜層凹陷化於開口之中;沉積第二膜層於第一膜層上方的開口之中;沉積第三膜層於第二膜層上方的開口之中,第二膜層設置在第三膜層的側壁及底表面上;以及蝕刻第二膜層,以從第三膜層的側壁至少部分地移除第二膜層;以及沿著第一半導體鰭片的側壁及頂表面、第二半導體鰭片的側壁及頂表面、及虛置鰭片的側壁及頂表面形成閘極結構。An embodiment of the disclosure discloses a method for forming a semiconductor device, including: defining an opening between a first semiconductor fin and a second semiconductor fin; forming a dummy fin between the first semiconductor fin and the second semiconductor fin In between, forming the dummy fin includes: depositing the first film layer in the opening; recessing the first film layer in the opening; depositing the second film layer in the opening above the first film layer; depositing the first film layer The three films are in the opening above the second film, the second film is disposed on the sidewall and bottom surface of the third film; and the second film is etched to move at least partially from the sidewall of the third film Removing the second film layer; and forming a gate structure along the sidewall and top surface of the first semiconductor fin, the sidewall and top surface of the second semiconductor fin, and the sidewall and top surface of the dummy fin.

以下揭露提供了許多的實施例或範例,用於實施所提供的標的物之不同元件。各元件和其配置的具體範例描述如下,以簡化本發明實施例之說明。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例而言,敘述中若提及第一元件形成在第二元件之上,可能包含第一和第二元件直接接觸的實施例,也可能包含額外的元件形成在第一和第二元件之間,使得它們不直接接觸的實施例。此外,本發明實施例可能在各種範例中重複參考數值以及∕或字母。如此重複是為了簡明和清楚之目的,而非用以表示所討論的不同實施例及∕或配置之間的關係。The following disclosure provides many embodiments or examples for implementing different elements of the provided subject matter. Specific examples of each element and its configuration are described below to simplify the description of the embodiments of the present invention. Of course, these are only examples and are not intended to limit the embodiments of the present invention. For example, if the description mentions that the first element is formed on the second element, it may include an embodiment in which the first and second elements are in direct contact, or may include additional elements formed between the first and second elements. , So that they do not directly touch the embodiment. In addition, the embodiment of the present invention may repeat reference values and/or letters in various examples. Such repetition is for the purpose of conciseness and clarity, rather than to show the relationship between the different embodiments and/or configurations discussed.

再者,其中可能用到與空間相對用詞,例如「在…之下」、「下方」、「較低的」、「上方」、「較高的」等類似用詞,是為了便於描述圖式中一個(些)部件或特徵與另一個(些)部件或特徵之間的關係。空間相對用詞用以包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),其中所使用的空間相對形容詞也將依轉向後的方位來解釋。Furthermore, words that are relative to space may be used, such as "below", "below", "lower", "above", "higher" and other similar words to facilitate the description of the picture The relationship between one part(s) or feature and another part(s) or feature in the formula. Spatial relative terms are used to include the different orientations of the device in use or operation, as well as the orientation described in the diagram. When the device is turned in different directions (rotated by 90 degrees or other directions), the spatially relative adjectives used therein will also be interpreted according to the turned position.

在此描述應用於鰭式場效電晶體(FinFET)的各種實施例。實施例可以應用於其他電晶體技術,包括奈米片電晶體(NanosheetFET,有時稱為全繞式閘極場效電晶體(GAAFET))或其他類似物。Various embodiments applied to FinFETs are described herein. The embodiments can be applied to other transistor technologies, including nanosheet FETs (NanosheetFET, sometimes referred to as fully wound gate field effect transistors (GAAFET)) or the like.

在各個實施例中,虛置鰭片可以用於分離相鄰電晶體的金屬閘極。虛置鰭片也可以藉由,例如,防止在磊晶成長製程中意外的源極/汲極合併,而幫助隔離相鄰的源極/汲極區域。已經觀察到,由於虛置鰭片靠近電晶體的通道區域,虛置鰭片尺寸(有時稱為臨界尺寸(critical dimension, CD))會影響裝置良率。各種實施例包括在虛置鰭片的側壁上形成膜層並且蝕刻此膜層。因此,可以改善虛置鰭片的剖面輪廓。舉例而言,虛置鰭片的中間部分可以比虛置鰭片的底部更窄(例如,具有較小的臨界尺寸)。以這種方式,可以增加虛置鰭片與通道區域之間的間隔,並且可以增大用於閘極堆疊間隙填充的製程視窗(process window)。In various embodiments, dummy fins may be used to separate the metal gates of adjacent transistors. The dummy fins can also help isolate adjacent source/drain regions by preventing accidental source/drain merging during the epitaxial growth process, for example. It has been observed that due to the proximity of the dummy fin to the channel area of the transistor, the dummy fin size (sometimes referred to as critical dimension (CD)) affects the device yield. Various embodiments include forming a film layer on the sidewall of the dummy fin and etching the film layer. Therefore, the cross-sectional profile of the dummy fin can be improved. For example, the middle portion of the dummy fin may be narrower than the bottom of the dummy fin (for example, having a smaller critical dimension). In this way, the interval between the dummy fin and the channel region can be increased, and the process window for gap filling of the gate stack can be increased.

第1圖是依據一些實施例之包括鰭式場效電晶體的裝置10的示範例的三維立體圖。裝置10的一部分被切掉以繪繪示出其下方的部件(例如,用虛線勾勒出的部件)。裝置10包括位於基板50 (例如,半導體基板)上的鰭片52。隔離區域56設置於基板50中,且鰭片52從相鄰的隔離區域56之間向上方突出。雖然將隔離區域56描述/繪示為與基板50分離,但是如本文所用,技術用語「基板」可用於僅指稱半導體基板,或是用於僅指稱包括隔離區域的半導體基板。此外,雖然鰭片52被繪示為與基板50相同的單一連續材料,但是,鰭片52及/或基板50可包括單一材料或多種材料。在此上下文中,鰭片52是指位於相鄰的隔離區域56之間延伸的部分。裝置10更包括位於相鄰的鰭片52之間的虛置鰭片52’。FIG. 1 is a three-dimensional view of an exemplary device 10 including a fin-type field effect transistor according to some embodiments. A part of the device 10 is cut off to illustrate the parts below it (for example, the parts outlined in dashed lines). The device 10 includes a fin 52 on a substrate 50 (eg, a semiconductor substrate). The isolation region 56 is disposed in the substrate 50, and the fin 52 protrudes upward from between adjacent isolation regions 56. Although the isolation region 56 is described/illustrated as being separated from the substrate 50, as used herein, the technical term "substrate" can be used to refer to only the semiconductor substrate, or to refer only to the semiconductor substrate including the isolation region. In addition, although the fin 52 is illustrated as the same single continuous material as the substrate 50, the fin 52 and/or the substrate 50 may include a single material or multiple materials. In this context, the fin 52 refers to a portion extending between adjacent isolation regions 56. The device 10 further includes dummy fins 52' located between adjacent fins 52.

閘極介電層92沿著側壁並且位於鰭片52的頂表面之上,閘極電極94位於閘極介電層92之上,且閘極罩幕層96位於閘極電極94之上。閘極介電層92、閘極電極94及閘極罩幕層96也可以設置在虛置通道區域52’的側壁之上。一層或多層的閘極間隔物86可以位閘極介電層92、閘極電極94及閘極罩幕層96的側壁上。源極/汲極區域82設置在相對於閘極介電層92、閘極電極94及閘極罩幕層96的鰭片52的兩側。在一些實施例中,閘極間隔物86也可以視需要而形成在虛置鰭片52’的側壁上。虛置鰭片52’可以設置在相鄰的源極/汲極區域82之間並物理性地將相鄰的源極/汲極區域82分離。相鄰的源極/汲極區域82也可以從鰭片52的凹陷部分延伸。The gate dielectric layer 92 is along the sidewalls and on the top surface of the fin 52, the gate electrode 94 is on the gate dielectric layer 92, and the gate mask layer 96 is on the gate electrode 94. The gate dielectric layer 92, the gate electrode 94, and the gate mask layer 96 may also be disposed on the sidewall of the dummy channel region 52'. One or more layers of gate spacers 86 can be located on the sidewalls of the gate dielectric layer 92, the gate electrode 94, and the gate mask layer 96. The source/drain regions 82 are disposed on both sides of the fin 52 opposite to the gate dielectric layer 92, the gate electrode 94 and the gate mask layer 96. In some embodiments, the gate spacer 86 may also be formed on the sidewall of the dummy fin 52' as needed. The dummy fin 52' may be disposed between adjacent source/drain regions 82 and physically separate the adjacent source/drain regions 82. The adjacent source/drain regions 82 may also extend from the recessed portion of the fin 52.

介電區域78延伸穿過閘極罩幕層96進入閘極電極94 (參照,例如,第27A圖)。介電區域78可以延伸到虛置鰭片52’,並且介電區域78與虛置鰭片52’的組合可以隔離相鄰的鰭式場效電晶體的閘極電極。接觸蝕刻停止層(contact etch stop layer, CESL) 87設置在隔離區域56之上,且介電層88設置在接觸蝕刻停止層87之上。介電層88可以進一步圍繞源極/汲極區域82、虛置鰭片52’的一部分、閘極罩幕層96、閘極介電層92及閘極電極94。The dielectric region 78 extends through the gate mask layer 96 into the gate electrode 94 (see, for example, FIG. 27A). The dielectric region 78 may extend to the dummy fin 52', and the combination of the dielectric region 78 and the dummy fin 52' may isolate the gate electrodes of adjacent fin-type field effect transistors. A contact etch stop layer (CESL) 87 is disposed on the isolation region 56, and the dielectric layer 88 is disposed on the contact etch stop layer 87. The dielectric layer 88 may further surround the source/drain region 82, a portion of the dummy fin 52', the gate mask layer 96, the gate dielectric layer 92, and the gate electrode 94.

第1圖進一步繪示在後續的圖式中所使用的參考剖面。剖面A-A沿著閘極電極94的縱軸,並且在,例如,垂直於鰭式場效電晶體的源極/汲極區域82之間的電流流動方向的方向上。剖面B-B垂直於剖面A-A,且沿著鰭片52的縱軸,並且在,例如,鰭式場效電晶體的源極/汲極區域82之間的電流流動的方向上。剖面C-C平行於剖面A-A,並且延伸穿過鰭式場效電晶體的源極/汲極區域。為了清楚起見,後續的圖式將參考這些參考剖面。Figure 1 further illustrates the reference section used in the subsequent drawings. The cross-section A-A is along the longitudinal axis of the gate electrode 94 and in, for example, a direction perpendicular to the direction of current flow between the source/drain regions 82 of the fin-type field effect transistor. The cross-section B-B is perpendicular to the cross-section A-A and is along the longitudinal axis of the fin 52 and in, for example, the direction of current flow between the source/drain regions 82 of the fin-type field effect transistor. The cross-section C-C is parallel to the cross-section A-A and extends through the source/drain region of the fin-type field effect transistor. For the sake of clarity, the subsequent drawings will refer to these reference profiles.

本文所討論的一些實施例是在使用閘極後製製程(gate-last process)形成的鰭式場效電晶體的背景下討論的。在其他實施例中,可以使用閘極先製製程(gate-first process)。而且,一些實施例考慮了使用在平面裝置(例如,平面場效電晶體)中的方面。Some of the embodiments discussed herein are discussed in the context of fin-type field effect transistors formed using a gate-last process. In other embodiments, a gate-first process can be used. Moreover, some embodiments consider aspects used in planar devices (eg, planar field effect transistors).

第2圖到第37C圖是依據一些實施例之製造鰭式場效電晶體的中間階段的剖面示意圖。第2圖到第14圖、第15A圖到第15H圖、第16A圖、第16B圖、第17圖、第29圖到第35圖及第36A圖到第36C圖繪示了第1圖所繪示的參考剖面A-A,除了複數個鰭片/鰭式場效電晶體之外。第18A圖、第19A圖、第20A圖、第21A圖、第22A圖、第23A圖、第24A圖、第25A圖、第26A圖、第27A圖、第28A圖及第37A圖是沿著第1圖所繪示的參考剖面A-A所繪示。第18B圖、第19B圖、第20B圖、第21B圖、第22B圖、第23B圖、第24B圖、第25B圖、第26B圖、第27B圖、第28B圖及第37B圖是沿著第1圖所繪示的參考剖面B-B所繪示,除了複數個鰭片/鰭式場效電晶體之外。第20C圖及第37C圖是沿著第1圖所繪示的參考剖面C-C所繪示,除了複數個鰭片/鰭式場效電晶體之外。FIGS. 2 to 37C are schematic cross-sectional views of intermediate stages of manufacturing fin-type field effect transistors according to some embodiments. Figure 2 to Figure 14, Figure 15A to Figure 15H, Figure 16A, Figure 16B, Figure 17, Figure 29 to Figure 35, and Figure 36A to Figure 36C illustrate the first picture The reference profile AA shown is except for a plurality of fins/fin field effect transistors. Figures 18A, 19A, 20A, 21A, 22A, 23A, 24A, 25A, 26A, 27A, 28A, and 37A are along The reference section AA shown in Figure 1 is shown. Figures 18B, 19B, 20B, 21B, 22B, 23B, 24B, 25B, 26B, 27B, 28B, and 37B are along The reference cross-section BB shown in FIG. 1 is shown except for a plurality of fins/fin field effect transistors. Figures 20C and 37C are drawn along the reference section C-C shown in Figure 1, except for a plurality of fins/fin field effect transistors.

在第2圖中,提供基板50。基板50可以是半導體基板,例如,塊體(bulk)半導體、絕緣體上覆半導體(semiconductor-on-insulator, SOI)基板或其他類似物,其可以被摻雜(例如,用p型或n型摻質)或未摻雜。基板50可以是晶圓,例如,矽晶圓。通常,絕緣體上覆半導體基板是形成在絕緣體層上的一層半導體材料。絕緣體層可以是,例如,埋藏氧化物(buried oxide, BOX)層、氧化矽層或其他類似物。絕緣層設置在通常為矽或玻璃基板的基板上。也可使用其他基板,例如,多層(multi-layered)或漸變(gradient)基板。在一些實施例中,基板50的半導體材料可包括矽;鍺;化合物半導體,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦(indium antimonide);合金半導體,包括矽鍺(silicon-germanium)、磷砷化鎵(gallium arsenide phosphide)、砷化銦鋁(aluminum indium arsenide)、砷化鎵鋁(aluminum gallium arsenide)、砷化銦鎵(gallium indium arsenide)、磷化銦鎵(gallium indium phosphide)及/或磷砷化銦鎵(gallium indium arsenide phosphide);或上述之組合。In Figure 2, a substrate 50 is provided. The substrate 50 may be a semiconductor substrate, for example, a bulk semiconductor, a semiconductor-on-insulator (SOI) substrate or the like, which may be doped (for example, p-type or n-type doped Quality) or undoped. The substrate 50 may be a wafer, for example, a silicon wafer. Generally, a semiconductor-on-insulator substrate is a layer of semiconductor material formed on an insulator layer. The insulator layer may be, for example, a buried oxide (BOX) layer, a silicon oxide layer, or the like. The insulating layer is provided on a substrate, which is usually a silicon or glass substrate. Other substrates can also be used, for example, multi-layered or gradient substrates. In some embodiments, the semiconductor material of the substrate 50 may include silicon; germanium; compound semiconductors, including silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide (indium antimonide); Alloy semiconductors, including silicon-germanium, gallium arsenide phosphide, aluminum indium arsenide, aluminum gallium arsenide, gallium indium arsenide ), gallium indium phosphide and/or gallium indium arsenide phosphide; or a combination of the above.

基板50具有區域50N和區域50P。區域50N可用於形成n型裝置,例如,n型金屬氧化物半導體(NMOS)電晶體,例如,n型鰭式場效電晶體。區域50P可用於形成p型裝置,例如,p型金屬氧化物半導體(PMOS)電晶體,例如,p型鰭式場效電晶體。區域50N可與區域50P物理性地分開(如分隔線51所示),並且可在區域50N及區域50P與半導體裝置之間設置任何數量的裝置部件(例如,其他主動裝置、摻雜區域、隔離結構等)。The substrate 50 has a region 50N and a region 50P. The region 50N can be used to form an n-type device, for example, an n-type metal oxide semiconductor (NMOS) transistor, for example, an n-type fin field effect transistor. The region 50P can be used to form a p-type device, for example, a p-type metal oxide semiconductor (PMOS) transistor, for example, a p-type fin field effect transistor. The region 50N can be physically separated from the region 50P (as shown by the dividing line 51), and any number of device components (for example, other active devices, doped regions, isolation Structure, etc.).

沉積硬罩幕53於基板50上。硬罩幕53可用於限定後續形成的半導體鰭片的圖案。在一些實施例中,使用物理氣相沉積(physical vapor deposition, PVD)、化學氣相沉積(chemical vapor deposition, CVD)、原子層沉積(atomic layer deposition, ALD) 或其他類似方法沉積硬罩幕。硬罩幕53可以包括氧化矽、氮化矽、氮氧化矽、金屬氧化物、金屬氮化物、上述之多層結構或其他類似物。舉例而言,雖然圖式中僅繪示出一個硬罩幕層,但是可以形成多層結構(例如,在氮化矽層上的氧化矽層)作為硬罩幕53。A hard mask 53 is deposited on the substrate 50. The hard mask 53 may be used to define the pattern of semiconductor fins to be formed later. In some embodiments, physical vapor deposition (PVD), chemical vapor deposition (CVD), atomic layer deposition (ALD) or other similar methods are used to deposit the hard mask. The hard mask 53 may include silicon oxide, silicon nitride, silicon oxynitride, metal oxide, metal nitride, the above-mentioned multilayer structure, or the like. For example, although only one hard mask layer is shown in the drawing, a multilayer structure (for example, a silicon oxide layer on a silicon nitride layer) can be formed as the hard mask 53.

第3圖到第28B圖繪示出實施例裝置的製造中的各種附加步驟。第3圖到第28B圖繪示出位於區域50N與區域50P其中之一的部件。例如,第3圖到第28B圖所繪示的結構可以適用於區域50N與區域50P兩者。在每個圖式的說明中描述了區域50N與區域50P的結構上的差異(如果有的話)。Figures 3 to 28B illustrate various additional steps in the manufacture of the embodiment device. Figures 3 to 28B illustrate components located in one of the area 50N and the area 50P. For example, the structures depicted in FIG. 3 to FIG. 28B can be applied to both the area 50N and the area 50P. The structural differences (if any) of the area 50N and the area 50P are described in the description of each drawing.

第3圖到第16B圖繪示出根據各個實施例的製造虛置鰭片的剖面示意圖(例如,沿著第1圖的剖面A-A所繪示)。在第3圖中,鰭片52A及鰭片52B形成於基板50中。鰭片52A/52B是半導體條帶(semiconductor strip)。鰭片52A/52B包括位於鰭片52A之間的鰭片52B。如將在後續的圖式中所述,鰭片52B將被移除並且被虛置鰭片52’取代(參照第14圖)。FIGS. 3 to 16B illustrate schematic cross-sectional views of manufacturing dummy fins according to various embodiments (for example, as shown along the cross-section A-A of FIG. 1). In FIG. 3, the fin 52A and the fin 52B are formed in the substrate 50. The fins 52A/52B are semiconductor strips. The fins 52A/52B include fins 52B located between the fins 52A. As will be described in the subsequent drawings, the fin 52B will be removed and replaced by a dummy fin 52' (refer to FIG. 14).

在一些實施例中,可藉由在基板50中蝕刻溝槽,以在基板50中形成鰭片52A。蝕刻可以是任何可接受的蝕刻製程,例如,反應離子蝕刻(reactive ion etch, RIE)、中性粒子束蝕刻(neutral beam etch, NBE)、其他類似方法或上述之組合。蝕刻可以是非等向性的。In some embodiments, the fin 52A can be formed in the substrate 50 by etching trenches in the substrate 50. The etching can be any acceptable etching process, for example, reactive ion etch (RIE), neutral beam etch (NBE), other similar methods, or a combination of the above. The etching can be anisotropic.

可藉由任何合適的方法將鰭片圖案化。舉例而言,可使用一個或多個光微影製程(photolithography)將鰭片圖案化,包括雙重圖案化(double-patterning)製程或多重圖案化(multi-patterning)製程。一般而言,雙重圖案化或多重圖案化製程結合了光微影製程及自對準製程(self-aligned process),以創造具有較小節距的圖案,舉例而言,此圖案所具有的節距比使用單一直接光微影製程所能夠得到的節距更小。舉例而言,在一實施例中,形成犧牲層於基板之上並使用光微影製程將其圖案化。使用自對準製程形成間隔物於經過圖案化的犧牲層旁。之後,移除犧牲層,並且可接著使用剩餘的間隔物將鰭片圖案化。在一些實施例中,罩幕(或其他層)可保留在鰭片52A/52B上。The fins can be patterned by any suitable method. For example, one or more photolithography processes can be used to pattern the fins, including a double-patterning process or a multi-patterning process. Generally speaking, the double patterning or multi-patterning process combines a photolithography process and a self-aligned process to create a pattern with a smaller pitch. For example, the pattern has a pitch The pitch is smaller than the pitch that can be obtained using a single direct photolithography process. For example, in one embodiment, a sacrificial layer is formed on the substrate and patterned using a photolithography process. A self-aligned process is used to form spacers beside the patterned sacrificial layer. After that, the sacrificial layer is removed, and the remaining spacers can then be used to pattern the fins. In some embodiments, the mask (or other layer) may remain on the fins 52A/52B.

在第4圖中,絕緣材料54形成於基板50上並且位於相鄰的鰭片52A/52B之間。絕緣材料54可以是氧化物(例如,氧化矽)、氮化物、其他類似物或上述之組合,並且可藉由下列方法形成,包括高密度電漿化學氣相沉積(high density plasma chemical vapor deposition, HDP-CVD)、流動式化學氣相沉積(flowable chemical vapor deposition, FCVD) (例如,在遠距電漿系統中進行的基於CVD的材料沉積,以及後固化(post curing)而使其轉化為另一種材料,例如,氧化物)、其他類似方法或上述之組合。可使用藉由任何可接受的方法所形成的其他絕緣材料。在所示的實施例中,絕緣材料54是藉由流動式化學氣相沉積製程所形成的氧化矽。當形成絕緣材料後,即可進行退火製程。在一實施例中,形成絕緣材料54,使得多餘的絕緣材料54覆蓋鰭片52A/52B。雖然絕緣材料54被繪示為單層,但是一些實施例可以使用多層結構。舉例而言,在一些實施例中,可先沿著基板50及鰭片52A/52B的表面形成順應性的襯層(未繪示)。此後,可在襯層上形成填充材料,例如,如上文所討論的材料。In Figure 4, the insulating material 54 is formed on the substrate 50 and is located between adjacent fins 52A/52B. The insulating material 54 may be oxide (for example, silicon oxide), nitride, other similar substances, or a combination of the above, and may be formed by the following methods, including high density plasma chemical vapor deposition (high density plasma chemical vapor deposition, HDP-CVD), flowable chemical vapor deposition (FCVD) (for example, CVD-based material deposition in a remote plasma system, and post curing to convert it into another One material, for example, oxide), other similar methods, or a combination of the above. Other insulating materials formed by any acceptable method can be used. In the illustrated embodiment, the insulating material 54 is silicon oxide formed by a flow chemical vapor deposition process. After the insulating material is formed, the annealing process can be carried out. In one embodiment, the insulating material 54 is formed so that the excess insulating material 54 covers the fins 52A/52B. Although the insulating material 54 is shown as a single layer, some embodiments may use a multilayer structure. For example, in some embodiments, a compliant liner (not shown) may be formed along the surfaces of the substrate 50 and the fins 52A/52B. Thereafter, a filler material may be formed on the liner layer, for example, the material as discussed above.

在沉積之後,對絕緣材料54進行移除製程,以移除位於鰭片52A/52B上方的多餘的絕緣材料54。在一些實施例中,可使用平坦化製程(例如,化學機械研磨)、回蝕刻製程、上述之組合或其他類似方法。平坦化製程暴露出鰭片52A/52B,使得在平坦化製程完成之後,鰭片52A/52B的頂表面與絕緣材料54的頂表面是齊平的。在將罩幕53保留於鰭片52A/52B上的實施例中,平坦化製程可以暴露出罩幕53或是移除罩幕53,使得在平坦化製程完成之後,罩幕的頂表面或鰭片52A/52B的頂表面分別與絕緣材料54的頂表面是齊平的。After the deposition, a removal process is performed on the insulating material 54 to remove the excess insulating material 54 above the fins 52A/52B. In some embodiments, a planarization process (for example, chemical mechanical polishing), an etch-back process, a combination of the above, or other similar methods may be used. The planarization process exposes the fins 52A/52B, so that after the planarization process is completed, the top surface of the fins 52A/52B and the top surface of the insulating material 54 are flush. In the embodiment where the mask 53 is retained on the fins 52A/52B, the flattening process may expose the mask 53 or remove the mask 53, so that after the flattening process is completed, the top surface of the mask or the fins The top surfaces of the sheets 52A/52B are flush with the top surface of the insulating material 54 respectively.

在第5圖中,例如,使用可接受的蝕刻製程移除鰭片52B的至少一部分。因此,在鰭片52A之間的隔離材料54中形成開口100。在後續的製程中,可以在開口100中形成虛置通道區域。可以完全移除鰭片52B,或者可以將一部分鰭片52B保留在開口100下方。In Figure 5, for example, at least a portion of the fin 52B is removed using an acceptable etching process. Therefore, an opening 100 is formed in the isolation material 54 between the fins 52A. In the subsequent manufacturing process, a dummy channel area may be formed in the opening 100. The fin 52B may be completely removed, or a part of the fin 52B may be left under the opening 100.

在第6圖中,視需要的間隔物層102沉積在隔離材料54及基板50之上。可以沿著凹口100的側壁及底表面沉積間隔物層102。在保留鰭片52B的實施例中,可以沉積間隔物層102於鰭片52B的頂表面之上。可以使用任何合適的製程而沉積間隔物層102,例如,化學氣相沉積、電漿輔助化學氣相沉積(plasma enhanced chemical vapor deposition, PECVD)、電漿輔助原子層沉積(plasma enhanced ALD, PEALD)、原子層沉積、物理氣相沉積或其他類似方法。可以使用順應性的製程沉積間隔物層102。間隔物層102的厚度可以在大約3 Å至大約500 Å的範圍內。間隔物層102可以包括基於矽(silicon-based)的介電材料(例如,氮化矽、氧化矽、氮氧化矽、碳氮氧化矽(silicon carbon oxynitride)、碳化矽、碳氧化矽(silicon oxycarbide)、氧化矽或其他類似物);基於矽的半導體材料(例如,矽鍺);金屬氧化物;金屬氮化物或其他類似物。在間隔物層102包括金屬氧化物或金屬氮化物的一些實施例中,間隔物層102可以包括金屬,例如,鉿、鉭、鋁、鉻、鎳、鐵、釔、銅、錫、鎢或其他類似物。間隔物層102是視需要而設置的膜層,並且在其他實施例中可以被省略。In FIG. 6, a spacer layer 102 as needed is deposited on the isolation material 54 and the substrate 50. The spacer layer 102 may be deposited along the sidewall and bottom surface of the recess 100. In an embodiment where the fin 52B is retained, a spacer layer 102 may be deposited on the top surface of the fin 52B. Any suitable process may be used to deposit the spacer layer 102, for example, chemical vapor deposition, plasma enhanced chemical vapor deposition (PECVD), plasma enhanced ALD (PEALD) , Atomic layer deposition, physical vapor deposition or other similar methods. The spacer layer 102 can be deposited using a compliant process. The thickness of the spacer layer 102 may be in the range of about 3 Å to about 500 Å. The spacer layer 102 may include silicon-based dielectric materials (for example, silicon nitride, silicon oxide, silicon oxynitride, silicon carbon oxynitride, silicon carbide, silicon oxycarbide). ), silicon oxide or the like); silicon-based semiconductor materials (for example, silicon germanium); metal oxides; metal nitrides or the like. In some embodiments where the spacer layer 102 includes a metal oxide or a metal nitride, the spacer layer 102 may include a metal, for example, hafnium, tantalum, aluminum, chromium, nickel, iron, yttrium, copper, tin, tungsten, or others. analog. The spacer layer 102 is a film layer provided as needed, and may be omitted in other embodiments.

在第7圖中,沉積膜層104於間隔物層102 (如果存在)之上。另外,在省略間隔物層102的實施例中,膜層104可以直接沉積在隔離材料54及基板50之上。可以沿著凹口100的側壁和底表面沉積膜層104,直到位於凹口100側壁上的膜層104的部分足夠厚並且接合在一起。因此,膜層104可以填充凹槽100的剩餘部分,並且可以在膜層104中形成接縫104’。可以使用任何合適的製程而沉積膜層104,例如,化學氣相沉積、電漿輔助化學氣相沉積、電漿輔助原子層沉積、原子層沉積、物理氣相沉積或其他類似方法。可以使用順應性的製程沉積膜層104。膜層104的厚度可以在大約3 Å至大約500 Å的範圍內。膜層104可以包括基於矽的介電材料(例如,氮化矽、氧化矽、氮氧化矽、碳氮氧化矽(silicon carbon oxynitride)、碳化矽、碳氧化矽(silicon oxycarbide)、氧化矽或其他類似物);基於矽的半導體材料(例如,矽鍺);金屬氧化物;金屬氮化物或其他類似物。在膜層104包括金屬氧化物或金屬氮化物的一些實施例中,膜層104可以包括金屬,例如,鉿、鉭、鋁、鉻、鎳、鐵、釔、銅、錫、鎢或其他類似物。In Figure 7, the film layer 104 is deposited on the spacer layer 102 (if present). In addition, in the embodiment where the spacer layer 102 is omitted, the film layer 104 may be directly deposited on the isolation material 54 and the substrate 50. The film layer 104 may be deposited along the sidewall and bottom surface of the recess 100 until the portion of the film layer 104 on the sidewall of the recess 100 is thick enough and joined together. Therefore, the film layer 104 can fill the remaining part of the groove 100, and a seam 104' can be formed in the film layer 104. The film layer 104 may be deposited by any suitable process, for example, chemical vapor deposition, plasma-assisted chemical vapor deposition, plasma-assisted atomic layer deposition, atomic layer deposition, physical vapor deposition, or other similar methods. The film layer 104 can be deposited using a compliant process. The thickness of the film layer 104 may be in the range of about 3 Å to about 500 Å. The film layer 104 may include a silicon-based dielectric material (for example, silicon nitride, silicon oxide, silicon oxynitride, silicon carbon oxynitride, silicon carbide, silicon oxycarbide, silicon oxide, or other materials). Analogs); silicon-based semiconductor materials (for example, silicon germanium); metal oxides; metal nitrides or the like. In some embodiments where the film layer 104 includes metal oxide or metal nitride, the film layer 104 may include metal, for example, hafnium, tantalum, aluminum, chromium, nickel, iron, yttrium, copper, tin, tungsten, or the like .

膜層104的材料可以與間隔物層102相同或不同。此外,在實施例中可以包括間隔物102以部分地填充凹口100的一部分,使得膜層104可以填充凹口100的剩餘部分而使間隙填充得到改善。例如,在凹口100相對較寬的實施例中,可以在凹口100中沉積多層材料,使得各層共同填充凹口100,而無需使任何單一膜層過厚。此外,隔離物102的材料可以比膜層104更硬。舉例而言,可以針對其間隙填充特性而選擇膜層104的材料,其中隔離物102為後續形成的虛置鰭片52’提供強度和結構支撐(參照第14圖)。The material of the film layer 104 may be the same as or different from the spacer layer 102. In addition, the spacer 102 may be included in the embodiment to partially fill a part of the notch 100 so that the film layer 104 may fill the remaining part of the notch 100 to improve the gap filling. For example, in an embodiment where the notch 100 is relatively wide, multiple layers of material may be deposited in the notch 100 so that each layer fills the notch 100 together without making any single film layer too thick. In addition, the material of the spacer 102 may be harder than the film layer 104. For example, the material of the film layer 104 can be selected for its gap filling characteristics, wherein the spacer 102 provides strength and structural support for the dummy fin 52' to be formed later (refer to FIG. 14).

在第8圖中,可以將膜層104回蝕刻到期望的高度。蝕刻膜層104可以包括選擇性製程,此選擇性製程可選擇性地蝕刻膜層104而不會明顯蝕刻隔離材料54或鰭片52A。In Figure 8, the film layer 104 can be etched back to a desired height. The etching of the film layer 104 may include a selective process, which can selectively etch the film layer 104 without significantly etching the isolation material 54 or the fin 52A.

在一些實施例中,回蝕刻製程可以是電漿製程,例如,電漿蝕刻、遙控電漿製程(remote plasma process)、自由基蝕刻(radical etch)或其他類似方法。在電漿製程期間使用的蝕刻氣體可以包括氯氣(Cl2 )、溴化氫(HBr)、全氟甲烷(CF4 )、三氟甲烷(CHF3 )、二氟甲烷(CH2 F2 )、單氟甲烷(CH3 F)、六氟丁二烯(C4 F6 )、三氯化硼(BCl3 )、六氟化硫(SF6 )、氫氣(H2 )、三氟化氮(NF3 )、上述之組合或其他類似物。電漿製程可以進一步包括使鈍化氣體流過裝置10,以調節(例如,增加)膜層104與裝置10的其他部件之間的蝕刻選擇性。例示性的鈍化氣體可以包括氮氣、氧氣、二氧化碳、二氧化硫、一氧化碳、四氯化矽(SiCl4 )、上述之組合或其他類似物。在電漿製程期間也可以使用一種或多種載流氣體,例如,氬氣、氦氣、氖氣、上述之組合或其他類似物。此外,可以使用大約10 W至大約3000 W的範圍內的電漿源功率,大約0 W至大約3000 W的範圍內的偏壓功率(bias power),在大約1 mTorr至大約800 mTorr的壓力,約10 sccm至大約5000 sccm的混合流速或其他類似的條件下進行電漿製程。In some embodiments, the etch-back process may be a plasma process, for example, plasma etching, remote plasma process, radical etch, or other similar methods. The etching gas used during the plasma process may include chlorine (Cl 2 ), hydrogen bromide (HBr), perfluoromethane (CF 4 ), trifluoromethane (CHF 3 ), difluoromethane (CH 2 F 2 ), Monofluoromethane (CH 3 F), hexafluorobutadiene (C 4 F 6 ), boron trichloride (BCl 3 ), sulfur hexafluoride (SF 6 ), hydrogen (H 2 ), nitrogen trifluoride ( NF 3 ), a combination of the above or other analogs. The plasma process may further include flowing a passivation gas through the device 10 to adjust (for example, increase) the etching selectivity between the film layer 104 and other components of the device 10. Exemplary passivation gases may include nitrogen, oxygen, carbon dioxide, sulfur dioxide, carbon monoxide, silicon tetrachloride (SiCl 4 ), a combination of the above, or the like. One or more carrier gases may also be used during the plasma process, for example, argon, helium, neon, a combination of the above, or the like. In addition, the plasma source power in the range of about 10 W to about 3000 W, the bias power in the range of about 0 W to about 3000 W, and the pressure of about 1 mTorr to about 800 mTorr can be used. The plasma process is performed under a mixing flow rate of about 10 sccm to about 5000 sccm or other similar conditions.

在一些實施例中,回蝕刻製程是濕式蝕刻製程(有時稱為濕式清潔)。可在濕式蝕刻製程期間使用的例示性蝕刻劑可以包括氫氟酸(HF)、氟(F2 )、上述之組合或其他類似物。濕式蝕刻製程可以更包括使輔助蝕刻化學藥劑流過裝置10,以調節(例如,增加)膜層104與裝置10的其他部件之間的蝕刻選擇性。例示性的輔助蝕刻化學劑可包括硫酸(H2 SO4 )、氯化氫(HCl)、溴化氫(HBr)、氨(NH3 )、上述之組合或其他類似物。可以使用去離子水、醇、丙酮或其他類似物作為在濕式蝕刻製程期間用於混合蝕刻劑及/或輔助蝕刻化學品的溶劑。In some embodiments, the etch-back process is a wet etching process (sometimes referred to as wet cleaning). Exemplary etchants that can be used during the wet etching process can include hydrofluoric acid (HF), fluorine (F 2 ), combinations of the above, or the like. The wet etching process may further include flowing auxiliary etching chemicals through the device 10 to adjust (for example, increase) the etching selectivity between the film layer 104 and other components of the device 10. Exemplary auxiliary etching chemicals may include sulfuric acid (H 2 SO 4 ), hydrogen chloride (HCl), hydrogen bromide (HBr), ammonia (NH 3 ), combinations of the foregoing, or other similar substances. Deionized water, alcohol, acetone, or the like can be used as a solvent for mixing etchant and/or auxiliary etching chemicals during the wet etching process.

在第9圖中,將間隔物層102回蝕刻到,例如,與膜層104相同的高度。蝕刻間隔物層102可以包括選擇性製程,此選擇性製程可選擇性地蝕刻間隔物層102而不會明顯蝕刻隔離材料54或鰭片52A。使用於間隔物層102的回蝕刻製程可以與使用於膜層104的回蝕刻製程相同或不同。In FIG. 9, the spacer layer 102 is etched back to, for example, the same height as the film layer 104. Etching the spacer layer 102 may include a selective process that can selectively etch the spacer layer 102 without significantly etching the isolation material 54 or the fin 52A. The etch-back process used for the spacer layer 102 may be the same as or different from the etch-back process used for the film layer 104.

在一些實施例中,使用於間隔物層102的回蝕刻製程可以是電漿製程,例如,電漿蝕刻、遙控電漿製程、自由基蝕刻或其他類似方法。在電漿製程期間使用的蝕刻氣體可以包括氯氣、溴化氫、全氟甲烷、三氟甲烷、二氟甲烷、單氟甲烷、六氟丁二烯、三氯化硼、六氟化硫、氫氣、三氟化氮、上述之組合或其他類似物。電漿製程可以進一步包括使鈍化氣體流過裝置10,以調節(例如,增加)間隔物層102與裝置10的其他部件之間的蝕刻選擇性。例示性的鈍化氣體可以包括氮氣、氧氣、二氧化碳、二氧化硫、一氧化碳、四氯化矽、上述之組合或其他類似物。在電漿製程期間也可以使用一種或多種載流氣體,例如,氬氣、氦氣、氖氣、上述之組合或其他類似物。此外,可以使用大約10 W至大約3000 W的範圍內的電漿源功率,大約0 W至大約3000 W的範圍內的偏壓功率(bias power),在大約1 mTorr至大約800 mTorr的壓力,約10 sccm至大約5000 sccm的混合流速或其他類似的條件下進行電漿製程。In some embodiments, the etch-back process used for the spacer layer 102 may be a plasma process, for example, plasma etching, remote control plasma process, radical etching, or other similar methods. The etching gas used during the plasma process can include chlorine, hydrogen bromide, perfluoromethane, trifluoromethane, difluoromethane, monofluoromethane, hexafluorobutadiene, boron trichloride, sulfur hexafluoride, hydrogen , Nitrogen trifluoride, a combination of the above or other similar substances. The plasma process may further include flowing passivation gas through the device 10 to adjust (eg, increase) the etching selectivity between the spacer layer 102 and other components of the device 10. Exemplary passivation gases may include nitrogen, oxygen, carbon dioxide, sulfur dioxide, carbon monoxide, silicon tetrachloride, combinations of the above, or the like. One or more carrier gases may also be used during the plasma process, for example, argon, helium, neon, a combination of the above, or the like. In addition, the plasma source power in the range of about 10 W to about 3000 W, the bias power in the range of about 0 W to about 3000 W, and the pressure of about 1 mTorr to about 800 mTorr can be used. The plasma process is performed under a mixing flow rate of about 10 sccm to about 5000 sccm or other similar conditions.

在一些實施例中,使用於間隔物層102的回蝕刻製程是濕式蝕刻製程(有時稱為濕式清潔)。可在濕式蝕刻製程期間使用的例示性蝕刻劑可以包括氫氟酸(HF)、氟(F2 )、上述之組合或其他類似物。濕式蝕刻製程可以更包括使輔助蝕刻化學藥劑流過裝置10,以調節(例如,增加)間隔物層102與裝置10的其他部件之間的蝕刻選擇性。例示性的輔助蝕刻化學劑可包括硫酸(H2 SO4 )、氯化氫(HCl)、溴化氫(HBr)、氨(NH3 )、上述之組合或其他類似物。可以使用去離子水、醇、丙酮或其他類似物作為在濕式蝕刻製程期間用於混合蝕刻劑及/或輔助蝕刻化學品的溶劑。In some embodiments, the etch-back process used for the spacer layer 102 is a wet etching process (sometimes referred to as wet cleaning). Exemplary etchants that can be used during the wet etching process can include hydrofluoric acid (HF), fluorine (F 2 ), combinations of the above, or the like. The wet etching process may further include flowing auxiliary etching chemicals through the device 10 to adjust (for example, increase) the etching selectivity between the spacer layer 102 and other components of the device 10. Exemplary auxiliary etching chemicals may include sulfuric acid (H 2 SO 4 ), hydrogen chloride (HCl), hydrogen bromide (HBr), ammonia (NH 3 ), combinations of the foregoing, or other similar substances. Deionized water, alcohol, acetone, or the like can be used as a solvent for mixing etchant and/or auxiliary etching chemicals during the wet etching process.

在第10圖中,沉積膜層106於鰭片52A、隔離材料54、膜層104及間隔物層102 (如果存在)之上。膜層104可以沿著凹口100的側壁和底表面沉積膜層106。可以使用任何合適的製程而沉積膜層106,例如,化學氣相沉積、電漿輔助化學氣相沉積、電漿輔助原子層沉積、原子層沉積、物理氣相沉積或其他類似方法。可以使用順應性的製程沉積膜層106。雖然繪示出單層的膜層106,但是膜層106可以是多層結構。舉例而言,在一些實施例中,膜106可以包括多達十層的不同材料。可以使用與上述製程相似的製程而沉積膜106的每一層。膜106中每一層的厚度可以在大約3 Å至大約500 Å的範圍內。In Figure 10, the film layer 106 is deposited on the fin 52A, the isolation material 54, the film layer 104, and the spacer layer 102 (if present). The film layer 104 may deposit the film layer 106 along the sidewall and bottom surface of the recess 100. The film layer 106 may be deposited by any suitable process, for example, chemical vapor deposition, plasma-assisted chemical vapor deposition, plasma-assisted atomic layer deposition, atomic layer deposition, physical vapor deposition, or other similar methods. The film layer 106 can be deposited using a compliant process. Although a single-layer film layer 106 is shown, the film layer 106 may have a multi-layer structure. For example, in some embodiments, the film 106 may include up to ten layers of different materials. Each layer of the film 106 can be deposited using a process similar to the process described above. The thickness of each layer in the film 106 may range from about 3 Å to about 500 Å.

膜層106可以包括基於矽的介電材料(例如,氮化矽、氧化矽、氮氧化矽、碳氮氧化矽(silicon carbon oxynitride)、碳化矽、碳氧化矽(silicon oxycarbide)、氧化矽或其他類似物);基於矽的半導體材料(例如,矽鍺);金屬氧化物;金屬氮化物或其他類似物。在膜層106包括金屬氧化物或金屬氮化物的一些實施例中,膜層106可以包括金屬,例如,鉿、鉭、鋁、鉻、鎳、鐵、釔、銅、錫、鎢或其他類似物。可選擇膜層106的每一層的材料以在一個或多個後續製程中提供蝕刻選擇性。舉例而言,可以選擇膜層106的材料,使得其可以被蝕刻移除,以提供具有較薄的頂部/中間部分的虛置通道區域。The film layer 106 may include a silicon-based dielectric material (for example, silicon nitride, silicon oxide, silicon oxynitride, silicon carbon oxynitride, silicon carbide, silicon oxycarbide, silicon oxide, or other materials). Analogs); silicon-based semiconductor materials (for example, silicon germanium); metal oxides; metal nitrides or the like. In some embodiments where the film layer 106 includes metal oxide or metal nitride, the film layer 106 may include metal, for example, hafnium, tantalum, aluminum, chromium, nickel, iron, yttrium, copper, tin, tungsten, or the like . The material of each layer of the film layer 106 can be selected to provide etching selectivity in one or more subsequent processes. For example, the material of the film layer 106 can be selected so that it can be removed by etching to provide a dummy channel area with a thinner top/middle portion.

在第7圖中,沉積膜層108於膜層106之上。可以沿著凹口100的側壁和底表面沉積膜層108,直到位於凹口100側壁上的膜層108的部分足夠厚並且接合在一起。因此,膜層108可以填充凹槽100的剩餘部分,並且可以在膜層108中形成接縫108’。可以使用任何合適的製程而沉積膜層108,例如,化學氣相沉積、電漿輔助化學氣相沉積、電漿輔助原子層沉積、原子層沉積、物理氣相沉積或其他類似方法。可以使用順應性的製程沉積膜層108。膜層108的厚度可以在大約3 Å至大約500 Å的範圍內。膜層108可以包括基於矽的介電材料(例如,氮化矽、氧化矽、氮氧化矽、碳氮氧化矽(silicon carbon oxynitride)、碳化矽、碳氧化矽(silicon oxycarbide)、氧化矽或其他類似物);基於矽的半導體材料(例如,矽鍺);金屬氧化物;金屬氮化物或其他類似物。在膜層108包括金屬氧化物或金屬氮化物的一些實施例中,膜層108可以包括金屬,例如,鉿、鉭、鋁、鉻、鎳、鐵、釔、銅、錫、鎢或其他類似物。In FIG. 7, the film layer 108 is deposited on the film layer 106. The film layer 108 may be deposited along the sidewall and bottom surface of the recess 100 until the portion of the film layer 108 on the sidewall of the recess 100 is thick enough and joined together. Therefore, the film layer 108 can fill the remaining part of the groove 100, and a seam 108' can be formed in the film layer 108. The film layer 108 may be deposited by any suitable process, for example, chemical vapor deposition, plasma-assisted chemical vapor deposition, plasma-assisted atomic layer deposition, atomic layer deposition, physical vapor deposition, or other similar methods. The film layer 108 can be deposited using a compliant process. The thickness of the film layer 108 may be in the range of about 3 Å to about 500 Å. The film layer 108 may include a silicon-based dielectric material (for example, silicon nitride, silicon oxide, silicon oxynitride, silicon carbon oxynitride, silicon carbide, silicon oxycarbide, silicon oxide, or other materials). Analogs); silicon-based semiconductor materials (for example, silicon germanium); metal oxides; metal nitrides or the like. In some embodiments where the film layer 108 includes metal oxide or metal nitride, the film layer 108 may include metal, for example, hafnium, tantalum, aluminum, chromium, nickel, iron, yttrium, copper, tin, tungsten, or the like .

可以選擇膜層106與膜層108的材料,使得在後續製程中可以選擇性地蝕刻膜層106,而不會明顯蝕刻膜層108。此外,還可以選擇膜層108的材料,使得在鰭式場效電晶體的源極/汲極形成步驟期間不會明顯蝕刻膜層108。如後文將更詳細地描述的,形成源極/汲極區域可以包括蝕刻閘極間隔物層以暴露出鰭片52A,之後蝕刻鰭片52A。暴露出鰭片52A可能也會暴露出膜層108。因此,可以選擇膜層108的材料,使得在閘極間隔物及鰭片52’的蝕刻製程中,膜層108不會被明顯蝕刻。舉例而言,在閘極間隔物包括氮化物的實施例中,膜層108的氮濃度可以相對較低,以在閘極間隔物蝕刻期間提供蝕刻選擇性。在一些實施例中,膜層108的氮濃度可以小於40 at.%(原子百分比)。膜層108可以是,例如,氧化物或氮氧化物。作為另一示範例,膜層108可以是與鰭片52A不同的材料,以在鰭片圖案化期間提供蝕刻選擇性。例如,鰭片52A可以包括鍺。在其他實施例中,膜層108可以包括高介電常數(high-k)材料,以在閘極間隔物圖案化及鰭片圖案化期間提供蝕刻選擇性。The materials of the film layer 106 and the film layer 108 can be selected so that the film layer 106 can be selectively etched in the subsequent manufacturing process without the film layer 108 being etched significantly. In addition, the material of the film layer 108 can also be selected so that the film layer 108 is not significantly etched during the source/drain formation step of the fin-type field effect transistor. As will be described in more detail later, forming the source/drain regions may include etching the gate spacer layer to expose the fin 52A, and then etching the fin 52A. Exposing the fin 52A may also expose the film layer 108. Therefore, the material of the film layer 108 can be selected so that the film layer 108 will not be significantly etched during the etching process of the gate spacer and the fin 52'. For example, in an embodiment where the gate spacer includes nitride, the nitrogen concentration of the film layer 108 may be relatively low to provide etching selectivity during the gate spacer etching. In some embodiments, the nitrogen concentration of the film layer 108 may be less than 40 at.% (atomic percentage). The film layer 108 may be, for example, oxide or oxynitride. As another example, the film layer 108 may be a different material from the fin 52A to provide etching selectivity during fin patterning. For example, the fin 52A may include germanium. In other embodiments, the film layer 108 may include a high-k material to provide etching selectivity during gate spacer patterning and fin patterning.

在一些實施例中,膜層108的材料可具有比膜層104及/或間隔物102的材料更高的化學鍵能。結果,可能難以直接蝕刻膜層108並減小膜層108的寬度。因此,在膜層108上形成具有較低化學鍵能的膜層106,並且在隨後的處理步驟中修整膜層106。這種修整有利地增加了鰭片52’之間的空間,以在後續的製程步驟中改善間隙填充。In some embodiments, the material of the film layer 108 may have a higher chemical bond energy than the material of the film layer 104 and/or the spacer 102. As a result, it may be difficult to directly etch the film layer 108 and reduce the width of the film layer 108. Therefore, a film layer 106 having a lower chemical bond energy is formed on the film layer 108, and the film layer 106 is trimmed in a subsequent processing step. This trimming advantageously increases the space between the fins 52' to improve gap filling in subsequent process steps.

在第12圖中,對膜層106及膜層108進行移除製程,以移除位於鰭片52A/52B上方的多餘的膜層106及膜層108。在一些實施例中,可使用平坦化製程(例如,化學機械研磨)、回蝕刻製程、上述之組合或其他類似方法。平坦化製程暴露出鰭片52A與絕緣材料54,使得在平坦化製程完成之後,鰭片52A的頂表面、絕緣材料54的頂表面、膜層106的頂表面及膜層108的頂表面是齊平的。In FIG. 12, the film layer 106 and the film layer 108 are removed to remove the excess film layer 106 and the film layer 108 located above the fins 52A/52B. In some embodiments, a planarization process (for example, chemical mechanical polishing), an etch-back process, a combination of the above, or other similar methods may be used. The planarization process exposes the fin 52A and the insulating material 54 so that after the planarization process is completed, the top surface of the fin 52A, the top surface of the insulating material 54, the top surface of the film layer 106, and the top surface of the film layer 108 are aligned. flat.

雖然膜層108僅被繪示為單層,但是膜層108可以具有多層結構。舉例而言,在其他實施例中,膜層108可以包括多個堆疊的膜層。在這樣的實施例中,可藉由如以上關於第11圖所述的方式沉積每一個膜層,並且藉由與上述關於凹陷化膜層104 (參照第8圖)所述的類似的方式而將每一個膜層凹陷化。可以重複此製程,直到形成用於膜層108所需數目的膜層為止。在一些實施例中,可以在膜層106上方的凹口100中沉積並回蝕刻多達十個膜層。在第15G圖及第15H圖中繪示出膜層108具有多個膜層的實施例。Although the film layer 108 is only shown as a single layer, the film layer 108 may have a multi-layer structure. For example, in other embodiments, the film layer 108 may include a plurality of stacked film layers. In such an embodiment, each layer can be deposited by the method described above with respect to Figure 11, and by a method similar to that described above with respect to the recessed layer 104 (refer to Figure 8). Depression of each film layer. This process can be repeated until the required number of film layers for the film layer 108 are formed. In some embodiments, up to ten film layers can be deposited and etched back in the recess 100 above the film layer 106. 15G and 15H depict an embodiment in which the film layer 108 has multiple film layers.

在第13圖中,將絕緣材料54凹陷化,以形成淺溝槽隔離(STI)區域56。將絕緣材料54凹陷化,以使鰭片52A的上部分從相鄰的淺溝槽隔離區域56之間突出。此外,淺溝槽隔離區域56的頂表面可具有如圖式所繪示的平坦表面、凸表面、凹表面(例如,碟形凹陷)或上述之組合。淺溝槽隔離區域56的頂表面可藉由適當的蝕刻而形成為平坦的、凸的及/或凹的。可使用可接受的蝕刻製程將淺溝槽隔離區域56凹陷化,例如,對絕緣材料54的材料具有選擇性的蝕刻製程(例如,以比鰭片52的材料更快的速率蝕刻絕緣材料54的材料)。舉例而言,可使用,例如,使用稀氫氟酸的氧化物移除。將絕緣材料54凹陷化可以使用與膜層106/108及/或間隔物層102相比選擇性地蝕刻絕緣材料54的製程。In FIG. 13, the insulating material 54 is recessed to form a shallow trench isolation (STI) region 56. The insulating material 54 is recessed so that the upper part of the fin 52A protrudes from between adjacent shallow trench isolation regions 56. In addition, the top surface of the shallow trench isolation region 56 may have a flat surface, a convex surface, a concave surface (for example, a dish-shaped depression) as shown in the figure, or a combination thereof. The top surface of the shallow trench isolation region 56 may be formed flat, convex, and/or concave by appropriate etching. The shallow trench isolation region 56 can be recessed using an acceptable etching process, for example, an etching process that is selective to the material of the insulating material 54 (for example, the insulating material 54 is etched at a faster rate than the material of the fin 52). Material). For example, it is possible to use, for example, oxide removal using dilute hydrofluoric acid. Recessing the insulating material 54 may use a process of selectively etching the insulating material 54 compared to the film layer 106/108 and/or the spacer layer 102.

關於第2圖到第13圖所描述的製程僅僅是可以形成鰭片52a的一個示範例。在一些實施例中,可藉由磊晶成長製程形成鰭片。例如,可形成介電層於基板50的頂表面之上,並且可蝕刻形成穿過此介電層的溝槽,以暴露出下方的基板50。可在此溝槽中磊晶成長同質磊晶結構(homoepitaxial structure),並且可將此介電層凹陷化,使得同質磊晶結構從介電層突出而形成鰭片。另外,在一些實施例中,異質磊晶結構(heteroepitaxial structure)可使用於鰭片52a。例如,可凹陷化第13圖中的鰭片52A,並且可磊晶成長與鰭片52A不同的材料於凹陷化的鰭片52A之上。在如此的實施例中,鰭片52A包括凹陷化的材料及設置在凹陷化的材料上方的磊晶成長材料。在另一個實施例中,可形成介電層於基板50的頂表面之上,並且可蝕刻形成穿過此介電層的溝槽。可使用與基板50不同的材料在溝槽中磊晶成長異質磊晶結構,並且可將此介電層凹陷化,使得異質磊晶結構從介電層突出而形成鰭片52A。在磊晶成長同質磊晶結構或異質磊晶結構的一些實施例中,可在成長過程中原位(in-situ)摻雜磊晶成長的材料,如此可省略原位摻雜之前及之後的佈植,雖然原位摻雜和佈植摻雜亦可一起使用。The process described in FIGS. 2 to 13 is only an example of the fin 52a that can be formed. In some embodiments, the fins can be formed by an epitaxial growth process. For example, a dielectric layer may be formed on the top surface of the substrate 50, and a trench through the dielectric layer may be etched to expose the substrate 50 below. A homoepitaxial structure can be epitaxially grown in the trench, and the dielectric layer can be recessed so that the homoepitaxial structure protrudes from the dielectric layer to form a fin. In addition, in some embodiments, a heteroepitaxial structure may be used for the fin 52a. For example, the fin 52A in FIG. 13 can be recessed, and a material different from the fin 52A can be epitaxially grown on the recessed fin 52A. In such an embodiment, the fin 52A includes a recessed material and an epitaxial growth material disposed on the recessed material. In another embodiment, a dielectric layer may be formed on the top surface of the substrate 50, and a trench may be etched through the dielectric layer. A material different from that of the substrate 50 can be used to epitaxially grow the heteroepitaxial structure in the trench, and the dielectric layer can be recessed so that the heteroepitaxial structure protrudes from the dielectric layer to form the fin 52A. In some embodiments of the epitaxial growth of the homoepitaxial structure or the heteroepitaxial structure, the epitaxially grown material can be doped in-situ during the growth process, so that the cloth before and after the in-situ doping can be omitted. Plant, although in-situ doping and implant doping can also be used together.

再者,在區域50N (例如,NMOS區域)中磊晶成長與在區域50P (例如,PMOS區域)中的材料不同的材料,如此可能是有優點的。不管裝置的類型(例如,NMOS或PMOS)如何,在裝置10的第一電路區域(例如,靜態隨機存取記憶體,SRAM)中磊晶成長與裝置10的第二電路區域中的材料不同的材料,如此可能也是有優點的。在各個實施例中,鰭片52A的上部分可由矽鍺(Six Ge1-x ,其中x可以在0至1的範圍內)、碳化矽、純的或實質上純的鍺、III-V族化合物半導體、II-VI化合物半導體或其他類似物形成。舉例而言,用以形成III-V化合物半導體的可用材料包括但不限於砷化銦、砷化鋁、砷化鎵、磷化銦、氮化鎵、砷化鎵銦(indium gallium arsenide)、砷化鋁銦(indium aluminum arsenide)、銻化鎵、銻化鋁、磷化鋁、磷化鎵或其他類似物。Furthermore, it may be advantageous to epitaxially grow a different material in the region 50N (for example, NMOS region) from the material in the region 50P (for example, PMOS region). Regardless of the type of device (for example, NMOS or PMOS), the epitaxial growth in the first circuit region (for example, static random access memory, SRAM) of the device 10 is different from the material in the second circuit region of the device 10 Material, this may also have advantages. In various embodiments, the upper portion of the fin 52A can be made of silicon germanium (Si x Ge 1-x , where x can be in the range of 0 to 1), silicon carbide, pure or substantially pure germanium, III-V Group compound semiconductors, II-VI compound semiconductors or the like are formed. For example, available materials for forming III-V compound semiconductors include, but are not limited to, indium arsenide, aluminum arsenide, gallium arsenide, indium phosphide, gallium nitride, indium gallium arsenide, and arsenic. Indium aluminum arsenide (indium aluminum arsenide), gallium antimonide, aluminum antimonide, aluminum phosphide, gallium phosphide or other similar substances.

此外,在第13圖中,可在鰭片52A及/或基板50中形成適當的井區域(未繪示)。在一些實施例中,可形成P型井於區域50N中,並且可形成N型井於區域50P中。在一些實施例中,形成P型井或N型井於區域50N及區域50P的兩者中。In addition, in FIG. 13, suitable well regions (not shown) may be formed in the fin 52A and/or the substrate 50. In some embodiments, a P-type well may be formed in the region 50N, and an N-type well may be formed in the region 50P. In some embodiments, a P-type well or an N-type well is formed in both the region 50N and the region 50P.

在具有不同井類型的實施例中,可使用光阻或其他罩幕(未繪示),以實現用於區域50N及區域50P的不同佈植步驟。舉例而言,可在區域50N中的鰭片52A及淺溝槽隔離區域56上方形成光阻。將光阻圖案化以暴露基板50的區域50P,例如,PMOS區域。可藉由使用旋轉塗佈技術以形成光阻,並且可使用可接受的光微影技術對光阻進行圖案化。當將光阻圖案化之後,在區域50P中進行n型雜質佈植,並且光阻可作為罩幕,以實質上防止n型雜質被佈植到區域50N,例如,NMOS區域中。此n型雜質可以是磷、砷、銻或其他類似物,且其佈植到此區域中的濃度等於或小於1018 cm-3 ,例如,在大約1016 cm-3 與大約1018 cm-3 之間。佈植之後,例如,藉由可接受的灰化(ashing)製程移除光阻。In embodiments with different well types, photoresist or other masks (not shown) may be used to implement different implantation steps for the area 50N and the area 50P. For example, a photoresist can be formed over the fin 52A and the shallow trench isolation region 56 in the region 50N. The photoresist is patterned to expose a region 50P of the substrate 50, for example, a PMOS region. The photoresist can be formed by using spin coating technology, and the photoresist can be patterned using acceptable photolithography technology. After the photoresist is patterned, n-type impurities are implanted in the region 50P, and the photoresist can be used as a mask to substantially prevent n-type impurities from being implanted in the region 50N, for example, in the NMOS region. This n-type impurity may be phosphorus, arsenic, antimony or other like, and which implant concentration in this region is equal to or less than 10 18 cm -3, for example, about 10 18 cm to about 10 16 cm -3 - Between 3. After implantation, for example, the photoresist is removed by an acceptable ashing process.

在對區域50P進行佈植之後,在區域50P中的鰭片52A及淺溝槽隔離區域56上方形成光阻。將光阻圖案化以暴露基板50的區域50N,例如,NMOS區域。可藉由使用旋轉塗佈技術以形成光阻,並且可使用可接受的光微影技術對光阻進行圖案化。當將光阻圖案化之後,在區域50N中進行p型雜質佈植,並且光阻可作為罩幕,以實質上防止p型雜質被佈植到區域50P,例如,PMOS區域中。此p型雜質可以是硼、氟化硼(boron fluoride)、銦或其他類似物,且其佈植到此區域中的濃度等於或小於1018 cm-3 ,例如,在大約1016 cm-3 與大約1018 cm-3 之間。佈植之後,例如,藉由可接受的灰化製程移除光阻。After implanting the region 50P, a photoresist is formed over the fin 52A and the shallow trench isolation region 56 in the region 50P. The photoresist is patterned to expose a region 50N of the substrate 50, for example, an NMOS region. The photoresist can be formed by using spin coating technology, and the photoresist can be patterned using acceptable photolithography technology. After the photoresist is patterned, p-type impurities are implanted in the region 50N, and the photoresist can be used as a mask to substantially prevent the p-type impurities from being implanted in the region 50P, for example, in the PMOS region. The p-type impurity may be boron, boron fluoride, indium or the like, and its concentration in this area is equal to or less than 10 18 cm -3 , for example, at about 10 16 cm -3 And about 10 18 cm -3 . After implantation, for example, the photoresist is removed by an acceptable ashing process.

在區域50N及區域50P的佈植之後,可進行退火,以修復佈植損傷並且活化所佈植的p型及/或n型雜質。在一些實施例中,磊晶鰭片的成長材料可以在成長期間被原位摻雜,如此可省略佈植,雖然原位摻雜及佈植摻雜亦可一起使用。After the implantation of the region 50N and the region 50P, annealing may be performed to repair the implantation damage and activate the implanted p-type and/or n-type impurities. In some embodiments, the growth material of the epitaxial fin can be doped in-situ during the growth, so that the implantation can be omitted, although in-situ doping and implantation doping can also be used together.

在第14圖中,蝕刻膜層106並從膜層108的側壁上至少部分地移除膜層106。蝕刻膜層106可包括選擇性製程,此選擇性製程可選擇性地蝕刻膜層106而不會明顯蝕刻膜層108、間隔物層102或膜層104。In FIG. 14, the film layer 106 is etched and the film layer 106 is at least partially removed from the sidewall of the film layer 108. The etching of the film layer 106 may include a selective process, which can selectively etch the film layer 106 without significantly etching the film layer 108, the spacer layer 102, or the film layer 104.

在一些實施例中,蝕刻膜層106可以包括電漿製程,例如,電漿蝕刻、遙控電漿製程、自由基蝕刻或其他類似方法。在電漿製程期間使用的蝕刻氣體可以包括氯氣、溴化氫、全氟甲烷、三氟甲烷、二氟甲烷、單氟甲烷、六氟丁二烯、三氯化硼、六氟化硫、氫氣、三氟化氮、上述之組合或其他類似物。電漿製程可以進一步包括使鈍化氣體流過裝置10,以調節(例如,增加)膜層106與裝置10的其他部件之間的蝕刻選擇性。例示性的鈍化氣體可以包括氮氣、氧氣、二氧化碳、二氧化硫、一氧化碳、四氯化矽、上述之組合或其他類似物。在電漿製程期間也可以使用一種或多種載流氣體,例如,氬氣、氦氣、氖氣、上述之組合或其他類似物。此外,可以使用大約10 W至大約3000 W的範圍內的電漿源功率,大約0 W至大約3000 W的範圍內的偏壓功率(bias power),在大約1 mTorr至大約800 mTorr的壓力,約10 sccm至大約5000 sccm的混合流速或其他類似的條件下進行電漿製程。In some embodiments, the etching of the film layer 106 may include a plasma process, for example, plasma etching, remote control plasma process, radical etching, or other similar methods. The etching gas used during the plasma process can include chlorine, hydrogen bromide, perfluoromethane, trifluoromethane, difluoromethane, monofluoromethane, hexafluorobutadiene, boron trichloride, sulfur hexafluoride, hydrogen , Nitrogen trifluoride, a combination of the above or other similar substances. The plasma process may further include flowing passivation gas through the device 10 to adjust (for example, increase) the etching selectivity between the film layer 106 and other components of the device 10. Exemplary passivation gases may include nitrogen, oxygen, carbon dioxide, sulfur dioxide, carbon monoxide, silicon tetrachloride, combinations of the above, or the like. One or more carrier gases may also be used during the plasma process, for example, argon, helium, neon, a combination of the above, or the like. In addition, the plasma source power in the range of about 10 W to about 3000 W, the bias power in the range of about 0 W to about 3000 W, and the pressure of about 1 mTorr to about 800 mTorr can be used. The plasma process is performed under a mixing flow rate of about 10 sccm to about 5000 sccm or other similar conditions.

在一些實施例中,蝕刻膜層106可以包括濕式蝕刻製程(有時稱為濕式清潔)。可在濕式蝕刻製程期間使用的例示性蝕刻劑可以包括氫氟酸(HF)、氟(F2 )、上述之組合或其他類似物。濕式蝕刻製程可以更包括使輔助蝕刻化學藥劑流過裝置10,以調節(例如,增加)膜層106與裝置10的其他部件之間的蝕刻選擇性。例示性的輔助蝕刻化學劑可包括硫酸、氯化氫、溴化氫、氨、上述之組合或其他類似物。可以使用去離子水、醇、丙酮或其他類似物作為在濕式蝕刻製程期間用於混合蝕刻劑及/或輔助蝕刻化學品的溶劑。In some embodiments, the etching of the film layer 106 may include a wet etching process (sometimes referred to as wet cleaning). Exemplary etchants that can be used during the wet etching process can include hydrofluoric acid (HF), fluorine (F 2 ), combinations of the above, or the like. The wet etching process may further include flowing auxiliary etching chemicals through the device 10 to adjust (for example, increase) the etching selectivity between the film layer 106 and other components of the device 10. Exemplary auxiliary etching chemicals may include sulfuric acid, hydrogen chloride, hydrogen bromide, ammonia, combinations of the above, or the like. Deionized water, alcohol, acetone, or the like can be used as a solvent for mixing etchant and/or auxiliary etching chemicals during the wet etching process.

膜層106可以在蝕刻期間遮蔽膜層104,因此不會不預期地蝕刻膜層104。蝕刻膜層104可能導致有害的結果。舉例而言,大幅降低膜層104的寬度可能會影響虛置鰭片52’的結構穩定性。因此,在一些實施例中,在遮蔽膜層104的同時修整膜層106,以使虛置鰭片52’的穩定性不會受到明顯的影響。The film layer 106 can shield the film layer 104 during etching, so the film layer 104 will not be etched unexpectedly. Etching the film layer 104 may cause harmful results. For example, greatly reducing the width of the film layer 104 may affect the structural stability of the dummy fin 52'. Therefore, in some embodiments, the film layer 106 is trimmed while shielding the film layer 104, so that the stability of the dummy fin 52' will not be significantly affected.

因此,形成了虛置鰭片52’。虛置鰭片52’包括間隔物層102、膜層104、膜層106的剩餘部分及膜層108。作為蝕刻膜層106的結果,虛置鰭片52’的中間部分(例如,包括膜層106及108)具有寬度D2。寬度D2可以小於虛置鰭片52’的下部分(例如,包括間隔物層102及膜層104)的寬度D1。可以在膜層104的高度位置測量寬度D1,並且可以在膜層108的高度位置測量寬度D2。例如,寬度D1可以在大約2 nm至大約1000 nm的範圍內,並且寬度D2可以在約2 nm至約1000 nm的範圍內。寬度D1和D2可以取決於特定的虛置鰭片52’的位置。舉例而言,在第一區域中,寬度D1可以在大約8 nm至大約14 nm的範圍內,並且寬度D2可以在大約4 nm至大約10 nm的範圍內。在第二區域中,寬度D1及寬度D2可以為大約100 nm或更大。藉由提供比寬度D1小的寬度D2 (例如,在上述範圍內),可以增加鰭片52A與虛置鰭片52’之間的距離D3。舉例而言,可以擴大鰭片52A與虛置鰭片52’之間的空間,如此可以改善用於在後續步驟中填充鰭片52A與虛置鰭片52’之間的空間的製程視窗(例如,虛置閘極填充或金屬閘極填充)。此外,不減小虛置鰭片52’的基底,如此可以改善虛置鰭片52’的結構穩定性,特別是在後續的處理步驟中,在這些處理步驟中虛置鰭片52’的區域可能會暴露於一種或多種蝕刻劑中。因此,可以在後續的沉積製程中減少製造缺陷(例如,孔洞)。Therefore, dummy fins 52' are formed. The dummy fin 52' includes the spacer layer 102, the film layer 104, the remaining part of the film layer 106, and the film layer 108. As a result of etching the film layer 106, the middle portion of the dummy fin 52' (e.g., including the film layers 106 and 108) has a width D2. The width D2 may be smaller than the width D1 of the lower portion of the dummy fin 52' (e.g., including the spacer layer 102 and the film layer 104). The width D1 can be measured at the height position of the film layer 104, and the width D2 can be measured at the height position of the film layer 108. For example, the width D1 may be in the range of about 2 nm to about 1000 nm, and the width D2 may be in the range of about 2 nm to about 1000 nm. The widths D1 and D2 may depend on the position of the specific dummy fin 52'. For example, in the first region, the width D1 may be in the range of about 8 nm to about 14 nm, and the width D2 may be in the range of about 4 nm to about 10 nm. In the second region, the width D1 and the width D2 may be about 100 nm or more. By providing the width D2 smaller than the width D1 (for example, within the above range), the distance D3 between the fin 52A and the dummy fin 52' can be increased. For example, the space between the fin 52A and the dummy fin 52' can be enlarged, which can improve the process window used to fill the space between the fin 52A and the dummy fin 52' in the subsequent steps (for example , Dummy gate filling or metal gate filling). In addition, the base of the dummy fin 52' is not reduced, so that the structural stability of the dummy fin 52' can be improved, especially in the subsequent processing steps, the area of the dummy fin 52' in these processing steps May be exposed to one or more etchants. Therefore, manufacturing defects (for example, holes) can be reduced in the subsequent deposition process.

第14圖繪示出虛置鰭片52’的例示性配置。在其他實施例中,虛置鰭片52’可以具有不同的配置。舉例而言,第15A圖繪示出如第14圖所繪示的虛置鰭片52’的詳細視圖。第15B圖至第15H圖繪示出鰭片52’的其他實施例,其中的每一者都可以設置於第14圖的結構中。Figure 14 illustrates an exemplary configuration of the dummy fin 52'. In other embodiments, the dummy fin 52' may have a different configuration. For example, FIG. 15A shows a detailed view of the dummy fin 52' as shown in FIG. 14. 15B to 15H illustrate other embodiments of the fin 52', each of which can be provided in the structure of FIG. 14.

在第15A圖中,膜層106的頂表面(例如,與膜層108鄰接的表面)的寬度小於膜層106的底表面(例如,與膜層104鄰接的表面)的寬度。在其他實施例中,如第15B圖所繪示,膜層106的頂表面可以比膜層106的底表面更寬。在其他實施例中,如第15C圖所繪示,膜層106的中間部分的寬度可以小於膜層106的頂表面的寬度及底表面的寬度,並且膜層106具有內凹的側壁。在這樣的實施例中,膜層106的頂表面的寬度與和底表面的寬度可以相同或不同。In FIG. 15A, the width of the top surface of the film layer 106 (for example, the surface adjacent to the film layer 108) is smaller than the width of the bottom surface of the film layer 106 (for example, the surface adjacent to the film layer 104). In other embodiments, as shown in FIG. 15B, the top surface of the film layer 106 may be wider than the bottom surface of the film layer 106. In other embodiments, as shown in FIG. 15C, the width of the middle portion of the film layer 106 may be smaller than the width of the top surface and the width of the bottom surface of the film layer 106, and the film layer 106 has concave sidewalls. In such an embodiment, the width of the top surface and the bottom surface of the film layer 106 may be the same or different.

此外,在第15A圖中,將膜層106繪示為從膜層108的側壁完全移除。在其他實施例中,膜層106的一部分可以保留在膜層108的側壁上。舉例而言,如第15D圖所繪示,膜層106保留在膜層108的側壁上並延伸到膜層108的頂表面。作為另一示範例,如第15E圖所繪示,膜層106可以部分地向上延伸到膜層108的側壁上,使得膜層108延伸得比膜層106更高。在第15D圖及第15E圖的實施例中,在膜層108的側壁上的膜層106的最大厚度T1可以小於在膜層108的底表面上的膜層106的厚度T2。在一些實施例中,在膜層108的底表面上的膜層106的厚度T2可以在大約2 Å至大約100 Å的範圍內。此外,膜層106的總高度T3可以在大約3 Å至大約1000 Å的範圍內。可以從膜層106的最底表面到膜層106的最頂點測量高度T3。In addition, in FIG. 15A, the film layer 106 is shown as being completely removed from the sidewall of the film layer 108. In other embodiments, a part of the film layer 106 may remain on the sidewall of the film layer 108. For example, as shown in FIG. 15D, the film layer 106 remains on the sidewall of the film layer 108 and extends to the top surface of the film layer 108. As another example, as shown in FIG. 15E, the film layer 106 may partially extend up to the sidewall of the film layer 108, so that the film layer 108 extends higher than the film layer 106. In the embodiments of FIGS. 15D and 15E, the maximum thickness T1 of the film layer 106 on the sidewall of the film layer 108 may be smaller than the thickness T2 of the film layer 106 on the bottom surface of the film layer 108. In some embodiments, the thickness T2 of the film layer 106 on the bottom surface of the film layer 108 may be in the range of about 2 Å to about 100 Å. In addition, the total height T3 of the film layer 106 may be in the range of about 3 Å to about 1000 Å. The height T3 can be measured from the bottommost surface of the film layer 106 to the topmost point of the film layer 106.

第15F圖繪示出省略視需要的間隔物層的實施例。在這樣的實施例中,膜層104可以與淺溝槽隔離區域56及基板50/鰭片52A的其餘部分直接接觸(參照第14圖)。雖然第15F圖繪示出具有與第15A圖相同的配置方式的膜層106,但是應當理解,可以替代地使用膜層106的任何配置方式(例如,如第15B圖到第15E圖所繪示)。FIG. 15F illustrates an embodiment in which an optional spacer layer is omitted. In such an embodiment, the film layer 104 may be in direct contact with the shallow trench isolation region 56 and the rest of the substrate 50/fin 52A (refer to FIG. 14). Although FIG. 15F illustrates the film layer 106 having the same configuration as that of FIG. 15A, it should be understood that any configuration of the film layer 106 may be used instead (for example, as shown in FIGS. 15B to 15E) ).

第15G圖及第15H圖繪示出膜層108是多層結構的實施例。在第15G圖中,膜層108包括膜層108A及位於膜層108A的頂表面上的膜層108B。在第15H圖中,膜層108包括膜層108A、位於膜層108A的頂表面上的膜層108B及位於膜層108B的頂表面上的膜層108C。如上所述,膜層108A、膜層108B及膜層108C中的每一者可以被沉積並且視需要而將其凹陷化。膜層108A、膜層108B及膜層108C中的每一者可以具有與相鄰膜層不同的材料組成。此外,作為回蝕刻製程以使一個或多個膜層(例如,膜層108A/108B)凹陷化的結果,在一些實施例中,這些被蝕刻的膜層的頂表面可以具有V形。雖然第15G圖及第15H圖繪示出具有與第15A圖相同的配置方式的膜層106,但是應當理解,可以替代地使用膜層106的任何配置方式(例如,如第15B圖到第15E圖所繪示)。此外,在第15G圖及第15H圖中,間隔物層102是視需要的膜層,並且可以如以上關於第15F圖所述一樣被排除。FIG. 15G and FIG. 15H illustrate an embodiment in which the film layer 108 has a multilayer structure. In FIG. 15G, the film layer 108 includes a film layer 108A and a film layer 108B located on the top surface of the film layer 108A. In Figure 15H, the film layer 108 includes a film layer 108A, a film layer 108B located on the top surface of the film layer 108A, and a film layer 108C located on the top surface of the film layer 108B. As described above, each of the film layer 108A, the film layer 108B, and the film layer 108C may be deposited and recessed as needed. Each of the film layer 108A, the film layer 108B, and the film layer 108C may have a different material composition from the adjacent film layer. In addition, as a result of the etch-back process to recess one or more film layers (for example, the film layers 108A/108B), in some embodiments, the top surface of the etched film layers may have a V shape. Although FIGS. 15G and 15H depict the film layer 106 having the same configuration as that of FIG. 15A, it should be understood that any configuration mode of the film layer 106 may be used instead (for example, as shown in FIGS. 15B to 15E). Pictured). In addition, in FIGS. 15G and 15H, the spacer layer 102 is an optional film layer, and can be excluded as described above with respect to FIG. 15F.

在第14圖的實施例中,淺溝槽隔離區域56被繪示為具有低於膜層106/108的頂表面。舉例而言,間隔物層102、膜層104、膜層106及膜層108各自延伸到高於淺溝槽隔離區域56的位置。在其他實施例中,淺溝槽隔離區域56可以設置在不同的高度位置。舉例而言,第16A圖繪示出一個實施例,其中淺溝槽隔離區域56的頂表面與膜層106的底表面實質上齊平(例如,在製造公差(manufacturing tolerance)內),並且與間隔物層102的頂面及膜層104的頂表面實質上齊平。第16B圖繪示出一個實施例,其中淺溝槽隔離區域56的頂面高於膜層106的底表面、間隔物層102的頂表面及膜層104的頂表面。In the embodiment of Figure 14, the shallow trench isolation region 56 is shown as having a lower top surface than the film layer 106/108. For example, the spacer layer 102, the film layer 104, the film layer 106, and the film layer 108 each extend to a position higher than the shallow trench isolation region 56. In other embodiments, the shallow trench isolation region 56 may be arranged at different height positions. For example, FIG. 16A illustrates an embodiment in which the top surface of the shallow trench isolation region 56 is substantially flush with the bottom surface of the film layer 106 (for example, within a manufacturing tolerance), and is The top surface of the spacer layer 102 and the top surface of the film layer 104 are substantially flush. FIG. 16B illustrates an embodiment in which the top surface of the shallow trench isolation region 56 is higher than the bottom surface of the film layer 106, the top surface of the spacer layer 102, and the top surface of the film layer 104.

在第17圖中,形成虛置介電層60於鰭片52A及虛置鰭片52’上。虛置介電層60可以是,例如,氧化矽、氮化矽、上述之組合或其他類似物,並且可以藉由可接受的技術沉積或熱成長。In Figure 17, a dummy dielectric layer 60 is formed on the fin 52A and the dummy fin 52'. The dummy dielectric layer 60 can be, for example, silicon oxide, silicon nitride, a combination of the above, or the like, and can be deposited or thermally grown by an acceptable technique.

形成虛置閘極層62於虛置介電層60之上,並且形成罩幕層64於虛置閘極層62之上。虛置閘極層62可以沉積在虛置介電層60之上,之後被平坦化,例如,藉由化學機械研磨。罩幕層64可以沉積在虛置閘極層62之上。虛置閘極層62可以是導電材料或非導電材料,並且可以選自包括非晶矽、多晶矽、多晶矽鍺(poly-crystalline silicon-germanium)、金屬氮化物、金屬矽化物、金屬氧化物及金屬。可以藉由物理氣相沉積、化學氣相沉積、濺鍍沉積(sputter deposition)或本技術領域中已知的且用於沉積所選材料的其他技術,而沉積虛置閘極層62。虛置閘極層62可以由對隔離區域的蝕刻具有高蝕刻選擇性的其他材料所製成。A dummy gate layer 62 is formed on the dummy dielectric layer 60, and a mask layer 64 is formed on the dummy gate layer 62. The dummy gate layer 62 may be deposited on the dummy dielectric layer 60 and then planarized, for example, by chemical mechanical polishing. The mask layer 64 may be deposited on the dummy gate layer 62. The dummy gate layer 62 may be a conductive material or a non-conductive material, and may be selected from the group consisting of amorphous silicon, polysilicon, poly-crystalline silicon-germanium, metal nitride, metal silicide, metal oxide, and metal. . The dummy gate layer 62 may be deposited by physical vapor deposition, chemical vapor deposition, sputter deposition, or other techniques known in the art and used to deposit selected materials. The dummy gate layer 62 may be made of other materials that have high etching selectivity to the etching of the isolation region.

藉由從虛置鰭片52’的側壁移除膜層106的一部分,可以增加鰭片52A與虛置鰭片52’之間的空間。如此一來,可將虛設閘極層62以較少缺陷(例如,較少的孔洞)的狀態而沉積在鰭片52A/虛置鰭片52’周圍及兩者之間的空間中。By removing a part of the film layer 106 from the sidewall of the dummy fin 52', the space between the fin 52A and the dummy fin 52' can be increased. In this way, the dummy gate layer 62 can be deposited on the periphery of the fin 52A/dummy fin 52' and in the space between the two with fewer defects (for example, fewer holes).

罩幕層64可以包括,例如,氮化矽、氮氧化矽或其他類似物。在本實施例中,形成單一個虛置閘極層62及單一個罩幕層64跨越區域50N及區域50P。應注意的是,虛置介電層60被繪示為僅覆蓋鰭片52A,這僅是基於說明的目的。在一些實施例中,可沉積虛置介電層60,使得虛置介電層60覆蓋淺溝槽隔離區域56,且在虛置閘極層62與淺溝槽隔離區域56之間延伸。The mask layer 64 may include, for example, silicon nitride, silicon oxynitride, or the like. In this embodiment, a single dummy gate layer 62 and a single mask layer 64 are formed across the region 50N and the region 50P. It should be noted that the dummy dielectric layer 60 is shown as covering only the fin 52A, which is for illustrative purposes only. In some embodiments, the dummy dielectric layer 60 may be deposited such that the dummy dielectric layer 60 covers the shallow trench isolation region 56 and extends between the dummy gate layer 62 and the shallow trench isolation region 56.

在第18A圖及第18B圖中,可使用可接受的光微影及蝕刻技術對罩幕層64 (參照第17圖)進行圖案化,以形成罩幕74。然後可以將罩幕74的圖案轉移至虛置閘極層62。在一些實施例(未繪示)中,罩幕74的圖案也可藉由可接受的蝕刻技術轉移到虛置介電層60,以形成虛置閘極72。虛置閘極72覆蓋鰭片52A的相應的通道區域58。罩幕74的圖案可以用於將每個虛置閘極72與相鄰的虛置閘極物理性地分隔。虛置閘極72還可以具有一個長度方向,此長度方向實質上垂直於相應的磊晶鰭片52A的長度方向。In FIGS. 18A and 18B, the mask layer 64 (refer to FIG. 17) can be patterned using acceptable photolithography and etching techniques to form the mask 74. The pattern of the mask 74 can then be transferred to the dummy gate layer 62. In some embodiments (not shown), the pattern of the mask 74 can also be transferred to the dummy dielectric layer 60 by an acceptable etching technique to form the dummy gate 72. The dummy gate 72 covers the corresponding channel area 58 of the fin 52A. The pattern of the mask 74 may be used to physically separate each dummy gate 72 from adjacent dummy gates. The dummy gate 72 may also have a length direction, which is substantially perpendicular to the length direction of the corresponding epitaxial fin 52A.

此外,在第18A圖及第18B圖中,可以在虛置閘極72、罩幕74及/或鰭片52A/虛置鰭片52’的暴露表面上形成閘極密封間隔物(gate seal spacer) 80。可藉由熱氧化或沉積以及隨後的非等向性蝕刻,而形成閘極密封間隔物80。閘極密封間隔物80可以由氧化矽、氮化矽、氮氧化矽或其他類似物所形成。In addition, in FIGS. 18A and 18B, gate seal spacers may be formed on the exposed surfaces of the dummy gate 72, the mask 74, and/or the fin 52A/dummy fin 52'. ) 80. The gate sealing spacer 80 can be formed by thermal oxidation or deposition and subsequent anisotropic etching. The gate sealing spacer 80 may be formed of silicon oxide, silicon nitride, silicon oxynitride, or the like.

在形成閘極密封間隔物80之後,可進行用於輕摻雜源極/汲極(lightly doped source/drain, LDD)區域(未明確繪示出)的佈植。在具有不同裝置類型的實施例中,類似於上文在第13圖中所討論的佈植,可在區域50N上方形成罩幕,例如光阻,同時暴露區域50P,並且可將適當類型(例如,p型)的雜質佈植到位於區域50P中的暴露的鰭片52A中。然後可移除罩幕。隨後,可在區域50P上方形成罩幕,例如光阻,同時暴露區域50N,並且可將適當類型(例如,n型)的雜質佈植到位於區域50N中的暴露的鰭片52中。然後可移除罩幕。上述n型雜質可以是先前討論的任何n型雜質,並且上述p型雜質可以是先前討論的任何p型雜質。輕摻雜源極/汲極區域可具有在大約1015 cm-3 與大約1019 cm-3 之間的雜質濃度。可進行退火以修復佈植損傷,並且活化所佈植的雜質。After the gate sealing spacer 80 is formed, implantation for lightly doped source/drain (LDD) regions (not explicitly shown) can be performed. In embodiments with different device types, similar to the implantation discussed in Figure 13 above, a mask, such as a photoresist, can be formed over the area 50N while exposing the area 50P, and the appropriate type (e.g., , P-type) impurities are implanted in the exposed fin 52A located in the region 50P. The mask can then be removed. Subsequently, a mask, such as a photoresist, may be formed over the area 50P while exposing the area 50N, and an appropriate type (for example, n-type) impurities may be implanted into the exposed fin 52 located in the area 50N. The mask can then be removed. The aforementioned n-type impurity may be any n-type impurity previously discussed, and the aforementioned p-type impurity may be any p-type impurity previously discussed. The lightly doped source/drain regions may have an impurity concentration between about 10 15 cm -3 and about 10 19 cm -3. Annealing can be performed to repair implant damage and activate the implanted impurities.

在第19A圖及第19B圖中,沿著虛設閘極72及罩幕74的側壁,而在閘極密封間隔物80上形成閘極間隔物86。可以藉由順應性地沉積絕緣材料且隨後非等向性地蝕刻此絕緣材料,而形成閘極間隔物86。閘極間隔物86的絕緣材料可以是氧化矽、氮化矽、氮氧化矽、碳氮化矽、上述之組合或其他類似物。In FIGS. 19A and 19B, gate spacers 86 are formed on the gate sealing spacers 80 along the side walls of the dummy gate 72 and the mask 74. The gate spacer 86 can be formed by conformally depositing an insulating material and then etching the insulating material anisotropically. The insulating material of the gate spacer 86 can be silicon oxide, silicon nitride, silicon oxynitride, silicon carbonitride, a combination of the above, or other similar materials.

應注意的是,以上所揭露一般性地描述了形成間隔物及輕摻雜源極/汲極區域的製程。可以使用其他製程和順序。舉例而言,可以使用更少的間隔物或額外的間隔物,可以使用不同的步驟順序(例如,可以在形成閘極間隔物86之前不蝕刻閘極密封間隔物80,而產生「L形」的閘極密封間隔物),可以形成並移除間隔物,及/或其他類似之變化。此外,可以使用不同的結構及步驟而形成n型和p型裝置。舉例而言,可以在形成閘極之前形成用於n型裝置的輕摻雜源極/汲極區域,而可以在形成閘極密封間隔物80之後形成用於p型裝置的輕摻雜源極/汲極區域。It should be noted that the above disclosure generally describes the process of forming spacers and lightly doped source/drain regions. Other processes and sequences can be used. For example, fewer spacers or additional spacers can be used, and a different sequence of steps can be used (for example, the gate seal spacer 80 can be not etched before forming the gate spacer 86, resulting in an "L shape" Gate seal spacers), spacers can be formed and removed, and/or other similar changes. In addition, different structures and steps can be used to form n-type and p-type devices. For example, the lightly doped source/drain regions for n-type devices may be formed before the gate is formed, and the lightly doped source/drain regions for p-type devices may be formed after the gate sealing spacer 80 is formed. /Drain region.

在第20A圖及第20B圖中,在鰭片52A中形成磊晶源極/汲極區域82。源極/汲極區域82可以在相應的通道區域58中施加應力,進而改善性能。形成磊晶源極/汲極區82於鰭片52A中,使得每個虛置閘極72分別設置在相鄰的一對磊晶源極/汲極區82之間。在一些實施例中,磊晶源極/汲極區82可以延伸進入鰭片52A,並且也可以穿透鰭片52A。在一些實施例中,閘極間隔物86用於將磊晶源極/汲極區域82與虛設閘極72分開適當的橫向距離,使得磊晶源極/汲極區域82不會造成後續形成的鰭式場效電晶體的閘極短路。In FIGS. 20A and 20B, an epitaxial source/drain region 82 is formed in the fin 52A. The source/drain regions 82 can apply stresses in the corresponding channel regions 58 to improve performance. An epitaxial source/drain region 82 is formed in the fin 52A, so that each dummy gate 72 is respectively disposed between an adjacent pair of epitaxial source/drain regions 82. In some embodiments, the epitaxial source/drain region 82 may extend into the fin 52A, and may also penetrate the fin 52A. In some embodiments, the gate spacer 86 is used to separate the epitaxial source/drain region 82 and the dummy gate 72 by an appropriate lateral distance, so that the epitaxial source/drain region 82 will not cause subsequent formation The gate of the fin-type field effect transistor is short-circuited.

可藉由以下步驟而形成位於區域50N (例如,NMOS區域)中的磊晶源極/汲極區域82,藉由遮蔽區域50P (例如,PMOS區域)並蝕刻位於區域50N中的鰭片52A的源極/汲極區域,以形成凹口於鰭片52A中。然後,在凹口中磊晶成長位於區域50N中的磊晶源極/汲極區域82。磊晶源極/汲極區域82可包括任何可接受的材料,例如,可適用於n型鰭式場效電晶體。舉例而言,若鰭片52A是矽,則位於區域50N中的磊晶源極/汲極區域82可包括在通道區域58中施加拉伸應變的材料,例如,矽、碳化矽、摻雜磷的碳化矽、磷化矽或其他類似物。位於區域50N中的磊晶源極/汲極區域82可具有從鰭片52A的相應表面突起的表面並且可以具有刻面(facet)。The epitaxial source/drain region 82 in the region 50N (for example, NMOS region) can be formed by the following steps, by shielding the region 50P (for example, the PMOS region) and etching the fin 52A in the region 50N The source/drain regions are notched in the fin 52A. Then, the epitaxial source/drain region 82 located in the region 50N is epitaxially grown in the notch. The epitaxial source/drain region 82 may include any acceptable material, for example, it may be suitable for n-type fin-type field effect transistors. For example, if the fin 52A is silicon, the epitaxial source/drain region 82 located in the region 50N may include a material that applies tensile strain in the channel region 58, such as silicon, silicon carbide, doped phosphorus Silicon carbide, silicon phosphide or other similar substances. The epitaxial source/drain region 82 located in the region 50N may have a surface protruding from the corresponding surface of the fin 52A and may have facets.

可藉由以下步驟而形成位於區域50P (例如,PMOS區域)中的磊晶源極/汲極區域82,藉由遮蔽區域50N (例如,NMOS區域)並蝕刻位於區域50P中的鰭片52A的源極/汲極區域,以形成凹口於鰭片52A中。然後,在凹口中磊晶成長位於區域50P中的磊晶源極/汲極區域82。磊晶源極/汲極區域82可包括任何可接受的材料,例如,可適用於p型鰭式場效電晶體。舉例而言,若鰭片52A是矽,則位於區域50P中的磊晶源極/汲極區域82可包括在通道區域58中施加壓縮應變的材料,例如,矽鍺、摻雜硼的矽鍺、鍺、鍺錫(germanium tin)或其他類似物。位於區域50P中的磊晶源極/汲極區域82可具有從鰭片52A的相應表面突起的表面並且可以具有刻面(facet)。The epitaxial source/drain region 82 in the region 50P (for example, PMOS region) can be formed by the following steps, by shielding the region 50N (for example, the NMOS region) and etching the fin 52A in the region 50P The source/drain regions are notched in the fin 52A. Then, the epitaxial source/drain region 82 located in the region 50P is epitaxially grown in the notch. The epitaxial source/drain region 82 may include any acceptable material, for example, it may be suitable for a p-type fin field effect transistor. For example, if the fin 52A is silicon, the epitaxial source/drain region 82 in the region 50P may include a material that applies a compressive strain in the channel region 58, for example, silicon germanium, boron-doped silicon germanium , Germanium, germanium tin (germanium tin) or other similar substances. The epitaxial source/drain region 82 located in the region 50P may have a surface protruding from the corresponding surface of the fin 52A and may have facets.

可使用摻質佈植磊晶源極/汲極區域82及/或鰭片52A,以形成源極/汲極區域,類似於上文所討論的用於形成輕摻雜源極/汲極區域的製程,然後進行退火。源極/汲極區域的雜質濃度可以在大約1019 cm-3 與大約1021 cm-3 之間。用於源極/汲極區域的n型及/或p型雜質可以是上文所討論的任何雜質。在一些實施例中,可在成長期間原位摻雜磊晶源極/汲極區域82。Dopants can be used to implant epitaxial source/drain regions 82 and/or fins 52A to form source/drain regions, similar to the lightly doped source/drain regions discussed above The process is then annealed. The impurity concentration of the source/drain region may be between about 10 19 cm -3 and about 10 21 cm -3 . The n-type and/or p-type impurities used in the source/drain regions can be any of the impurities discussed above. In some embodiments, the epitaxial source/drain region 82 may be doped in situ during growth.

作為用於在區域50N及區域50P中形成磊晶源極/汲極區域82的磊晶製程的結果,磊晶源極/汲極區域的上表面具有刻面,這些刻面橫向地向外擴展超過鰭片52A的側壁。形成閘極間隔物86,以覆蓋鰭片52A的側壁的一部分,此側壁延伸於淺溝槽隔離區域56之上,進而阻止磊晶成長。在一些其他實施例中,可以調整用於形成閘極間隔物86的間隔物蝕刻,以移除間隔物材料,而允許磊晶成長的區域延伸到淺溝槽隔離區域56的表面。As a result of the epitaxial process used to form the epitaxial source/drain region 82 in the region 50N and the region 50P, the upper surface of the epitaxial source/drain region has facets that extend laterally outward Exceed the side walls of the fin 52A. The gate spacer 86 is formed to cover a portion of the sidewall of the fin 52A, which extends above the shallow trench isolation region 56 to prevent epitaxial growth. In some other embodiments, the spacer etch used to form the gate spacer 86 can be adjusted to remove the spacer material and allow the epitaxial growth region to extend to the surface of the shallow trench isolation region 56.

在各個實施例中,如第20C圖所繪示,在磊晶製程完成之後,相鄰的源極/汲極區域82保持分離。舉例而言,源極/汲極區82可以成長以物理性地接觸虛置鰭片52’,其將相鄰的源極/汲極區82彼此物理性地分開。因此,可以防止相鄰的磊晶源極/汲極區82合併並且發生不預期的短路。如上所述,可以選擇膜層108的材料,使得在源極/汲極區域形成期間,膜層108不會明顯被蝕刻。In various embodiments, as shown in FIG. 20C, after the epitaxial process is completed, adjacent source/drain regions 82 remain separated. For example, the source/drain region 82 can be grown to physically contact the dummy fin 52', which physically separates adjacent source/drain regions 82 from each other. Therefore, it is possible to prevent the adjacent epitaxial source/drain regions 82 from merging and unexpected short circuits. As described above, the material of the film layer 108 can be selected so that the film layer 108 is not significantly etched during the formation of the source/drain regions.

舉例而言,源極/汲極區域82可以接觸虛置鰭片52’膜層108。在一些實施例中,具有寬度D2的虛置鰭片52’的中間部分是虛置鰭片52’的與磊晶源極/汲極區82接觸的部分。寬度D2可以小於虛置鰭片52’的下部分的寬度D1。可以在膜層104的高度位置測量寬度D1,並且可以在膜層108的高度位置測量寬度D2。For example, the source/drain region 82 may be in contact with the film layer 108 of the dummy fin 52'. In some embodiments, the middle portion of the dummy fin 52' having the width D2 is the part of the dummy fin 52' that is in contact with the epitaxial source/drain region 82. The width D2 may be smaller than the width D1 of the lower portion of the dummy fin 52'. The width D1 can be measured at the height position of the film layer 104, and the width D2 can be measured at the height position of the film layer 108.

在第21A圖及第21B圖中,第一層間介電層(ILD) 88沉積在第20A圖及第20B圖所繪示的結構上。第一層間介電層88可由介電材料所形成,並且可藉由任何合適的方法而沉積,例如,化學氣相沉積、電漿輔助化學氣相沉積或流動式化學氣相沉積。介電材料可包括磷矽酸鹽玻璃(phospho-silicate glass, PSG)、硼矽酸鹽玻璃(boro-silicate glass, BSG)、摻雜硼的磷矽酸鹽玻璃(boron-doped phospho-silicate glass, BPSG)、未摻雜的矽酸鹽玻璃(undoped silicate glass, USG)或其他類似物。可使用藉由任何可接受的方法而形成的其他絕緣材料。在一些實施例中,接觸蝕刻停止層87設置在第一層間介電質88與磊晶源極/汲極區域82、罩幕74及閘極間隔物86之間。接觸蝕刻停止層87可包括介電材料,例如,氮化矽、氧化矽、氮氧化矽或其他類似物,其蝕刻速率不同於上述第一層間介電層88的材料的蝕刻速率。In FIGS. 21A and 21B, a first interlayer dielectric (ILD) 88 is deposited on the structure shown in FIGS. 20A and 20B. The first interlayer dielectric layer 88 may be formed of a dielectric material, and may be deposited by any suitable method, for example, chemical vapor deposition, plasma-assisted chemical vapor deposition, or flow chemical vapor deposition. The dielectric material can include phospho-silicate glass (PSG), boro-silicate glass (boro-silicate glass, BSG), and boron-doped phospho-silicate glass (boron-doped phospho-silicate glass). , BPSG), undoped silicate glass (USG) or other similar materials. Other insulating materials formed by any acceptable method can be used. In some embodiments, the contact etch stop layer 87 is disposed between the first interlayer dielectric 88 and the epitaxial source/drain region 82, the mask 74, and the gate spacer 86. The contact etch stop layer 87 may include a dielectric material, such as silicon nitride, silicon oxide, silicon oxynitride, or the like, with an etch rate different from the etch rate of the material of the first interlayer dielectric layer 88 described above.

在第22A圖及第22B圖中,可進行平坦化製程(例如,化學機械研磨),以使第一層間介電層88的頂表面與虛置閘極72的頂表面或罩幕74的頂表面齊平。平坦化製程可以也移除位於虛置閘極72上的罩幕74,以及沿著罩幕74的側壁的閘極密封間隔物80的一部分及閘極間隔物86的一部分。在平坦化製程之後,虛置閘極72的頂表面、閘極密封間隔物80的頂表面、閘極間隔物86的頂表面與第一層間介電層88的頂表面是齊平的。因此,虛置閘極72的頂表面穿過第一層間介電層88而暴露。在一些實施例中,可以保留罩幕74,在這種情況下,平坦化製程使第一層間介電層88的頂表面與罩幕74的頂表面齊平。In FIGS. 22A and 22B, a planarization process (for example, chemical mechanical polishing) may be performed to make the top surface of the first interlayer dielectric layer 88 and the top surface of the dummy gate 72 or the mask 74 The top surface is flush. The planarization process may also remove the mask 74 on the dummy gate 72, and a part of the gate sealing spacer 80 and a part of the gate spacer 86 along the sidewall of the mask 74. After the planarization process, the top surface of the dummy gate 72, the top surface of the gate sealing spacer 80, the top surface of the gate spacer 86 and the top surface of the first interlayer dielectric layer 88 are flush. Therefore, the top surface of the dummy gate 72 is exposed through the first interlayer dielectric layer 88. In some embodiments, the mask 74 may be retained. In this case, the planarization process makes the top surface of the first interlayer dielectric layer 88 flush with the top surface of the mask 74.

在第23A圖及第23B圖中,形成介電區域78延伸穿過虛置閘極72到達虛置鰭片52’。可以例如藉由使用濕式及/或乾式蝕刻製程而蝕刻虛置閘極72,以形成介電區域78。此蝕刻製程可以暴露虛置鰭片52’。之後,可以在凹口中沉積介電材料,例如,氧化矽、氮化矽、氮氧化矽或其他類似物。可以進行平坦化製程,以從虛設閘極72上方移除多餘的介電材料。介電區域78與虛置鰭片52’組合,而將虛設閘極72分成不同的區域(例如,區域72A及區域72B)。舉例而言,不同區域可以對應於不同電晶體裝置的位置。因此,介電區域78及虛置鰭片52’可以在相鄰的鰭式場效電晶體之間提供隔離。In FIGS. 23A and 23B, the dielectric region 78 is formed to extend through the dummy gate 72 to the dummy fin 52'. The dummy gate 72 can be etched to form the dielectric region 78, for example, by using a wet and/or dry etching process. This etching process can expose the dummy fin 52'. After that, a dielectric material, such as silicon oxide, silicon nitride, silicon oxynitride, or the like, can be deposited in the recess. A planarization process may be performed to remove excess dielectric material from above the dummy gate 72. The dielectric region 78 is combined with the dummy fin 52', and the dummy gate 72 is divided into different regions (e.g., region 72A and region 72B). For example, different regions may correspond to the positions of different transistor devices. Therefore, the dielectric region 78 and the dummy fin 52' can provide isolation between adjacent fin-type field effect transistors.

在第24A圖及第24B圖中,在一個或複數個蝕刻步驟中移除虛置閘極72及罩幕74 (如果存在),以形成凹口90。虛置介電層60在凹口90中的部分也可以被移除。在一些實施例中,只有虛置閘極72被移除,而虛置介電層60被保留並且由凹口90而暴露。在一些實施例中,虛置介電層60從位於晶粒的第一區域(例如,核心邏輯區域)的凹口90中被移除,並且保留在位於晶粒的第二區域(例如,輸入/輸出區域)的凹口90中。在一些實施例中,藉由非等向性乾式蝕刻製程移除虛置閘極72。舉例而言,蝕刻製程可包括使用反應氣體的乾式蝕刻製程,此反應氣體選擇性地蝕刻虛置閘極72而不蝕刻第一層間介電層88或閘極間隔物86。每一個凹口90暴露及/或覆蓋各自的鰭片52的通道區域58。每一個通道區域58設置在相鄰的一對磊晶源極/汲極區域82之間。在移除期間,當蝕刻虛置閘極72時,虛置介電層60可以被使用作為蝕刻停止層。在移除虛置閘極72之後,可以視需要而移除虛置介電層60。In FIGS. 24A and 24B, the dummy gate 72 and the mask 74 (if present) are removed in one or more etching steps to form the notch 90. The portion of the dummy dielectric layer 60 in the recess 90 may also be removed. In some embodiments, only the dummy gate 72 is removed, while the dummy dielectric layer 60 is retained and exposed by the notch 90. In some embodiments, the dummy dielectric layer 60 is removed from the notch 90 located in the first area of the die (e.g., core logic area), and remains in the second area of the die (e.g., input /Output area) in the notch 90. In some embodiments, the dummy gate 72 is removed by an anisotropic dry etching process. For example, the etching process may include a dry etching process using a reactive gas. The reactive gas selectively etches the dummy gate 72 without etching the first interlayer dielectric layer 88 or the gate spacer 86. Each notch 90 exposes and/or covers the channel area 58 of the respective fin 52. Each channel region 58 is disposed between an adjacent pair of epitaxial source/drain regions 82. During removal, when the dummy gate 72 is etched, the dummy dielectric layer 60 may be used as an etch stop layer. After the dummy gate 72 is removed, the dummy dielectric layer 60 can be removed as needed.

在第25A圖及第25B圖中,形成閘極介電層92及閘極電極94作為替換閘極。第25C圖繪示第25B圖的區域89的詳細剖面圖。閘極介電層92順應性地沉積在凹口90中,例如,在鰭片52A的頂表面及側壁上、在虛置鰭片52’的側壁上、在介電區域78的側壁上以及在閘極密封間隔物80/閘極間隔物86的側壁上。閘極介電層92也可以形成在第一層間介電層88的頂表面上。根據一些實施例,閘極介電層92包括氧化矽、氮化矽或上述之多層結構。在一些實施例中,閘極介電層92包括高介電常數介電材料,並且在這些實施例中,閘極介電層92可以具有大於約7.0的k值,並且可包括下列金屬的金屬氧化物或矽酸鹽,這些金屬包括:鉿、鋁、鋯、鑭、錳、鋇、鈦、鉛及上述之組合。閘極介電層92的形成方法可包括分子束沉積(Molecular-Beam Deposition, MBD)、原子層沉積、電漿輔助化學氣相沉積及其他類似方法。在虛置介電層60的一部分保留在凹口90中的實施例中,閘極介電層92包括虛置介電層60的材料(例如,二氧化矽)。In FIGS. 25A and 25B, a gate dielectric layer 92 and a gate electrode 94 are formed as replacement gates. FIG. 25C shows a detailed cross-sectional view of area 89 in FIG. 25B. The gate dielectric layer 92 is compliantly deposited in the recess 90, for example, on the top surface and sidewalls of the fin 52A, on the sidewalls of the dummy fin 52', on the sidewalls of the dielectric region 78, and on The gate seal spacer 80/gate spacer 86 is on the side wall. The gate dielectric layer 92 may also be formed on the top surface of the first interlayer dielectric layer 88. According to some embodiments, the gate dielectric layer 92 includes silicon oxide, silicon nitride, or the above-mentioned multilayer structure. In some embodiments, the gate dielectric layer 92 includes a high-k dielectric material, and in these embodiments, the gate dielectric layer 92 may have a k value greater than about 7.0, and may include the following metals: Oxides or silicates. These metals include hafnium, aluminum, zirconium, lanthanum, manganese, barium, titanium, lead and combinations of the above. The formation method of the gate dielectric layer 92 may include molecular-beam deposition (MBD), atomic layer deposition, plasma-assisted chemical vapor deposition, and other similar methods. In the embodiment where a portion of the dummy dielectric layer 60 remains in the recess 90, the gate dielectric layer 92 includes the material of the dummy dielectric layer 60 (for example, silicon dioxide).

複數個閘極電極94分別沉積在複數個閘介電層92上,並填充凹口90的其餘部分。藉由從虛置鰭片52’的側壁移除膜層106的一部分,可以增加鰭片52A與虛置鰭片52’之間的空間。如此一來,可將閘極電極94以較少缺陷(例如,較少的孔洞)的狀態而沉積在鰭片52A/虛置鰭片52’周圍及兩者之間的空間中。A plurality of gate electrodes 94 are respectively deposited on the plurality of gate dielectric layers 92 and fill the rest of the recess 90. By removing a part of the film layer 106 from the sidewall of the dummy fin 52', the space between the fin 52A and the dummy fin 52' can be increased. In this way, the gate electrode 94 can be deposited in the space around the fin 52A/dummy fin 52' and in the space between the two with fewer defects (for example, fewer holes).

閘極電極94可包括含金屬的材料,例如,氮化鈦、氧化鈦、氮化鉭、碳化鉭、鈷、釕、鋁、鎢、上述之組合或上述之多層結構。舉例而言,雖然在第25B圖中繪示單層閘極電極94,但是閘極電極94可包括任何數量的襯層94A、任何數量的功函數調整層94B及填充材料94C,如第25C圖所繪示。在填充凹口90之後,可以進行平坦化製程(例如,化學機械研磨),以移除閘極介電層92的多餘部分及閘極電極94的材料的多餘部分,這些多餘部分位於第一層間介電層88的頂表面之上。閘極電極94及閘介電層92的材料的其餘部分因此形成所得到的鰭式場效電晶體的替換閘極。閘極電極94與閘介電層92可以合稱為「閘極堆疊」。閘極與閘極堆疊可以沿著鰭片52A的通道區域58的側壁延伸。當相鄰的閘極堆疊對應於不同的鰭式場效電晶體時,介電區域78及虛置鰭片52’將相鄰的閘極堆疊(例如,閘極堆疊92A/94A與閘極堆疊92B/94B)隔離。The gate electrode 94 may include a metal-containing material, for example, titanium nitride, titanium oxide, tantalum nitride, tantalum carbide, cobalt, ruthenium, aluminum, tungsten, a combination of the foregoing, or the foregoing multilayer structure. For example, although a single-layer gate electrode 94 is shown in FIG. 25B, the gate electrode 94 may include any number of liner layers 94A, any number of work function adjustment layers 94B, and filling materials 94C, as shown in FIG. 25C As shown. After filling the recess 90, a planarization process (for example, chemical mechanical polishing) can be performed to remove the excess part of the gate dielectric layer 92 and the excess part of the material of the gate electrode 94, which are located in the first layer Above the top surface of the inter-dielectric layer 88. The rest of the materials of the gate electrode 94 and the gate dielectric layer 92 thus form a replacement gate for the resulting fin-type field effect transistor. The gate electrode 94 and the gate dielectric layer 92 can be collectively referred to as a "gate stack". The gate and gate stack may extend along the sidewall of the channel region 58 of the fin 52A. When adjacent gate stacks correspond to different fin-type field effect transistors, the dielectric region 78 and the dummy fin 52' stack adjacent gate electrodes (for example, gate stack 92A/94A and gate stack 92B). /94B) Isolation.

區域50N與區域50P中的閘極介電層92的形成可以同時發生,使得每一個區域中的閘極介電層92由相同的材料形成,並且閘極電極94的形成可以同時發生,使得每一個區域中的閘極電極94由相同的材料形成。在一些實施例中,每一個區域中的閘極介電層92可以藉由不同的製程形成,使得閘極介電層92可以是不同的材料,及/或每一個區域中的閘極電極94可以藉由不同的製程形成,使得閘極電極94可以是不同的材料。當使用不同的製程時,可以使用各種遮蔽步驟,以遮蔽並暴露適當的區域。The formation of the gate dielectric layer 92 in the region 50N and the region 50P can occur simultaneously, so that the gate dielectric layer 92 in each region is formed of the same material, and the formation of the gate electrode 94 can occur simultaneously, so that each The gate electrode 94 in one area is formed of the same material. In some embodiments, the gate dielectric layer 92 in each region can be formed by different processes, so that the gate dielectric layer 92 can be a different material, and/or the gate electrode 94 in each region It can be formed by different processes, so that the gate electrode 94 can be made of different materials. When using different processes, various masking steps can be used to mask and expose appropriate areas.

在第26A圖及第26B圖中,將閘極堆疊(包括閘極介電層92及相應上方的閘極電極94)凹陷化,使得凹口形成於閘極堆疊正上方並且位於第二間隔物86相對的兩個部分之間。蝕刻製程可以是具有選擇性的,使得介電區域78不會明顯地被蝕刻。將包括一層或多層介電材料(例如,氮化矽、氮氧化矽或其他類似物)的閘極罩幕96填充於此凹口中,然後進行平坦化製程,以移除在第一層間介電層88上方延伸的介電材料的多餘部分。後續形成的閘極接觸件110 (請參照圖第27A圖及第27B圖)穿過閘極罩幕96而接觸經過凹陷化的閘極電極94的頂表面。介電區域78可以延伸穿過閘極罩幕96。In FIGS. 26A and 26B, the gate stack (including the gate dielectric layer 92 and the corresponding upper gate electrode 94) is recessed, so that the notch is formed directly above the gate stack and is located at the second spacer 86 between the two opposing parts. The etching process may be selective so that the dielectric region 78 is not significantly etched. A gate mask 96 including one or more layers of dielectric material (for example, silicon nitride, silicon oxynitride, or the like) is filled in this recess, and then a planarization process is performed to remove the interlayer between the first layer The excess portion of the dielectric material extending above the electrical layer 88. The subsequently formed gate contact 110 (please refer to FIGS. 27A and 27B) passes through the gate mask 96 to contact the top surface of the recessed gate electrode 94. The dielectric region 78 may extend through the gate mask 96.

在第27A圖及第27B圖中,第二層間介電層114沉積在第一層間介電層88上。在一些實施例中,第二層間介電層114是藉由流動式化學氣相沉積方法形成的可流動膜。在一些實施例中,第二層間介電層114由介電材料形成,例如,磷矽酸鹽玻璃、硼矽酸鹽玻璃、摻雜硼的磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃或其他類似物,並且第二層間介電層114可藉由的任何合適的方法而沉積,例如,化學氣相沉積及電漿輔助化學氣相沉積。In FIGS. 27A and 27B, the second interlayer dielectric layer 114 is deposited on the first interlayer dielectric layer 88. In some embodiments, the second interlayer dielectric layer 114 is a flowable film formed by a flow-type chemical vapor deposition method. In some embodiments, the second interlayer dielectric layer 114 is formed of a dielectric material, for example, phosphosilicate glass, borosilicate glass, boron-doped phosphosilicate glass, undoped silicate Glass or the like, and the second interlayer dielectric layer 114 can be deposited by any suitable method, for example, chemical vapor deposition and plasma-assisted chemical vapor deposition.

根據一些實施例,在第27A圖及第27B圖中也繪示出形成閘極接觸件110及源極/汲極接觸件112穿過第二層間介電層114及第一層間介電層88。形成用於源極/汲極接觸件112的開口穿過第一層間介電層88及第二層間介電層114,並且形成用於閘極接觸件110的開口穿過第二層間介電層114及閘極罩幕96。可使用可接受的光微影及蝕刻技術以形成開口。在開口中形成襯層(例如,擴散阻障層、黏著層或其他類似物)及導電材料。襯層可包括鈦、氮化鈦、鉭、氮化鉭或其他類似物。導電材料可以是銅、銅合金、銀、金、鎢、鈷、鋁、鎳或其他類似物。可進行平坦化製程(例如,化學機械研磨),以從第二層間介電層114的表面移除多餘的材料。剩餘的襯層及導電材料在開口中形成源極/汲極接觸件112及閘極接觸件110。可進行退火製程,以在磊晶源極/汲極區域82與源極/汲極接觸件112之間的界面處形成矽化物。源極/汲極接觸件112物理性且電性耦合到磊晶源極/汲極區域82,並且閘極接觸件110物理性且電性耦合到閘極電極94。源極/汲極接觸件112與閘極接觸件110可在不同的製程中形成,或者可在相同的製程中形成。雖然繪示出形成為相同的剖面,但是應當理解,源極/汲極接觸件112與閘極接觸件110中的每一個可以形成為不同的剖面,如此可避免接觸件的短路。According to some embodiments, FIG. 27A and FIG. 27B also show that the gate contact 110 and the source/drain contact 112 are formed through the second interlayer dielectric layer 114 and the first interlayer dielectric layer. 88. An opening for the source/drain contact 112 is formed through the first interlayer dielectric layer 88 and the second interlayer dielectric layer 114, and an opening for the gate contact 110 is formed through the second interlayer dielectric Layer 114 and gate mask 96. Acceptable photolithography and etching techniques can be used to form the openings. A liner layer (for example, a diffusion barrier layer, an adhesive layer, or the like) and a conductive material are formed in the opening. The liner layer may include titanium, titanium nitride, tantalum, tantalum nitride, or the like. The conductive material may be copper, copper alloy, silver, gold, tungsten, cobalt, aluminum, nickel, or the like. A planarization process (for example, chemical mechanical polishing) may be performed to remove excess material from the surface of the second interlayer dielectric layer 114. The remaining liner and conductive materials form source/drain contacts 112 and gate contacts 110 in the openings. An annealing process may be performed to form a silicide at the interface between the epitaxial source/drain region 82 and the source/drain contact 112. The source/drain contact 112 is physically and electrically coupled to the epitaxial source/drain region 82, and the gate contact 110 is physically and electrically coupled to the gate electrode 94. The source/drain contact 112 and the gate contact 110 may be formed in different processes, or may be formed in the same process. Although it is shown to be formed in the same cross-section, it should be understood that each of the source/drain contact 112 and the gate contact 110 may be formed in a different cross-section, so as to avoid short circuits of the contacts.

以上的實施例描述了在閘極堆疊92/94之前形成介電區域78。在其他實施例中,可以在形成介電區域78之前形成閘極堆疊(例如,包括閘極介電層92及閘極電極94)。之後,可以蝕刻閘極堆疊92/94,以暴露出虛置鰭片52’,並且可以沉積介電材料,以形成介電區域78。在第28A圖及第28B圖中繪示出所得到的結構。The above embodiments describe the formation of the dielectric region 78 before the gate stack 92/94. In other embodiments, the gate stack (for example, including the gate dielectric layer 92 and the gate electrode 94) may be formed before the dielectric region 78 is formed. After that, the gate stack 92/94 can be etched to expose the dummy fin 52', and a dielectric material can be deposited to form a dielectric region 78. The resulting structure is shown in Figure 28A and Figure 28B.

第29圖到第37C圖是依據另一實施例之製造具有虛置鰭片52’的裝置20的中間階段的剖面示意圖。在第29圖到第37C圖中,相同的標號表示相同元件,且這些元件使用與以上第2圖到第28B圖中所述的部件相同的製程而形成。在第29圖中,將鰭片52形成為從基板50延伸。硬罩幕53用於將鰭片52圖案化,並且可以保留在鰭片52之上。Figures 29 to 37C are schematic cross-sectional views of an intermediate stage of manufacturing a device 20 with dummy fins 52' according to another embodiment. In FIGS. 29 to 37C, the same reference numerals denote the same components, and these components are formed using the same manufacturing process as the components described in FIGS. 2 to 28B above. In FIG. 29, the fin 52 is formed to extend from the substrate 50. The hard mask 53 is used to pattern the fin 52 and may remain on the fin 52.

在第30圖中,絕緣材料54沉積在鰭片52的側壁上並且沿著鰭片52的側壁沉積。可以使用順應性的製程沉積絕緣材料54,此順應性的製程僅部分地填充鰭片52之間的空間。在沉積製程中,在鰭片52之間及絕緣材料54之上限定開口100。之後可以將材料填充在開口100中,以形成虛置鰭片52’。In FIG. 30, the insulating material 54 is deposited on the sidewalls of the fin 52 and along the sidewalls of the fin 52. The insulating material 54 can be deposited using a compliant process that only partially fills the space between the fins 52. During the deposition process, an opening 100 is defined between the fins 52 and above the insulating material 54. Afterwards, material may be filled in the opening 100 to form a dummy fin 52'.

在第31圖中,沉積視需要的間隔物層102及膜層104於開口中。可以使用與以上關於第6圖及第7圖所述的相似的製程,而進行間隔物層102及膜層104的沉積。In FIG. 31, the spacer layer 102 and the film layer 104 as needed are deposited in the opening. The deposition of the spacer layer 102 and the film layer 104 can be performed using a process similar to that described above with respect to FIGS. 6 and 7.

在第32圖中,依序將視需要的間隔物層102及薄膜層104凹陷化。可以使用與以上關於第8圖及第9圖所述的相似的製程,而將間隔物層102及膜層104凹陷化。In FIG. 32, the spacer layer 102 and the thin film layer 104 are recessed in sequence as needed. The spacer layer 102 and the film layer 104 can be recessed using a similar process as described above with respect to FIGS. 8 and 9.

在第33圖中,沉積膜層106及膜層108於開口100中。可以將膜層106及膜層108沉積在間隔物層102及膜層104之上。可以使用與以上關於第10圖及第11圖所述的相似的製程,而沉積膜層106及膜層108。膜層108可以是單層結構或多層結構。In FIG. 33, the film layer 106 and the film layer 108 are deposited in the opening 100. The film layer 106 and the film layer 108 may be deposited on the spacer layer 102 and the film layer 104. The film layer 106 and the film layer 108 can be deposited using a process similar to that described above with respect to FIGS. 10 and 11. The film layer 108 may be a single-layer structure or a multi-layer structure.

在第34圖中,對膜層106、膜層108、絕緣材料54及硬罩幕53 (如果存在)進行移除製程,以移除鰭片52上方的多餘材料。在一些實施例中,可使用平坦化製程(例如,化學機械研磨)、回蝕刻製程、上述之組合或其他類似方法。平坦化製程暴露出鰭片52與絕緣材料54,使得在平坦化製程完成之後,鰭片52的頂表面、絕緣材料54的頂表面、膜層106的頂表面及膜層108的頂表面是齊平的。In FIG. 34, a removal process is performed on the film layer 106, the film layer 108, the insulating material 54 and the hard mask 53 (if present) to remove the excess material above the fin 52. In some embodiments, a planarization process (for example, chemical mechanical polishing), an etch-back process, a combination of the above, or other similar methods may be used. The planarization process exposes the fin 52 and the insulating material 54 so that after the planarization process is completed, the top surface of the fin 52, the top surface of the insulating material 54, the top surface of the film layer 106, and the top surface of the film layer 108 are aligned. flat.

在第35圖中,回蝕刻絕緣材料54,以暴露出鰭片52的側壁並且定義出淺溝槽隔離區域56。可以使用與以上關於第13圖所述的相似的製程,而進行絕緣材料54的回蝕刻。作為回蝕刻的結果,淺溝槽隔離區域56的頂表面可以高於膜層104的頂表面之下,在膜層104的頂表面之上(例如,參照第36B圖),或者實質上與膜層104的頂表面齊平(例如,參照第36C圖)。In FIG. 35, the insulating material 54 is etched back to expose the sidewalls of the fin 52 and define a shallow trench isolation region 56. A process similar to that described above with respect to FIG. 13 can be used to perform the etch-back of the insulating material 54. As a result of the etch-back, the top surface of the shallow trench isolation region 56 may be higher than the top surface of the film layer 104, above the top surface of the film layer 104 (for example, refer to Figure 36B), or substantially with the film layer 104 The top surface of the layer 104 is flush (see, for example, Figure 36C).

在第36A圖到第36B圖中,蝕刻膜層106並從膜層108的側壁上至少部分地移除膜層106。第36A圖繪示出淺溝槽隔離區域56的頂表面低於膜層104的頂表面的實施例;第36B圖繪示出淺溝槽隔離區域56的頂表面高於膜層104的頂表面的實施例;且第36B圖繪示出淺溝槽隔離區域56的頂表面實質上齊平於膜層104的頂表面的實施例。可以使用與以上關於第13圖所述的相似的製程,而蝕刻膜層106。因此,形成虛置鰭片52’。虛置鰭片52’可以被嵌入於淺溝槽隔離區域56之中。舉例而言,淺溝槽隔離區域56可以在虛置鰭片52’的底表面下方延伸並覆蓋虛置鰭片52’的底表面。In FIGS. 36A to 36B, the film layer 106 is etched and the film layer 106 is at least partially removed from the sidewall of the film layer 108. FIG. 36A depicts an embodiment in which the top surface of the shallow trench isolation region 56 is lower than the top surface of the film layer 104; FIG. 36B depicts an embodiment in which the top surface of the shallow trench isolation region 56 is higher than the top surface of the film layer 104 36B depicts an embodiment in which the top surface of the shallow trench isolation region 56 is substantially flush with the top surface of the film layer 104. The film layer 106 can be etched using a process similar to that described above in relation to FIG. 13. Therefore, dummy fins 52' are formed. The dummy fin 52' may be embedded in the shallow trench isolation region 56. For example, the shallow trench isolation region 56 may extend below and cover the bottom surface of the dummy fin 52'.

虛置鰭片52’的中間部分具有寬度D2,寬度D2可以小於虛置鰭片52’的底部分的寬度D1。藉由減小虛置鰭片52’的寬度D2,可以增加虛置鰭片52’與鰭片52之間的空間。因此,能夠以增大的製程視窗在鰭片52與虛置鰭片52’周圍形成閘極材料,並且能夠減少製造缺陷。The middle portion of the dummy fin 52' has a width D2, and the width D2 may be smaller than the width D1 of the bottom portion of the dummy fin 52'. By reducing the width D2 of the dummy fin 52', the space between the dummy fin 52' and the fin 52 can be increased. Therefore, the gate material can be formed around the fin 52 and the dummy fin 52' with an enlarged process window, and manufacturing defects can be reduced.

雖然第36A圖到第36C圖將虛置鰭片52’繪示為具有特定的配置方式,但是其他實施例可考慮裝置20中的虛置鰭片52’的不同配置方式。舉例而言,可將與以上關於第15A圖到第15H圖所述的任何相似的配置方式整合到裝置20中。Although FIGS. 36A to 36C show the dummy fins 52' as having a specific configuration, other embodiments may consider different configurations of the dummy fins 52' in the device 20. For example, any configuration similar to that described above with respect to FIGS. 15A to 15H can be integrated into the device 20.

可以對裝置進行後續處理,以形成鰭式場效電晶體。舉例而言,可以進行與以上關於第16A圖到第28B圖所述的任何相似的製程,以形成源極/汲極區82於鰭片52之中,並沿著鰭片52及虛置鰭片52’的側壁形成閘極堆疊於鰭片52及虛置鰭片52’的側壁之上。虛置鰭片52’可以在物理性地分離相鄰的源極/汲極區82,並且介電區域78可以延伸穿過閘極堆疊而到達虛置鰭片52’。 在第第37A圖到第37C圖中繪示出所得到的結構。The device can be subjected to subsequent processing to form a fin-type field effect transistor. For example, any process similar to that described above with respect to FIGS. 16A to 28B can be performed to form source/drain regions 82 in the fins 52 and along the fins 52 and the dummy fins. The sidewall of the sheet 52' forms a gate stack on the sidewalls of the fin 52 and the dummy fin 52'. The dummy fin 52' may physically separate adjacent source/drain regions 82, and the dielectric region 78 may extend through the gate stack to reach the dummy fin 52'. The resulting structure is shown in FIGS. 37A to 37C.

在此所揭露的鰭式場效電晶體實施例也可以應用於奈米結構裝置,例如,奈米結構(例如,奈米片、奈米線、全繞式閘極或其他類似物)場效電晶體。在奈米結構場效電晶體(nanostructure field effect transistors, NSFET)的實施例中,藉由將通道層及犧牲層的交替配列膜層的堆疊結構圖案化,以形成鰭片。藉由與上述類似的方式形成虛置閘極堆疊及磊晶源極/汲極區域。在移除虛置閘極堆疊之後,可在通道區域中部分地移除或完全地移除犧牲層。替換閘極結構藉由與上述類似的方式形成,並且替換閘極結構將部分地圍繞或完全地圍繞奈米結構場效電晶體裝置的通道區域中的通道層。藉由與上述類似的方式形成層間介電層以及連接到閘極結構及源極/汲極的接觸件。可藉由如美國專利申請公開2016/0365414中所揭露的方法而形成奈米結構裝置,其整體內容藉由引用而併入本文。The fin-type field-effect transistor embodiments disclosed herein can also be applied to nano-structured devices, for example, nano-structures (for example, nano-chips, nano-wires, fully wound gates, or other similar) field-effect transistors. Crystal. In the embodiment of nanostructure field effect transistors (NSFET), the fins are formed by patterning a stacked structure of alternately arranged film layers of channel layers and sacrificial layers. The dummy gate stack and epitaxial source/drain regions are formed in a similar manner to the above. After the dummy gate stack is removed, the sacrificial layer can be partially removed or completely removed in the channel area. The replacement gate structure is formed in a similar manner as described above, and the replacement gate structure will partially or completely surround the channel layer in the channel region of the nanostructure field effect transistor device. The interlayer dielectric layer and the contacts connected to the gate structure and the source/drain are formed in a similar manner as described above. The nanostructured device can be formed by the method disclosed in US Patent Application Publication 2016/0365414, the entire content of which is incorporated herein by reference.

在各個實施例中,虛置鰭片可以用於分離相鄰電晶體的金屬閘極。虛置鰭片也可以藉由,例如,防止在磊晶成長製程中不預期的源極/汲極合併,而協助隔離相鄰的源極/汲極區域。各個實施例包括在第二膜層的側壁及底表面上形成第一膜層。然後蝕刻第一膜層,並且從第二膜層的側壁至少部分地移除第一膜層,以減小所得到的虛置鰭片的寬度。因此,能夠改善虛置鰭片的剖面輪廓。舉例而言,虛置鰭片的中間部分可以比虛置鰭片的底部分更窄(例如,具有較小的臨界尺寸)。藉由這種方式,可以增加虛置鰭片與通道區域之間的間隔,並且可以增大用於閘極堆疊間隙填充的製程視窗。In various embodiments, dummy fins may be used to separate the metal gates of adjacent transistors. The dummy fins can also help isolate adjacent source/drain regions by preventing unexpected source/drain merging during the epitaxial growth process, for example. Various embodiments include forming the first film layer on the sidewall and bottom surface of the second film layer. Then the first film layer is etched, and the first film layer is at least partially removed from the sidewall of the second film layer to reduce the width of the resulting dummy fin. Therefore, the cross-sectional profile of the dummy fin can be improved. For example, the middle portion of the dummy fin may be narrower (for example, having a smaller critical dimension) than the bottom portion of the dummy fin. In this way, the gap between the dummy fin and the channel region can be increased, and the process window for gap filling of the gate stack can be increased.

在一些實施例中,提供一種半導體裝置,包括:第一源極/汲極區域位於一半導體基板之上;虛置鰭片相鄰於上述第一源極/汲極區域,上述虛置鰭片包括:第一部分包括第一膜層;以及第二部分位於上述第一部分之上,上述第二部分的一寬度小於上述第一部分的寬度,其中上述第二部分包括:第二膜層;以及第三膜層位於上述第一膜層與上述第二膜層之間,上述第三膜層由與上述第一膜層及上述第二膜層不同的材料所製成;以及一極堆疊,沿著上述虛置鰭片的側壁而設置。在一些實施例中,其中該第三膜層沿著該第二膜層的側壁延伸。在一些實施例中,其中上述第三膜層延伸到上述第二膜層的最頂表面。在一些實施例中,其中上述第三膜層的上述材料的化學鍵能小於上述第二膜層的材料的化學鍵能。在一些實施例中,其中上述第一源極/汲極區域接觸上述第二膜層。在一些實施例中,上述半導體裝置更包括第二源極/汲極區域位於上述虛置鰭片的與上述第一源極/汲極區域相對的一側上,其中上述第二源極/汲極區域接觸上述第二膜層。在一些實施例中,其中上述第二部分更包括第四膜層位於第二膜層之上,且上述第四膜層由與上述第二膜層不同的材料所製成。在一些實施例中,上述半導體裝置更包括介電區位於上述虛置鰭片之上且接觸上述虛置鰭片,其中上述閘極堆疊沿著上述介電區域的側壁延伸。在一些實施例中,其中上述第三膜層鄰接於上述第一膜層的第一表面比上述第三膜層鄰接於上述第二膜層的第二表面更窄。在一些實施例中,其中上述第三膜層鄰接於上述第一膜層的第一表面比上述第三膜層鄰接於上述第二膜層的第二表面更寬。在一些實施例中,其中上述第三膜層具有內凹的側壁。In some embodiments, a semiconductor device is provided, including: a first source/drain region is located on a semiconductor substrate; a dummy fin is adjacent to the first source/drain region, and the dummy fin It includes: a first part includes a first film layer; and a second part is located on the first part, a width of the second part is smaller than the width of the first part, wherein the second part includes: a second film layer; and a third The film layer is located between the first film layer and the second film layer, and the third film layer is made of a material different from the first film layer and the second film layer; and a pole stack along the above The side walls of the dummy fins are provided. In some embodiments, the third film layer extends along the sidewall of the second film layer. In some embodiments, the above-mentioned third film layer extends to the topmost surface of the above-mentioned second film layer. In some embodiments, the chemical bond energy of the material of the third film layer is less than the chemical bond energy of the material of the second film layer. In some embodiments, the first source/drain region is in contact with the second film layer. In some embodiments, the semiconductor device further includes a second source/drain region located on a side of the dummy fin opposite to the first source/drain region, wherein the second source/drain region The pole region is in contact with the above-mentioned second film layer. In some embodiments, the second part further includes a fourth film layer located on the second film layer, and the fourth film layer is made of a different material from the second film layer. In some embodiments, the semiconductor device further includes a dielectric region located on the dummy fin and in contact with the dummy fin, wherein the gate stack extends along the sidewall of the dielectric region. In some embodiments, the first surface of the third film layer adjacent to the first film layer is narrower than the second surface of the third film layer adjacent to the second film layer. In some embodiments, the first surface of the third film layer adjacent to the first film layer is wider than the second surface of the third film layer adjacent to the second film layer. In some embodiments, the third film layer has concave sidewalls.

在一些實施例中,提供一種半導體裝置,包括:第一電晶體,位於半導體基板的頂表面處,上述第一電晶體包括:第一通道區域;以及第一閘極堆疊位於上述第一通道區域的上述側壁之上並且沿著上述第一通道區域的側壁而設置;第二電晶體位於上述半導體基板的上述頂表面處,上述第二電晶體包括:第二通道區域;以及第二閘極堆疊位於上述第二通道區域的上述側壁之上並且沿著上述第二通道區域的側壁而設置;以及虛置鰭片,將上述第一閘極堆疊與上述第二閘極堆疊物理性地隔離,其中上述虛置鰭片包括:第一膜層;以及第二膜層位於上述第一膜層之上,其中在上述第二膜層的高度位置所測量到的上述虛置鰭片的寬度小於在上述第一膜層的高度位置所測量到的上述虛置鰭片的寬度。在一些實施例中,上述半導體結構更包括間隔物層,沿著上述第一膜層的側壁及底表面而設置。在一些實施例中,上述半導體結構更包括第三膜層位於上述第一膜層與上述第二膜層之間。在一些實施例中,其中上述虛置鰭片嵌入於隔離區域之中。在一些實施例中,其中上述虛置鰭片接觸上述半導體基板。In some embodiments, a semiconductor device is provided, including: a first transistor located on a top surface of a semiconductor substrate, the first transistor includes: a first channel region; and a first gate stack is located in the first channel region The second transistor is located on the top surface of the semiconductor substrate, and the second transistor includes: a second channel region; and a second gate stack Located on the side wall of the second channel region and arranged along the side wall of the second channel region; and dummy fins that physically isolate the first gate stack from the second gate stack, wherein The dummy fin includes: a first film layer; and a second film layer located on the first film layer, wherein the width of the dummy fin measured at the height of the second film layer is smaller than that of the The width of the dummy fin measured at the height position of the first film layer. In some embodiments, the semiconductor structure further includes a spacer layer, which is disposed along the sidewall and bottom surface of the first film layer. In some embodiments, the semiconductor structure further includes a third film layer located between the first film layer and the second film layer. In some embodiments, the above-mentioned dummy fins are embedded in the isolation region. In some embodiments, the dummy fin is in contact with the semiconductor substrate.

在一些實施例中,提供一種半導體裝置的形成方法,包括:定義開口於第一半導體鰭片與第二半導體鰭片之間;形成虛置鰭片於上述第一半導體鰭片與上述第二半導體鰭片之間,形成上述虛置鰭片包括:沉積第一膜層於上述開口之中;將上述第一膜層凹陷化於上述開口之中;沉積第二膜層於上述第一膜層上方的上述開口之中;沉積第三膜層於上述第二膜層上方的上述開口之中,上述第二膜層設置在上述第三膜層的側壁及底表面上;以及蝕刻上述第二膜層,以從上述第三膜層的上述側壁至少部分地移除上述第二膜層;以及沿著上述第一半導體鰭片的側壁及頂表面、上述第二半導體鰭片的側壁及頂表面、及上述虛置鰭片的側壁及頂表面形成閘極結構。在一些實施例中,上述半導體裝置的形成方法更包括在沉積上述第一膜層之前,沿著上述開口的側壁及底表面沉積間隔物層,其中沉積上述第一膜層包括沉積上述第一膜層於上述間隔物層之上。在一些實施例中,其中形成上述虛置鰭片更包括:將上述第三膜層凹陷化到上述第二膜層的最頂表面之下;以及沉積第四膜層於上述第三膜層上方的上述開口之中,其中上述第二膜層設置在上述第四膜層的側壁上。在一些實施例中,其中蝕刻上述第二膜層包括選擇性蝕刻製程,其中上述選擇性蝕刻製程以比上述第三膜層更快的速率蝕刻上述第二膜層。In some embodiments, a method for forming a semiconductor device is provided, including: defining an opening between a first semiconductor fin and a second semiconductor fin; forming a dummy fin between the first semiconductor fin and the second semiconductor fin Between the fins, forming the dummy fin includes: depositing a first film layer in the opening; recessing the first film layer in the opening; depositing a second film layer on the first film layer In the above-mentioned opening; depositing a third film layer in the above-mentioned opening above the second film layer, the second film layer being disposed on the sidewall and bottom surface of the third film layer; and etching the second film layer , To at least partially remove the second film layer from the sidewall of the third film layer; and along the sidewall and top surface of the first semiconductor fin, the sidewall and top surface of the second semiconductor fin, and The sidewalls and top surfaces of the dummy fins form gate structures. In some embodiments, the method for forming the semiconductor device further includes depositing a spacer layer along the sidewall and bottom surface of the opening before depositing the first film layer, wherein depositing the first film layer includes depositing the first film layer. Layer on the above-mentioned spacer layer. In some embodiments, forming the dummy fin further includes: recessing the third film layer below the top surface of the second film layer; and depositing a fourth film layer above the third film layer Among the above-mentioned openings, the above-mentioned second film layer is disposed on the side wall of the above-mentioned fourth film layer. In some embodiments, etching the second film layer includes a selective etching process, wherein the selective etching process etches the second film layer at a faster rate than the third film layer.

前述內文概述了許多實施例的部件,使本技術領域中具有通常知識者可以從各個方面更佳地了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明的發明精神與範圍。在不背離本發明的發明精神與範圍之前提下,可對本發明進行各種改變、置換或修改。The foregoing text summarizes the components of many embodiments, so that those skilled in the art can better understand the embodiments of the present invention from various aspects. Those skilled in the art should understand, and can easily design or modify other processes and structures based on the embodiments of the present invention, so as to achieve the same purpose and/or achieve the same purpose as the embodiments described herein. The same advantages. Those skilled in the art should also understand that these equivalent structures do not depart from the spirit and scope of the present invention. Without departing from the spirit and scope of the present invention, various changes, substitutions or modifications can be made to the present invention.

雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。Although the present invention has been disclosed in several preferred embodiments as above, it is not intended to limit the present invention. Anyone with ordinary knowledge in the art can make any changes without departing from the spirit and scope of the present invention. Therefore, the scope of protection of the present invention shall be subject to the scope of the attached patent application.

10:裝置 20:裝置 50:基板 50N:區域 50P:區域 51:分隔線 52:鰭片 52’:虛置鰭片(虛置通道區域) 52A:鰭片 52B:鰭片 53:硬罩幕 54:絕緣材料(隔離材料) 56:隔離區域(淺溝槽隔離區域) 58:通道區域 60:虛置介電層 62:虛置閘極層 64:罩幕層 72:虛置閘極 72A:區域 72B:區域 74:罩幕 78:介電區域 80:閘極密封間隔物 82:源極/汲極區域 86:閘極間隔物 87:接觸蝕刻停止層 88:介電層(第一層間介電層) 89:區域 90:凹口 92:閘極介電層 92A/94A:閘極堆疊 92B/94B:閘極堆疊 94:閘極電極 94A:襯層 94B:功函數調整層 94C:填充材料 96:閘極罩幕 100:開口 102:間隔物層 104:膜層 104’:接縫 106:膜層 108:膜層 108’:接縫 108A:膜層 108B:膜層 108C:膜層 110:閘極接觸件 112:源極/汲極接觸件 114:第二層間介電層 D1:寬度 D2:寬度 D3:寬度 T1:厚度 T2:厚度 T3:總高度10: device 20: device 50: substrate 50N: area 50P: area 51: Divider 52: Fins 52’: Dummy fins (dummy channel area) 52A: Fins 52B: Fins 53: Hard cover 54: Insulating material (isolating material) 56: Isolation area (shallow trench isolation area) 58: Channel area 60: Dummy dielectric layer 62: dummy gate layer 64: mask layer 72: Dummy Gate 72A: area 72B: area 74: Curtain 78: Dielectric area 80: Gate seal spacer 82: source/drain region 86: Gate spacer 87: contact etch stop layer 88: Dielectric layer (first interlayer dielectric layer) 89: area 90: Notch 92: gate dielectric layer 92A/94A: Gate stack 92B/94B: Gate stack 94: gate electrode 94A: Lining 94B: Work function adjustment layer 94C: Filling material 96: Gate Cover 100: opening 102: Spacer layer 104: Membrane 104’: Seam 106: Membrane 108: Membrane 108’: Seam 108A: Film layer 108B: Membrane 108C: Film layer 110: Gate contact 112: source/drain contacts 114: second interlayer dielectric layer D1: width D2: width D3: width T1: thickness T2: thickness T3: total height

依據以下的詳細說明並配合所附圖式做完整揭露。應注意的是,依據本產業的一般作業,圖式並未必按照比率繪製。事實上,可能任意的放大或縮小元件的尺寸,以做清楚的說明。 第1圖是依據一些實施例之鰭式場效電晶體的示範例的三維立體圖。 第2圖、第3圖、第4圖、第5圖、第6圖、第7圖、第8圖、第9圖、第10圖、第11圖、第12圖、第13圖、第14圖、第15A圖、第15B圖、第15C圖、第15D圖、第15E圖、第15F圖、第15G圖、第15H圖、第16A圖、第16B圖、第17圖、第18A圖、第18B圖、第19A圖、第19B圖、第20A圖、第20B圖、第20C圖、第21A圖、第21B圖、第22A圖、第22B圖、第23A圖、第23B圖、第24A圖、第24B圖、第25A圖、第25B圖、第25C圖、第26A圖、第26B圖、第27A圖、第27B圖、第28A圖及第28B圖是依據一些實施例之製造鰭式場效電晶體的中間階段的剖面示意圖。 第29圖、第30圖、第31圖、第32圖、第33圖、第34圖、第35圖、第36A圖、第36B圖、第36C圖、第37A圖、第37B圖及第37C圖是依據一些實施例之製造鰭式場效電晶體的中間階段的剖面示意圖。Complete the disclosure based on the following detailed description in conjunction with the attached drawings. It should be noted that, according to the general operation of this industry, the diagrams are not necessarily drawn according to the ratio. In fact, it is possible to arbitrarily enlarge or reduce the size of the component to make a clear description. FIG. 1 is a three-dimensional view of an exemplary fin-type field effect transistor according to some embodiments. Figure 2, Figure 3, Figure 4, Figure 5, Figure 6, Figure 7, Figure 8, Figure 9, Figure 10, Figure 11, Figure 12, Figure 13, Figure 14 Figure, Figure 15A, Figure 15B, Figure 15C, Figure 15D, Figure 15E, Figure 15F, Figure 15G, Figure 15H, Figure 16A, Figure 16B, Figure 17, Figure 18A, Figure 18B, Figure 19A, Figure 19B, Figure 20A, Figure 20B, Figure 20C, Figure 21A, Figure 21B, Figure 22A, Figure 22B, Figure 23A, Figure 23B, Figure 24A Figures, 24B, 25A, 25B, 25C, 26A, 26B, 27A, 27B, 28A, and 28B are based on some embodiments of manufacturing fin fields Schematic diagram of the cross-section of the intermediate stage of the effect transistor. Figures 29, 30, 31, 32, 33, 34, 35, 36A, 36B, 36C, 37A, 37B, and 37C The figure is a schematic cross-sectional view of an intermediate stage of manufacturing a fin-type field effect transistor according to some embodiments.

10:裝置10: device

50:基板50: substrate

52A:鰭片52A: Fins

52’:虛置鰭片52’: Dummy fins

56:隔離區域(淺溝槽隔離區域)56: Isolation area (shallow trench isolation area)

102:間隔物層102: Spacer layer

104:膜層104: Membrane

106:膜層106: Membrane

108:膜層108: Membrane

D1:寬度D1: width

D2:寬度D2: width

D3:寬度D3: width

Claims (20)

一種半導體裝置,包括: 一第一源極/汲極區域,位於一半導體基板之上; 一虛置鰭片,相鄰於該第一源極/汲極區域,該虛置鰭片包括: 一第一部分,包括一第一膜層;以及 一第二部分,位於該第一部分之上,該第二部分的一寬度小於該第一部分的一寬度,其中該第二部分包括: 一第二膜層;以及 一第三膜層,位於該第一膜層與該第二膜層之間,該第三膜層由與該第一膜層及該第二膜層不同的一材料所製成;以及 一閘極堆疊,沿著該虛置鰭片的側壁而設置。A semiconductor device including: A first source/drain region located on a semiconductor substrate; A dummy fin adjacent to the first source/drain region, and the dummy fin includes: A first part, including a first film layer; and A second part is located on the first part, a width of the second part is smaller than a width of the first part, wherein the second part includes: A second film layer; and A third film layer located between the first film layer and the second film layer, the third film layer being made of a material different from the first film layer and the second film layer; and A gate stack is arranged along the sidewall of the dummy fin. 如請求項1所述之半導體裝置,其中該第三膜層沿著該第二膜層的側壁延伸。The semiconductor device according to claim 1, wherein the third film layer extends along the sidewall of the second film layer. 如請求項1所述之半導體裝置,其中該第三膜層延伸到該第二膜層的最頂表面。The semiconductor device according to claim 1, wherein the third film layer extends to the topmost surface of the second film layer. 如請求項1所述之半導體裝置,其中該第三膜層的該材料的一化學鍵能小於該第二膜層的一材料的一化學鍵能。The semiconductor device according to claim 1, wherein a chemical bond energy of the material of the third film layer is less than a chemical bond energy of a material of the second film layer. 如請求項1所述之半導體裝置,其中該第一源極/汲極區域接觸該第二膜層。The semiconductor device according to claim 1, wherein the first source/drain region is in contact with the second film layer. 如請求項1所述之半導體裝置,更包括一第二源極/汲極區域,位於該虛置鰭片的與該第一源極/汲極區域相對的一側上,其中該第二源極/汲極區域接觸該第二膜層。The semiconductor device according to claim 1, further comprising a second source/drain region located on a side of the dummy fin opposite to the first source/drain region, wherein the second source The pole/drain region contacts the second film layer. 如請求項1所述之半導體裝置,其中該第二部分更包括一第四膜層,位於該第二膜層之上,且該第四膜層由與該第二膜層不同的一材料所製成。The semiconductor device according to claim 1, wherein the second part further includes a fourth film layer located on the second film layer, and the fourth film layer is made of a material different from the second film layer production. 如請求項1所述之半導體裝置,更包括一介電區,位於該虛置鰭片之上且接觸該虛置鰭片,其中該閘極堆疊沿著該介電區域的側壁延伸。The semiconductor device according to claim 1, further comprising a dielectric region located on the dummy fin and in contact with the dummy fin, wherein the gate stack extends along the sidewall of the dielectric region. 如請求項1所述之半導體裝置,其中該第三膜層鄰接於該第一膜層的一第一表面比該第三膜層鄰接於該第二膜層的一第二表面更窄。The semiconductor device according to claim 1, wherein a first surface of the third film layer adjacent to the first film layer is narrower than a second surface of the third film layer adjacent to the second film layer. 如請求項1所述之半導體裝置,其中該第三膜層鄰接於該第一膜層的一第一表面比該第三膜層鄰接於該第二膜層的一第二表面更寬。The semiconductor device according to claim 1, wherein a first surface of the third film layer adjacent to the first film layer is wider than a second surface of the third film layer adjacent to the second film layer. 如請求項1所述之半導體裝置,其中該第三膜層具有內凹的側壁。The semiconductor device according to claim 1, wherein the third film layer has concave sidewalls. 一種半導體裝置,包括: 一第一電晶體,位於一半導體基板的一頂表面處,該第一電晶體包括: 一第一通道區域;以及 一第一閘極堆疊,位於該第一通道區域的該等側壁之上並且沿著該第一通道區域的側壁而設置; 一第二電晶體,位於該半導體基板的該頂表面處,該第二電晶體包括: 一第二通道區域;以及 一第二閘極堆疊,位於該第二通道區域的該等側壁之上並且沿著該第二通道區域的側壁而設置;以及 一虛置鰭片,將該第一閘極堆疊與該第二閘極堆疊物理性地隔離,其中該虛置鰭片包括: 一第一膜層;以及 一第二膜層,位於該第一膜層之上,其中在該第二膜層的一高度位置所測量到的該虛置鰭片的一寬度小於在該第一膜層的一高度位置所測量到的該虛置鰭片的一寬度。A semiconductor device including: A first transistor is located on a top surface of a semiconductor substrate, and the first transistor includes: A first passage area; and A first gate stack located on the sidewalls of the first channel region and arranged along the sidewalls of the first channel region; A second transistor located at the top surface of the semiconductor substrate, the second transistor including: A second passage area; and A second gate stack located on the sidewalls of the second channel region and arranged along the sidewalls of the second channel region; and A dummy fin physically isolates the first gate stack from the second gate stack, wherein the dummy fin includes: A first film layer; and A second film layer is located on the first film layer, wherein a width of the dummy fin measured at a height position of the second film layer is smaller than that measured at a height position of the first film layer A measured width of the dummy fin. 如請求項12所述之半導體結構,更包括一間隔物層,沿著該第一膜層的側壁及一底表面而設置。The semiconductor structure according to claim 12 further includes a spacer layer disposed along the sidewall and a bottom surface of the first film layer. 如請求項12所述之半導體結構,更包括一第三膜層,位於該第一膜層與該第二膜層之間。The semiconductor structure according to claim 12, further comprising a third film layer located between the first film layer and the second film layer. 如請求項12所述之半導體結構,其中該虛置鰭片嵌入於一隔離區域之中。The semiconductor structure according to claim 12, wherein the dummy fin is embedded in an isolation region. 如請求項12所述之半導體結構,其中該虛置鰭片接觸該半導體基板。The semiconductor structure according to claim 12, wherein the dummy fin contacts the semiconductor substrate. 一種半導體裝置的形成方法,包括: 定義一開口於一第一半導體鰭片與一第二半導體鰭片之間; 形成一虛置鰭片於該第一半導體鰭片與該第二半導體鰭片之間,形成該虛置鰭片包括: 沉積一第一膜層於該開口之中; 將該第一膜層凹陷化於該開口之中; 沉積一第二膜層於該第一膜層上方的該開口之中; 沉積一第三膜層於該第二膜層上方的該開口之中,該第二膜層設置在該第三膜層的側壁及一底表面上;以及 蝕刻該第二膜層,以從該第三膜層的該等側壁至少部分地移除該第二膜層;以及 沿著該第一半導體鰭片的側壁及一頂表面、該第二半導體鰭片的側壁及一頂表面、及該虛置鰭片的側壁及一頂表面形成一閘極結構。A method for forming a semiconductor device includes: Defining an opening between a first semiconductor fin and a second semiconductor fin; Forming a dummy fin between the first semiconductor fin and the second semiconductor fin, and forming the dummy fin includes: Depositing a first film in the opening; Recessing the first film layer in the opening; Depositing a second film in the opening above the first film; Depositing a third film layer in the opening above the second film layer, the second film layer being disposed on the sidewall and a bottom surface of the third film layer; and Etching the second film layer to at least partially remove the second film layer from the sidewalls of the third film layer; and A gate structure is formed along the sidewall and a top surface of the first semiconductor fin, the sidewall and a top surface of the second semiconductor fin, and the sidewall and a top surface of the dummy fin. 如請求項17所述之半導體裝置的形成方法,更包括在沉積該第一膜層之前,沿著該開口的側壁及一底表面沉積一間隔物層,其中沉積該第一膜層包括沉積該第一膜層於該間隔物層之上。The method for forming a semiconductor device according to claim 17, further comprising depositing a spacer layer along the sidewall and a bottom surface of the opening before depositing the first film layer, wherein depositing the first film layer includes depositing the The first film layer is on the spacer layer. 如請求項17所述之半導體裝置的形成方法,其中形成該虛置鰭片更包括: 將該第三膜層凹陷化到該第二膜層的一最頂表面之下;以及 沉積一第四膜層於該第三膜層上方的該開口之中,其中該第二膜層設置在該第四膜層的側壁上。The method for forming a semiconductor device according to claim 17, wherein forming the dummy fin further includes: Recessing the third film layer below a topmost surface of the second film layer; and A fourth film layer is deposited in the opening above the third film layer, wherein the second film layer is disposed on the sidewall of the fourth film layer. 如請求項17所述之半導體裝置的形成方法,其中蝕刻該第二膜層包括一選擇性蝕刻製程,其中該選擇性蝕刻製程以比該第三膜層更快的一速率蝕刻該第二膜層。The method for forming a semiconductor device according to claim 17, wherein etching the second film layer includes a selective etching process, wherein the selective etching process etches the second film at a faster rate than the third film layer Floor.
TW109141984A 2020-01-31 2020-11-30 Semiconductor device and method for forming the same TWI760947B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202062968586P 2020-01-31 2020-01-31
US62/968,586 2020-01-31
US16/837,563 US11217586B2 (en) 2020-01-31 2020-04-01 Semiconductor device having dummy fin physically separating the first and second gate stacks
US16/837,563 2020-04-01

Publications (2)

Publication Number Publication Date
TW202131405A true TW202131405A (en) 2021-08-16
TWI760947B TWI760947B (en) 2022-04-11

Family

ID=77061799

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109141984A TWI760947B (en) 2020-01-31 2020-11-30 Semiconductor device and method for forming the same

Country Status (1)

Country Link
TW (1) TWI760947B (en)

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI699885B (en) * 2016-03-22 2020-07-21 聯華電子股份有限公司 Semiconductor device and mehtod of forming the same
US9799570B1 (en) * 2017-02-13 2017-10-24 International Business Machines Corporation Fabrication of vertical field effect transistors with uniform structural profiles

Also Published As

Publication number Publication date
TWI760947B (en) 2022-04-11

Similar Documents

Publication Publication Date Title
TWI828806B (en) Semiconductor device and method for manufacturing the same
TWI725588B (en) Method for forming semiconductor device and semiconductor device
KR102370299B1 (en) Dummy fins and methods of forming thereof
TWI828962B (en) Semiconductor structure and method for forming the same
TWI801859B (en) Semiconductor device and method for forming the same
TW202133327A (en) Semiconductor device
US11854688B2 (en) Semiconductor device and method
KR20210098819A (en) Transistor gates and methods of forming thereof
TWI768678B (en) Semiconductor structure and method forming the same
TW202232582A (en) Semiconductor device and method
TW202133237A (en) Method of forming semiconductor structure
TW202133271A (en) Semiconductor device
TWI805260B (en) Semiconductor device and method for manufacturing the same
TWI776376B (en) Semiconductor device and method of forming the same
US11769821B2 (en) Semiconductor device having a corner spacer
TW202109623A (en) Method for forming semiconductor device
TWI760947B (en) Semiconductor device and method for forming the same
TWI795774B (en) Gapfill structure and manufacturing methods thereof
TWI777415B (en) Semiconductor device and method for forming the same
TWI807706B (en) Semiconductor device and method of manufacturing same
TWI785661B (en) Semiconductor device and methods thereof
TWI770648B (en) Semiconductor device, semiconductor structure, and methods for forming the same
US10770302B2 (en) Semiconductor FinFET device and method
US20240021618A1 (en) Semiconductor device and method
TW202109885A (en) Semiconductor device