TW202129860A - Semiconductor package - Google Patents

Semiconductor package Download PDF

Info

Publication number
TW202129860A
TW202129860A TW109145616A TW109145616A TW202129860A TW 202129860 A TW202129860 A TW 202129860A TW 109145616 A TW109145616 A TW 109145616A TW 109145616 A TW109145616 A TW 109145616A TW 202129860 A TW202129860 A TW 202129860A
Authority
TW
Taiwan
Prior art keywords
die
interposer
semiconductor package
substrate
intermediary
Prior art date
Application number
TW109145616A
Other languages
Chinese (zh)
Inventor
謝政諺
余俊輝
黃炳剛
邱紹玲
王貽樟
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202129860A publication Critical patent/TW202129860A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49866Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers characterised by the materials
    • H01L23/49894Materials of the insulating layers or coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5381Crossover interconnections, e.g. bridge stepovers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • H01L2221/68331Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding of passive members, e.g. die mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68372Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support a device or wafer when forming electrical connections thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/20Structure, shape, material or disposition of high density interconnect preforms
    • H01L2224/21Structure, shape, material or disposition of high density interconnect preforms of an individual HDI interconnect
    • H01L2224/214Connecting portions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/25Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of a plurality of high density interconnect connectors
    • H01L2224/251Disposition
    • H01L2224/2518Disposition being disposed on at least two different sides of the body, e.g. dual array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/81005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/83005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • H01L2224/92122Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92125Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06582Housing for the assembly, e.g. chip scale package [CSP]
    • H01L2225/06586Housing with external bump or bump-like connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06596Structural arrangements for testing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18161Exposing the passive side of the semiconductor or solid-state body of a flip chip

Abstract

A semiconductor package includes a first interposer, a second interposer, a first die, a second die and at least one bridge structure. The first interposer and the second interposer are embedded by a first dielectric encapsulation. The first die is disposed over and electrically connected to the first interposer. The second die is disposed over and electrically connected to the second interposer. The at least one bridge structure is disposed between the first die and the second die.

Description

半導體封裝件Semiconductor package

本發明實施例是關於半導體封裝件及其形成方法。The embodiment of the present invention relates to a semiconductor package and a method of forming the same.

近年來,半導體行業已經由於各種電子裝置(例如電晶體、二極體、電阻器、電容器等)的集成密度的持續改進而經歷快速發展。主要來說,最小特徵大小的連續減小已經帶來集成密度的改進,這允許將更多裝置集成到給定區域中。In recent years, the semiconductor industry has experienced rapid development due to continuous improvement in the integration density of various electronic devices (such as transistors, diodes, resistors, capacitors, etc.). Mainly speaking, the continuous reduction in minimum feature size has brought about improvements in integration density, which allows more devices to be integrated into a given area.

這些較小的電子裝置也需要比先前封裝件佔用更少區域的較小封裝件。有前景的半導體封裝件中的一種為用於針對雲端運算(cloud computing)、資料中心以及超級電腦應用的先進產品的“基底上晶圓上晶片(chip on wafer on substrate,CoWoS)”結構。儘管現有半導體封裝件對於其預期目的已大致上足夠,但其在所有方面中並不令人完全滿意。These smaller electronic devices also require smaller packages that take up less area than previous packages. One of the promising semiconductor packages is the "chip on wafer on substrate (CoWoS)" structure used in advanced products for cloud computing, data center, and supercomputer applications. Although existing semiconductor packages are generally sufficient for their intended purpose, they are not completely satisfactory in all aspects.

根據本公開的替代實施例,一種形成半導體封裝件的方法包含以下操作。設置第一中介件和第二中介件。在第一中介件和第二中介件的第一側處在第一中介件和第二中介件上方形成第一重佈線層結構,其中第一重佈線層結構電連接到第一中介件和第二中介件。將第一晶粒、第二晶粒以及至少一個橋接結構放置在第一重佈線層結構上方,其中第一中介件通過第一晶粒與第二晶粒之間的至少一個橋接結構電連接到第二中介件。在第一中介件和第二中介件的與第一側相對的第二側處在第一中介件和第二中介件上方形成第二重佈線層結構。將板基底接合到第二重佈線層結構。According to an alternative embodiment of the present disclosure, a method of forming a semiconductor package includes the following operations. A first intermediary piece and a second intermediary piece are provided. A first redistribution layer structure is formed on the first side of the first interposer and the second interposer above the first interposer and the second interposer, wherein the first redistribution layer structure is electrically connected to the first interposer and the second interposer. Two intermediary pieces. The first die, the second die, and at least one bridge structure are placed above the first rewiring layer structure, wherein the first interposer is electrically connected to the at least one bridge structure between the first die and the second die The second intermediary. A second redistribution layer structure is formed above the first interposer and the second interposer at a second side of the first interposer and the second interposer opposite to the first side. The board base is bonded to the second redistribution layer structure.

以下公開內容提供用於實施所提供主題的不同特徵的許多不同的實施例或實例。以下闡述元件及排列的具體實例是為了以簡化方式傳達本公開。當然,這些僅為實例而非旨在進行限制。舉例來說,在以下說明中,在第一特徵之上或第一特徵上形成第二特徵可包括其中第二特徵與第一特徵被形成為直接接觸的實施例,且也可包括其中第二特徵與第一特徵之間可形成附加特徵從而使得第二特徵與第一特徵可不直接接觸的實施例。另外,在本公開的各種實例中可使用相同的參考編號和/或字母來指代相同或相似的部件。參考編號的這種重複使用是為了簡明及清晰起見,且自身並不表示所論述的各個實施例和/或配置之間的關係。The following disclosure provides many different embodiments or examples for implementing different features of the provided subject matter. The following specific examples of elements and arrangements are set forth in order to convey the present disclosure in a simplified manner. Of course, these are only examples and not intended to be limiting. For example, in the following description, forming the second feature on or on the first feature may include an embodiment in which the second feature and the first feature are formed in direct contact, and may also include an embodiment in which the second feature is directly in contact with the first feature. An embodiment in which an additional feature can be formed between the feature and the first feature so that the second feature and the first feature may not directly contact. In addition, the same reference numbers and/or letters may be used in various examples of the present disclosure to refer to the same or similar components. This repeated use of reference numbers is for the sake of conciseness and clarity, and does not itself represent the relationship between the various embodiments and/or configurations discussed.

以此外,本文中可使用例如“在…之下”、“在…下方”、“下部”、“在…上”、“在…上方”、“上覆”、“在…之上”、“上部”以及類似術語的空間相對術語來便於描述如圖中所示出的一個元件或特徵相對於另一元件或特徵的關係。除圖中所描繪的定向以外,空間相對術語希望涵蓋裝置在使用或操作中的不同定向。設備可以其他方式定向(旋轉90度或處於其他定向),且本文中所使用的空間相對描述詞可同樣相應地進行解釋。In addition, for example, "below", "below", "lower", "on", "above", "overly", "above", "below The spatial relative terms of "upper" and similar terms are used to facilitate the description of the relationship of one element or feature relative to another element or feature as shown in the figure. In addition to the orientations depicted in the figures, the spatial relative terms are intended to cover different orientations of the device in use or operation. The device can be oriented in other ways (rotated by 90 degrees or in other orientations), and the spatial relative descriptors used in this article can also be interpreted accordingly.

圖1A到圖1R為根據一些實施例的形成半導體封裝件的方法的示意性橫截面圖。應理解,本公開並不受下文所描述的方法限制。可在方法之前、期間和/或之後提供額外操作,且可針對方法的額外實施例而替換或去除下文所描述的操作中的一些。1A to 1R are schematic cross-sectional views of a method of forming a semiconductor package according to some embodiments. It should be understood that the present disclosure is not limited by the methods described below. Additional operations may be provided before, during, and/or after the method, and some of the operations described below may be replaced or removed for additional embodiments of the method.

儘管相對於方法描述圖1A到圖1S,但應瞭解,圖1A到圖1S中所公開的結構不限於這一方法,而是可單獨作為與方法無關的結構。Although FIGS. 1A to 1S are described with respect to the method, it should be understood that the structure disclosed in FIGS. 1A to 1S is not limited to this method, but can be used as a structure independent of the method alone.

參考圖1A,第一中介件I1和第二中介件I2附接到載體CC1。在一些實施例中,載體CC1包含玻璃載體或合適的載體。在一些實施例中,第一中介件I1通過黏合層AL1附接到載體CC1,且第二中介件I2通過黏合層AL2附接到載體CC1。黏合層AL1和黏合層AL2中的每一者可包含氧化物層、晶粒附接帶(die attach tape,DAF)或合適的黏著劑。Referring to FIG. 1A, the first intermediary piece I1 and the second intermediary piece I2 are attached to the carrier CC1. In some embodiments, the carrier CC1 comprises a glass carrier or a suitable carrier. In some embodiments, the first intermediary member I1 is attached to the carrier CC1 through the adhesive layer AL1, and the second intermediary member I2 is attached to the carrier CC1 through the adhesive layer AL2. Each of the adhesion layer AL1 and the adhesion layer AL2 may include an oxide layer, die attach tape (DAF), or a suitable adhesive.

在一些實施例中,第一中介件I1包含第一基底S1、第一基底穿孔TSV1以及第一導電結構CS1。第一基底S1可包含例如矽、鍺的元素半導體,和/或化合物半導體,例如矽鍺、碳化矽、鎵砷、砷化銦、氮化鎵或磷化銦。第一基底S1可根據需要而經摻雜。第一基底穿孔TSV1(在一些實例中又稱為“第一矽穿孔”)從第一基底S1的前側朝著第一基底S1的背側延伸。第一基底穿孔TSV1在這一階段處可能不穿透第一基底S1。In some embodiments, the first interposer I1 includes a first substrate S1, a first substrate through hole TSV1, and a first conductive structure CS1. The first substrate S1 may include elemental semiconductors such as silicon and germanium, and/or compound semiconductors, such as silicon germanium, silicon carbide, gallium arsenide, indium arsenide, gallium nitride, or indium phosphide. The first substrate S1 can be doped as needed. The first substrate via TSV1 (also referred to as “first silicon via” in some examples) extends from the front side of the first substrate S1 toward the back side of the first substrate S1. The first substrate through hole TSV1 may not penetrate the first substrate S1 at this stage.

在一些實施例中,第一導電結構CS1安置在第一基底S1的前側上方。圖1A中簡單地繪示第一導電結構CS1,且在圖1A的左側上繪示局部放大圖。在一些實施例中,第一導電結構CS1包含介電層和包埋於介電層的導電特徵。導電特徵包含金屬線、金屬通孔、金屬襯墊和/或金屬接點。在一些實施例中,每一導電特徵包含Cu、Al、Ti、Ta、W、Ru、Co、Ni、類似物或其組合。在一些實施例中,晶種層和/或阻擋層可安置在每一導電特徵與相鄰聚合物層之間。晶種層可包含Ti/Cu。阻擋層可包含Ta、TaN、Ti、TiN、CoW或其組合。在一些實施例中,每一介電層包含氧化矽、氮化矽、氮氧化矽、SiOC、類似物或其組合。蝕刻終止層可插入在兩個相鄰介電層之間。可根據需要用聚合物層或絕緣層替換第一導電結構CS1的介電層。在一些實施例中,每一聚合物層包含光敏材料,例如聚苯並惡唑(PBO)、聚醯亞胺(PI)、苯並環丁烯(BCB)、類似物或其組合。在一些實施例中,第一導電結構CS1的接近於第一基底S1的臨界尺寸不同於(例如小於)第一導電結構CS1的遠離第一基底S1的臨界尺寸。具體地說,第一導電結構CS1的接近於第一基底S1的金屬線ML11(或金屬通孔MV11)的寬度不同於(例如小於)第一導電結構CS1的遠離第一基底S1的金屬線ML12(或金屬通孔MV12)的寬度。在一些實例中,金屬通孔MV11和金屬通孔MV12被稱作第零銅通孔。在一些實施例中,金屬通孔MV11和金屬通孔MV12為最頂部通孔,且介電層可覆蓋金屬通孔MV11和金屬通孔MV12。In some embodiments, the first conductive structure CS1 is disposed above the front side of the first substrate S1. The first conductive structure CS1 is simply shown in FIG. 1A, and a partial enlarged view is shown on the left side of FIG. 1A. In some embodiments, the first conductive structure CS1 includes a dielectric layer and conductive features embedded in the dielectric layer. The conductive features include metal lines, metal vias, metal pads, and/or metal contacts. In some embodiments, each conductive feature includes Cu, Al, Ti, Ta, W, Ru, Co, Ni, the like, or a combination thereof. In some embodiments, a seed layer and/or barrier layer may be disposed between each conductive feature and the adjacent polymer layer. The seed layer may include Ti/Cu. The barrier layer may include Ta, TaN, Ti, TiN, CoW, or a combination thereof. In some embodiments, each dielectric layer includes silicon oxide, silicon nitride, silicon oxynitride, SiOC, the like, or a combination thereof. The etch stop layer may be inserted between two adjacent dielectric layers. The dielectric layer of the first conductive structure CS1 can be replaced with a polymer layer or an insulating layer as needed. In some embodiments, each polymer layer includes a photosensitive material, such as polybenzoxazole (PBO), polyimide (PI), benzocyclobutene (BCB), the like, or a combination thereof. In some embodiments, the critical dimension of the first conductive structure CS1 close to the first substrate S1 is different (for example, smaller) than the critical dimension of the first conductive structure CS1 away from the first substrate S1. Specifically, the width of the metal line ML11 (or metal via MV11) of the first conductive structure CS1 close to the first substrate S1 is different (for example, smaller) than the metal line ML12 of the first conductive structure CS1 away from the first substrate S1 (Or metal via MV12) width. In some examples, the metal via MV11 and the metal via MV12 are referred to as the zeroth copper via. In some embodiments, the metal via MV11 and the metal via MV12 are the topmost vias, and the dielectric layer may cover the metal via MV11 and the metal via MV12.

在一些實施例中,第一中介件I1為含有包含在第一導電結構CS1中的至少一個功能裝置或積體電路裝置的主動中介件。在一些實例中,這種主動中介件被稱作“含裝置的中介件(device-containing interposer)”。在一些實施例中,功能裝置包含主動裝置、被動裝置或其組合。功能裝置包含例如但不限於電晶體、電容器、電阻器、二極體、光電二極體、熔絲裝置和/或其他類似元件。在一些實施例中,功能裝置包含閘極介電層、閘極電極、源極/汲極區、間隔件以及類似物。In some embodiments, the first interposer I1 is an active interposer containing at least one functional device or integrated circuit device included in the first conductive structure CS1. In some instances, this active interposer is called a "device-containing interposer". In some embodiments, the functional device includes an active device, a passive device, or a combination thereof. Functional devices include, for example, but not limited to, transistors, capacitors, resistors, diodes, photodiodes, fuse devices, and/or other similar elements. In some embodiments, the functional device includes gate dielectric layers, gate electrodes, source/drain regions, spacers, and the like.

在其他實施例中,第一中介件I1為被動中介件,其用於表示缺少功能裝置或積體電路裝置。在一些實例中,這種被動中介件被稱作“無裝置的中介件(device-free interposer)”。In other embodiments, the first intermediary I1 is a passive intermediary, which is used to indicate a lack of functional devices or integrated circuit devices. In some instances, this passive interposer is called a "device-free interposer".

在一些實施例中,第二中介件I2包含第二基底S2、第二基底穿孔TSV2以及第二導電結構CS2。第二中介件I2的第二基底S2、第二基底穿孔TSV2以及第二導電結構CS2可與第一基底S1、第一基底穿孔TSV1以及第一導電結構CS1類似,因此這些元件的材料和配置可指第一中介件I1的材料和配置,且本文中並不重複細節。In some embodiments, the second interposer I2 includes a second substrate S2, a second substrate through hole TSV2, and a second conductive structure CS2. The second substrate S2, the second substrate through hole TSV2, and the second conductive structure CS2 of the second interposer I2 can be similar to the first substrate S1, the first substrate through hole TSV1, and the first conductive structure CS1. Therefore, the materials and configurations of these elements can be Refers to the material and configuration of the first intermediate member I1, and the details are not repeated in this article.

第二基底穿孔TSV2(在一些實例中又稱為“第二矽穿孔”)從第二基底S2的前側朝著第二基底S2的背側延伸。第二基底穿孔TSV2在這一階段處可能不穿透第二基底S2。在一些實施例中,第二導電結構CS2安置在第二基底S2的前側上方。圖1A中簡單地繪示第二導電結構CS2,且在圖1A的右側上繪示局部放大圖。在一些實施例中,第二導電結構CS2的接近於第二基底S2的臨界尺寸不同於(例如小於)第二導電結構CS2的遠離第二基底S2的臨界尺寸。具體地說,第二導電結構CS2的接近於第二基底S2的金屬線ML21(或金屬通孔MV21)的寬度不同於(例如小於)第二導電結構CS2的遠離第二基底S2的金屬線ML22(或金屬通孔MV22)的寬度。The second substrate via TSV2 (also referred to as “second silicon via” in some examples) extends from the front side of the second substrate S2 toward the back side of the second substrate S2. The second substrate through hole TSV2 may not penetrate the second substrate S2 at this stage. In some embodiments, the second conductive structure CS2 is disposed above the front side of the second substrate S2. The second conductive structure CS2 is simply shown in FIG. 1A, and a partially enlarged view is shown on the right side of FIG. 1A. In some embodiments, the critical dimension of the second conductive structure CS2 close to the second substrate S2 is different (for example, smaller) than the critical dimension of the second conductive structure CS2 away from the second substrate S2. Specifically, the width of the metal line ML21 (or metal via MV21) of the second conductive structure CS2 close to the second substrate S2 is different from (for example, smaller than) the width of the metal line ML22 of the second conductive structure CS2 away from the second substrate S2 (Or metal via MV22) width.

在一些實施例中,第二中介件I2為含有包含在第二導電結構CS2中的至少一個功能裝置或積體電路裝置的主動中介件。在其他實施例中,第二中介件I2為被動中介件,其用於表示缺少功能裝置或積體電路裝置。In some embodiments, the second interposer I2 is an active interposer containing at least one functional device or integrated circuit device included in the second conductive structure CS2. In other embodiments, the second intermediary I2 is a passive intermediary, which is used to indicate lack of functional devices or integrated circuit devices.

在一些實施例中,第一中介件I1和第二中介件I2均為主動中介件。在其他實施例中,第一中介件I1和第二中介件I2均為被動中介件。在其他實施例中,第一中介件I1和第二中介件I2中的一個為主動中介件,且第一中介件I1和第二中介件I2中的另一個為被動中介件。此外,第一中介件I1的臨界尺寸可根據設計需求而與第二中介件I2的臨界尺寸類似或不同。In some embodiments, the first intermediary element I1 and the second intermediary element I2 are both active intermediary elements. In other embodiments, the first intermediary piece I1 and the second intermediary piece I2 are both passive intermediary pieces. In other embodiments, one of the first intermediate element I1 and the second intermediate element I2 is an active intermediate element, and the other of the first intermediate element I1 and the second intermediate element I2 is a passive intermediate element. In addition, the critical dimension of the first intermediary I1 can be similar to or different from the critical dimension of the second intermediary I2 according to design requirements.

在一些實施例中,第一中介件I1與第二中介件I2之間的間隙寬度G不超過約150微米。舉例來說,第一中介件I1與第二中介件I2之間的間隙寬度G介於約50微米到150微米範圍內。可根據製程需求採用間隙寬度G的其他值或範圍。In some embodiments, the gap width G between the first interposer I1 and the second interposer I2 does not exceed about 150 microns. For example, the gap width G between the first interposer I1 and the second interposer I2 is in the range of about 50 micrometers to 150 micrometers. Other values or ranges of the gap width G can be adopted according to process requirements.

參考圖1B,第一介電密封體E1圍繞第一中介件I1和第二中介件I2形成。具體地說,第一介電密封體E1填充第一中介件I1與第二中介件I2之間的間隙,且覆蓋第一中介件I1和第二中介件I2的側壁和頂部。如圖1B的放大圖中所繪示,第一介電密封體E1覆蓋第一導電結構CS1的頂部和第二導電結構CS2的頂部。在一些實施例中,第一介電密封體E1包含模塑化合物、模塑底填充料、樹脂或類似物。在一些實施例中,第一介電密封體E1包含聚合物材料,例如聚苯並惡唑(PBO)、聚醯亞胺、苯並環丁烯(BCB)、類似物或其組合。第一介電密封體E1可通過模塑製程,隨後是固化製程來形成。Referring to FIG. 1B, a first dielectric sealing body E1 is formed around the first intermediary member I1 and the second intermediary member I2. Specifically, the first dielectric sealing body E1 fills the gap between the first intermediate piece I1 and the second intermediate piece I2, and covers the sidewalls and top of the first intermediate piece I1 and the second intermediate piece I2. As shown in the enlarged view of FIG. 1B, the first dielectric sealing body E1 covers the top of the first conductive structure CS1 and the top of the second conductive structure CS2. In some embodiments, the first dielectric sealing body E1 includes a molding compound, a molding underfill, a resin, or the like. In some embodiments, the first dielectric sealing body E1 includes a polymer material, such as polybenzoxazole (PBO), polyimide, benzocyclobutene (BCB), the like, or a combination thereof. The first dielectric sealing body E1 can be formed through a molding process followed by a curing process.

參考圖1C,對第一介電密封體E1執行研磨製程。在一些實施例中,根據研磨製程,第一介電密封體E1的頂部表面與第一中介件I1和第二中介件I2的頂部表面基本上共面。如圖1C的放大圖中所繪示,根據研磨製程,金屬通孔MV12和金屬通孔MV22的頂部表面暴露。在一些實施例中,研磨製程可去除金屬通孔MV12和金屬通孔MV22上方的介電層直到金屬通孔MV12和金屬通孔MV22暴露為止。1C, a grinding process is performed on the first dielectric sealing body E1. In some embodiments, according to the grinding process, the top surface of the first dielectric sealing body E1 is substantially coplanar with the top surfaces of the first interposer I1 and the second interposer I2. As shown in the enlarged view of FIG. 1C, according to the grinding process, the top surfaces of the metal via MV12 and the metal via MV22 are exposed. In some embodiments, the grinding process can remove the dielectric layer above the metal via MV12 and the metal via MV22 until the metal via MV12 and the metal via MV22 are exposed.

參考圖1D,第一重佈線層結構RDL1形成在第一介電密封體E1、第一中介件I1以及第二中介件I2上方。在一些實例中,第一重佈線層結構RDL1被稱作“前側重佈線層結構”。在一些實施例中,第一重佈線層結構RDL1電連接到第一中介件I1的第一導電結構CS1和第二中介件I2的第二導電結構CS2。在一些實施例中,第一重佈線層結構RDL1包含包埋於聚合物層的重佈線層。重佈線層包含金屬線、金屬通孔、金屬襯墊和/或金屬接點。在一些實施例中,每一重佈線層包含Cu、Al、Ti、Ta、W、Ru、Co、Ni、類似物或其組合。在一些實施例中,晶種層和/或阻擋層可安置在每一重佈線層與相鄰聚合物層之間。晶種層可包含Ti/Cu。阻擋層可包含Ta、TaN、Ti、TiN、CoW或其組合。在一些實施例中,每一聚合物層包含光敏材料,例如聚苯並惡唑(PBO)、聚醯亞胺(PI)、苯並環丁烯(BCB)、類似物或其組合。可根據需要用介電層或絕緣層替換第一重佈線層結構RDL1的聚合物層。1D, the first redistribution layer structure RDL1 is formed above the first dielectric sealing body E1, the first interposer I1, and the second interposer I2. In some examples, the first redistribution layer structure RDL1 is referred to as a “front-side redistribution layer structure”. In some embodiments, the first redistribution layer structure RDL1 is electrically connected to the first conductive structure CS1 of the first interposer I1 and the second conductive structure CS2 of the second interposer I2. In some embodiments, the first redistribution layer structure RDL1 includes a redistribution layer embedded in a polymer layer. The rewiring layer includes metal lines, metal vias, metal pads and/or metal contacts. In some embodiments, each rewiring layer includes Cu, Al, Ti, Ta, W, Ru, Co, Ni, the like, or a combination thereof. In some embodiments, a seed layer and/or barrier layer may be disposed between each redistribution layer and an adjacent polymer layer. The seed layer may include Ti/Cu. The barrier layer may include Ta, TaN, Ti, TiN, CoW, or a combination thereof. In some embodiments, each polymer layer includes a photosensitive material, such as polybenzoxazole (PBO), polyimide (PI), benzocyclobutene (BCB), the like, or a combination thereof. The polymer layer of the first redistribution layer structure RDL1 can be replaced with a dielectric layer or an insulating layer as needed.

隨後,凸塊B1形成在第一重佈線層結構RDL1上方且電連接到第一重佈線層結構RDL1。在一些實施例中,凸塊B1包含焊料凸塊,且/或可包含金屬柱(例如銅柱)、形成在金屬柱上的焊料蓋和/或類似物。在一些實例中,凸塊B1被稱作“微凸塊”。凸塊B1可通過例如蒸鍍、電鍍、球滴或絲網印刷等合適的製程形成。Subsequently, the bump B1 is formed over the first redistribution layer structure RDL1 and is electrically connected to the first redistribution layer structure RDL1. In some embodiments, the bump B1 includes a solder bump, and/or may include a metal pillar (for example, a copper pillar), a solder cap formed on the metal pillar, and/or the like. In some examples, the bump B1 is referred to as a "micro bump". The bump B1 can be formed by a suitable process such as evaporation, electroplating, ball drop, or screen printing.

參考圖1E,至少一個第一晶粒C1、至少一個第二晶粒C2、至少一個第三晶粒C3、至少一個第四晶粒C4以及至少一個橋接結構(bridge structure)100形成在第一重佈線層結構RDL1上且通過凸塊B1電連接到所述第一重佈線層結構RDL1。1E, at least one first die C1, at least one second die C2, at least one third die C3, at least one fourth die C4, and at least one bridge structure 100 are formed in the first The wiring layer structure RDL1 is electrically connected to the first rewiring layer structure RDL1 through bumps B1.

第一晶粒C1可包含邏輯晶粒、記憶體晶粒、CPU、GPU、xPU、MEMS晶粒、SoC晶粒或類似晶粒。第一晶粒C1可包含各種被動微電子裝置和主動微電子裝置,例如電阻器、電容器、電感器、熔絲、二極體、P通道場效應電晶體(P-channel field effect transistor,PFET)、N通道場效應電晶體(N-channel field effect transistor,NFET)、金屬氧化物半導體FET(metal-oxide-semiconductor FET,MOSFET)、互補MOS(complementary MOS,CMOS)電晶體、高壓電晶體、高頻電晶體、其他合適的元件或其組合。相鄰的第一晶粒C1可具有相同或不同的功能。The first die C1 may include logic die, memory die, CPU, GPU, xPU, MEMS die, SoC die, or similar die. The first die C1 may include various passive microelectronic devices and active microelectronic devices, such as resistors, capacitors, inductors, fuses, diodes, and P-channel field effect transistors (PFETs) , N-channel field effect transistor (NFET), metal-oxide-semiconductor FET (MOSFET), complementary MOS (complementary MOS, CMOS) transistor, high-voltage transistor, High-frequency transistors, other suitable components or combinations thereof. Adjacent first dies C1 may have the same or different functions.

第二晶粒C2可包含邏輯晶粒、記憶體晶粒、CPU、GPU、xPU、MEMS晶粒、SoC晶粒或類似晶粒。第二晶粒C2可包含各種被動微電子裝置和主動微電子裝置,例如電阻器、電容器、電感器、熔絲、二極體、P通道場效應電晶體(PFET)、N通道場效應電晶體(NFET)、金屬氧化物半導體FET(MOSFET)、互補MOS(CMOS)電晶體、高壓電晶體、高頻電晶體、其他合適的元件或其組合。相鄰的第二晶粒C2可具有相同或不同的功能。The second die C2 may include logic die, memory die, CPU, GPU, xPU, MEMS die, SoC die or similar die. The second die C2 can include various passive microelectronic devices and active microelectronic devices, such as resistors, capacitors, inductors, fuses, diodes, P-channel field effect transistors (PFET), and N-channel field effect transistors. (NFET), Metal Oxide Semiconductor FET (MOSFET), Complementary MOS (CMOS) Transistor, High Voltage Transistor, High Frequency Transistor, other suitable components or combinations thereof. The adjacent second dies C2 may have the same or different functions.

在一些實施例中,第一晶粒C1和第二晶粒C2具有類似的功能。在其他實施例中,第一晶粒C1和第二晶粒C2具有不同的功能。此外,根據製程需求,第一晶粒C1的尺寸可與第二晶粒C2的尺寸類似或不同。尺寸可以是高度、寬度、大小、俯視圖面積或其組合。In some embodiments, the first die C1 and the second die C2 have similar functions. In other embodiments, the first die C1 and the second die C2 have different functions. In addition, according to process requirements, the size of the first die C1 may be similar to or different from the size of the second die C2. The size can be height, width, size, top view area, or a combination thereof.

第三晶粒C3可包含記憶體晶粒或記憶體堆疊,例如高頻寬記憶體(High Bandwidth Memory,HBM)立方體。記憶體堆疊中的記憶體晶片可具有相同或不同的高度。The third die C3 may include a memory die or a memory stack, such as a high bandwidth memory (HBM) cube. The memory chips in the memory stack can have the same or different heights.

第四晶粒C4可包含記憶體晶粒或記憶體堆疊,例如高頻寬記憶體(HBM)立方體。記憶體堆疊中的記憶體晶片可具有相同或不同的高度。The fourth die C4 may include a memory die or a memory stack, such as a high-bandwidth memory (HBM) cube. The memory chips in the memory stack can have the same or different heights.

此外,根據製程需求,第三晶粒C3的尺寸可與第四晶粒C4的尺寸類似或不同。尺寸可以是高度、寬度、大小、俯視圖面積或其組合。In addition, according to process requirements, the size of the third die C3 may be similar to or different from the size of the fourth die C4. The size can be height, width, size, top view area, or a combination thereof.

橋接結構100形成在第一重佈線層結構RDL1上方且形成在第一晶粒C1與第二晶粒C2之間。在一些實施例中,橋接結構100跨越第一中介件I1與第二中介件I2之間的第一介電密封體E1而形成。在一些實施例中,橋接結構100可放置為使得第一晶粒C1、第二晶粒C2、第三晶粒C3以及第四晶粒C4包圍橋接結構100。換句話說,橋接結構100、第一晶粒C1、第二晶粒C2、第三晶粒C3以及第四晶粒C4位於同一層級處。在一些實施例中,根據俯視圖,橋接結構100與第一中介件I1和第二中介件I2中的至少一個部分地交疊。The bridge structure 100 is formed above the first rewiring layer structure RDL1 and between the first die C1 and the second die C2. In some embodiments, the bridge structure 100 is formed across the first dielectric sealing body E1 between the first interposer I1 and the second interposer I2. In some embodiments, the bridge structure 100 may be placed such that the first die C1, the second die C2, the third die C3, and the fourth die C4 surround the bridge structure 100. In other words, the bridge structure 100, the first die C1, the second die C2, the third die C3, and the fourth die C4 are located at the same level. In some embodiments, according to a top view, the bridge structure 100 partially overlaps at least one of the first interposer I1 and the second interposer I2.

橋接結構100提供不同中介件、晶粒或晶粒堆疊之間的電佈線。橋接結構100可包含安置在半導體基底(例如矽基底)上/中的佈線圖案。佈線圖案包含基底穿孔、線、通孔、襯墊和/或接點。在一些實例中,橋接結構100被稱作“連接結構”、“橋接晶粒”或“矽橋接件”。The bridge structure 100 provides electrical wiring between different interposers, dies, or die stacks. The bridge structure 100 may include wiring patterns disposed on/in a semiconductor substrate (such as a silicon substrate). The wiring pattern includes substrate perforations, lines, vias, pads, and/or contacts. In some examples, the bridge structure 100 is referred to as a "connected structure", a "bridge die", or a "silicon bridge."

在一些實施例中,橋接結構100不含主動裝置(例如電晶體或類似物)和/或被動裝置(例如電阻器、電容器、電感器或類似物)。舉例來說,橋接結構100可僅包含用於信號傳輸而不用於其他功能的佈線圖案。在一些實例中,這種橋接結構100被稱作“無裝置的晶粒”。然而,本公開不限於此。在替代實施例中,橋接結構100可包含用以執行除信號傳輸以外的功能的主動裝置和/或被動裝置。In some embodiments, the bridge structure 100 does not contain active devices (such as transistors or the like) and/or passive devices (such as resistors, capacitors, inductors, or the like). For example, the bridge structure 100 may only include wiring patterns for signal transmission and not for other functions. In some instances, this bridge structure 100 is referred to as a "device-less die". However, the present disclosure is not limited to this. In alternative embodiments, the bridge structure 100 may include active devices and/or passive devices for performing functions other than signal transmission.

仍然參考圖1E,第一底填充料層UF1形成以填充第一重佈線層結構RDL1與第一晶粒C1、第二晶粒C2、第三晶粒C3、第四晶粒C4以及橋接結構100中的每一者之間的空間,且包圍凸塊B1。在一些實施例中,第一底填充料層UF1包含模塑化合物(例如環氧樹脂),且使用分配製程、注入製程和/或噴射製程形成。Still referring to FIG. 1E, the first underfill layer UF1 is formed to fill the first redistribution layer structure RDL1 and the first die C1, the second die C2, the third die C3, the fourth die C4, and the bridge structure 100 The space between each of them, and surrounds the bump B1. In some embodiments, the first underfill layer UF1 includes a molding compound (for example, epoxy resin), and is formed using a dispensing process, an injection process, and/or an injection process.

之後,第二介電密封體E2圍繞第一晶粒C1、第二晶粒C2、第三晶粒C3、第四晶粒C4以及橋接結構100形成。具體地說,第二介電密封體E2填充鄰近晶粒之間的以及橋接結構100與相鄰晶粒之間的間隙,且覆蓋第一晶粒C1、第二晶粒C2、第三晶粒C3、第四晶粒C4以及橋接結構100的側壁和頂部。在一些實施例中,第二介電密封體E2包含模塑化合物、模塑底填充料、樹脂或類似物。在一些實施例中,第二介電密封體E2包含聚合物材料,例如聚苯並惡唑(PBO)、聚醯亞胺、苯並環丁烯(BCB)、類似物或其組合。第二介電密封體E2可通過模塑製程,隨後是固化製程來形成。After that, the second dielectric sealing body E2 is formed around the first die C1, the second die C2, the third die C3, the fourth die C4 and the bridge structure 100. Specifically, the second dielectric sealing body E2 fills the gaps between the adjacent dies and between the bridge structure 100 and the adjacent dies, and covers the first dies C1, the second dies C2, and the third dies. C3, the fourth die C4, and the sidewall and top of the bridge structure 100. In some embodiments, the second dielectric sealing body E2 includes a molding compound, a molding underfill, a resin, or the like. In some embodiments, the second dielectric sealing body E2 includes a polymer material, such as polybenzoxazole (PBO), polyimide, benzocyclobutene (BCB), the like, or a combination thereof. The second dielectric sealing body E2 can be formed through a molding process followed by a curing process.

參考圖1F,載體CC2附接到第二介電密封體E2。在一些實施例中,載體CC2包含玻璃載體或合適的載體。在一些實施例中,載體CC2通過黏合層AL3附接到第二介電密封體E2。黏合層AL3可包含氧化物層、晶粒附接帶(DAF)或合適的黏著劑。1F, the carrier CC2 is attached to the second dielectric sealing body E2. In some embodiments, the carrier CC2 includes a glass carrier or a suitable carrier. In some embodiments, the carrier CC2 is attached to the second dielectric sealing body E2 through an adhesive layer AL3. The adhesion layer AL3 may include an oxide layer, die attach tape (DAF), or a suitable adhesive.

參考圖1G,圖1F的結構翻轉,且載體CC1從圖1F的結構剝離。在一個實施例中,剝離製程是雷射剝離製程或合適的製程。Referring to FIG. 1G, the structure of FIG. 1F is turned over, and the carrier CC1 is peeled from the structure of FIG. 1F. In one embodiment, the lift-off process is a laser lift-off process or a suitable process.

參考圖1H,分別從第一中介件I1和第二中介件I2去除黏合層AL1和黏合層AL2。在一些實施例中,去除製程為蝕刻製程和/或清潔製程。在一些實施例中,根據圖1H的去除製程,第一介電密封體E1的表面高於第一中介件I1和第二中介件I2的背側。1H, the adhesive layer AL1 and the adhesive layer AL2 are removed from the first intermediary member I1 and the second intermediary member I2, respectively. In some embodiments, the removal process is an etching process and/or a cleaning process. In some embodiments, according to the removal process of FIG. 1H, the surface of the first dielectric sealing body E1 is higher than the back sides of the first intermediary member I1 and the second intermediary member I2.

參考圖1I,對第一介電密封體E1、第一中介件I1以及第二中介件I2執行研磨製程。在一些實施例中,通過研磨製程薄化第一介電密封體E1、第一中介件I1的第一基底S1以及第二中介件I2的第二基底S2。在一些實施例中,根據圖1I的研磨製程,第一介電密封體E1的表面與第一中介件I1和第二中介件I2的背側基本上一樣高。Referring to FIG. 1I, a polishing process is performed on the first dielectric sealing body E1, the first intermediary member I1, and the second intermediary member I2. In some embodiments, the first dielectric sealing body E1, the first substrate S1 of the first interposer I1, and the second substrate S2 of the second interposer I2 are thinned by a grinding process. In some embodiments, according to the polishing process of FIG. 1I, the surface of the first dielectric sealing body E1 is substantially as high as the back sides of the first intermediary member I1 and the second intermediary member I2.

參考圖1J,對第一中介件I1和第二中介件I2執行拋光製程,直到第一基底穿孔TSV1和第二基底穿孔TSV2暴露為止。在一些實施例中,通過使用第一基底穿孔TSV1和第二基底穿孔TSV2作為拋光終止層來對第一中介件I1的第一基底S1和第二中介件I2的第二基底S2執行化學機械拋光(chemical mechanical polishing,CMP)製程。在一些實施例中,根據圖1J的拋光製程,第一介電密封體E1的表面高於第一中介件I1和第二中介件I2的背側。1J, a polishing process is performed on the first interposer I1 and the second interposer I2 until the first substrate through hole TSV1 and the second substrate through hole TSV2 are exposed. In some embodiments, chemical mechanical polishing is performed on the first substrate S1 of the first interposer I1 and the second substrate S2 of the second interposer I2 by using the first substrate through hole TSV1 and the second substrate through hole TSV2 as a polishing stop layer (Chemical mechanical polishing, CMP) process. In some embodiments, according to the polishing process of FIG. 1J, the surface of the first dielectric sealing body E1 is higher than the back sides of the first interposer I1 and the second interposer I2.

參考圖1K,對第一中介件I1的第一基底S1和第二中介件I2的第二基底S2執行凹陷製程,直到第一基底S1和第二基底S2的表面相對於第一基底穿孔TSV1和第二基底穿孔TSV2的表面凹陷為止。具體地說,第一基底穿孔TSV1和第二基底穿孔TSV2的底部部分分別由第一基底S1和第二基底S2暴露。在一些實施例中,凹陷製程包含回蝕製程或合適的製程。1K, a recessing process is performed on the first substrate S1 of the first interposer I1 and the second substrate S2 of the second interposer I2 until the surfaces of the first substrate S1 and the second substrate S2 are perforated with respect to the first substrate TSV1 and The surface of the second substrate through hole TSV2 is recessed. Specifically, the bottom portions of the first substrate through hole TSV1 and the second substrate through hole TSV2 are exposed by the first substrate S1 and the second substrate S2, respectively. In some embodiments, the recessing process includes an etch-back process or a suitable process.

之後,絕緣層IL保形地形成在第一中介件I1、第二中介件I2以及第一介電密封體E1上方。在一些實施例中,絕緣層IL包含聚合物材料,例如聚苯並惡唑(PBO)、聚醯亞胺(PI)或類似物。在其他實施例中,絕緣層IL包含無機材料,例如氧化矽、氮化矽、氮氧化矽或任何合適的介電材料。After that, the insulating layer IL is conformally formed over the first interposer I1, the second interposer I2, and the first dielectric sealing body E1. In some embodiments, the insulating layer IL includes a polymer material, such as polybenzoxazole (PBO), polyimide (PI), or the like. In other embodiments, the insulating layer IL includes an inorganic material, such as silicon oxide, silicon nitride, silicon oxynitride, or any suitable dielectric material.

參考圖1L,對絕緣層IL和第一介電密封體E1執行研磨製程和拋光製程,直到第一基底穿孔TSV1和第二基底穿孔TSV2暴露為止。在一些實施例中,對絕緣層IL和第一介電密封體E1執行輪碾製程,且接著通過使用第一基底穿孔TSV1和第二基底穿孔TSV2作為拋光終止層來對所述絕緣層IL和所述第一介電密封體E1執行化學機械拋光(CMP)製程。在一些實施例中,根據圖1L的研磨製程和拋光製程,第一介電密封體E1的表面與第一基底穿孔TSV1的表面、第二基底穿孔TSV2的表面、第一絕緣層IL1的表面以及第二絕緣層IL2的表面基本上共面。具體地說,第一基底穿孔TSV1的底部部分由第一絕緣層IL1包圍,且第二基底穿孔TSV2的底部部分由第二絕緣層IL2包圍。在一些實施例中,將第一絕緣層IL2視作第一中介件I1的部分,且將第二絕緣層IL2視作第二中介件IL2的部分。1L, a grinding process and a polishing process are performed on the insulating layer IL and the first dielectric sealing body E1 until the first substrate through hole TSV1 and the second substrate through hole TSV2 are exposed. In some embodiments, a wheel milling process is performed on the insulating layer IL and the first dielectric sealing body E1, and then the insulating layer IL and the second substrate through hole TSV1 and TSV2 are used as a polishing stop layer. The first dielectric sealing body E1 performs a chemical mechanical polishing (CMP) process. In some embodiments, according to the grinding process and polishing process of FIG. 1L, the surface of the first dielectric sealing body E1 and the surface of the first substrate through hole TSV1, the surface of the second substrate through hole TSV2, the surface of the first insulating layer IL1, and The surfaces of the second insulating layer IL2 are substantially coplanar. Specifically, the bottom portion of the first base through hole TSV1 is surrounded by the first insulating layer IL1, and the bottom portion of the second base through hole TSV2 is surrounded by the second insulating layer IL2. In some embodiments, the first insulating layer IL2 is regarded as a part of the first interposer I1, and the second insulating layer IL2 is regarded as a part of the second interposer IL2.

參考圖1M,第二重佈線層結構RDL2形成在第一介電密封體E1、第一中介件I1以及第二中介件I2上方。在一些實例中,第二重佈線層結構RDL2被稱作“背側重佈線層結構”。第二重佈線層結構RDL2電連接到第一中介件I1的第一基底穿孔TSV1和第二中介件I2的第二基底穿孔TSV2。在一些實施例中,第二重佈線層結構RDL2包含包埋於聚合物層的重佈線層。重佈線層包含金屬線、金屬通孔、金屬襯墊和/或金屬接點。在一些實施例中,每一重佈線層包含Cu、Al、Ti、Ta、W、Ru、Co、Ni、類似物或其組合。在一些實施例中,晶種層和/或阻擋層可安置在每一重佈線層與相鄰聚合物層之間。晶種層可包含Ti/Cu。阻擋層可包含Ta、TaN、Ti、TiN、CoW或其組合。在一些實施例中,每一聚合物層包含光敏材料,例如聚苯並惡唑(PBO)、聚醯亞胺(PI)、苯並環丁烯(BCB)、類似物或其組合。可根據需要用介電層或絕緣層替換第二重佈線層結構RDL2的聚合物層。1M, the second rewiring layer structure RDL2 is formed above the first dielectric sealing body E1, the first interposer I1, and the second interposer I2. In some examples, the second redistribution layer structure RDL2 is referred to as a "backside redistribution layer structure". The second redistribution layer structure RDL2 is electrically connected to the first base through hole TSV1 of the first interposer I1 and the second base through hole TSV2 of the second interposer I2. In some embodiments, the second redistribution layer structure RDL2 includes a redistribution layer embedded in a polymer layer. The rewiring layer includes metal lines, metal vias, metal pads and/or metal contacts. In some embodiments, each rewiring layer includes Cu, Al, Ti, Ta, W, Ru, Co, Ni, the like, or a combination thereof. In some embodiments, a seed layer and/or barrier layer may be disposed between each redistribution layer and an adjacent polymer layer. The seed layer may include Ti/Cu. The barrier layer may include Ta, TaN, Ti, TiN, CoW, or a combination thereof. In some embodiments, each polymer layer includes a photosensitive material, such as polybenzoxazole (PBO), polyimide (PI), benzocyclobutene (BCB), the like, or a combination thereof. The polymer layer of the second rewiring layer structure RDL2 can be replaced with a dielectric layer or an insulating layer as needed.

在一些實施例中,第二重佈線層結構RDL2的臨界尺寸不同於(例如大於)第一重佈線層結構RDL1的臨界尺寸。具體地說,第二重佈線層結構RDL2的金屬線、金屬通孔、金屬襯墊或金屬接點的寬度不同於(例如大於)第一重佈線層結構RDL1的金屬線、金屬通孔、金屬襯墊或金屬接點的寬度。In some embodiments, the critical dimension of the second rewiring layer structure RDL2 is different from (for example, greater than) the critical dimension of the first rewiring layer structure RDL1. Specifically, the width of the metal lines, metal vias, metal pads, or metal contacts of the second rewiring layer structure RDL2 is different from (for example, greater than) the metal lines, metal vias, metal contacts of the first rewiring layer structure RDL1. The width of the pad or metal contact.

參考圖1N,聚合物圖案PM形成在第二重佈線層RDL2上方。在一些實施例中,每一聚合物圖案包含光敏材料,例如聚苯並惡唑(PBO)、聚醯亞胺(PI)、苯並環丁烯(BCB)、類似物或其組合。在一些實施例中,聚合物圖案PM為多個單獨環形圖案。Referring to FIG. 1N, a polymer pattern PM is formed over the second rewiring layer RDL2. In some embodiments, each polymer pattern includes a photosensitive material, such as polybenzoxazole (PBO), polyimide (PI), benzocyclobutene (BCB), the like, or a combination thereof. In some embodiments, the polymer pattern PM is a plurality of individual ring patterns.

參考圖1O,凸塊B2形成在第二重佈線層結構RDL2上方且電連接到第二重佈線層結構RDL2。在一些實施例中,每一凸塊B2安置在對應的聚合物圖案PM內且與所述對應的聚合物圖案PM實體接觸。在一些實施例中,凸塊B2包含焊料凸塊,且/或可包含金屬柱(例如銅柱)、形成在金屬柱上的焊料蓋和/或類似物。在一些實例中,凸塊B2被稱作“可控塌陷晶片連接(controlled collapse chip connection,C4)凸塊”。凸塊B2可通過例如蒸鍍、電鍍、球滴或絲網印刷等合適的製程形成。Referring to FIG. 10, bumps B2 are formed over the second rewiring layer structure RDL2 and are electrically connected to the second rewiring layer structure RDL2. In some embodiments, each bump B2 is disposed in the corresponding polymer pattern PM and is in physical contact with the corresponding polymer pattern PM. In some embodiments, the bump B2 includes a solder bump, and/or may include a metal pillar (for example, a copper pillar), a solder cap formed on the metal pillar, and/or the like. In some examples, the bump B2 is referred to as a "controlled collapse chip connection (C4) bump". The bump B2 can be formed by a suitable process such as evaporation, electroplating, ball drop, or screen printing.

參考圖1P,晶圓帶(wafer tape)T附接到第二重佈線層結構RDL2和凸塊B2。在一些實施例中,晶圓帶T包含PVC、聚烯烴、聚乙烯或其他合適的材料。1P, a wafer tape T is attached to the second rewiring layer structure RDL2 and bump B2. In some embodiments, the wafer tape T includes PVC, polyolefin, polyethylene, or other suitable materials.

之後,載體CC2從第二介電密封體E2剝離。在一個實施例中,剝離製程是雷射剝離製程或合適的製程。接著從第二介電密封體E2去除黏合層AL3。在一些實施例中,去除製程為蝕刻製程和/或清潔製程。After that, the carrier CC2 is peeled off from the second dielectric sealing body E2. In one embodiment, the lift-off process is a laser lift-off process or a suitable process. Next, the adhesive layer AL3 is removed from the second dielectric sealing body E2. In some embodiments, the removal process is an etching process and/or a cleaning process.

參考圖1Q,圖1P的結構翻轉,且對第二介電密封體E2執行研磨製程。在一些實施例中,根據圖1Q的研磨製程,第二介電密封體E2的頂部表面與第一晶粒C1、第二晶粒C2、第三晶粒C3、第四晶粒C4以及橋接晶粒100的頂部表面基本上共面。Referring to FIG. 1Q, the structure of FIG. 1P is turned over, and a polishing process is performed on the second dielectric sealing body E2. In some embodiments, according to the polishing process of FIG. 1Q, the top surface of the second dielectric sealing body E2 is in contact with the first die C1, the second die C2, the third die C3, the fourth die C4, and the bridge die. The top surface of the pellet 100 is substantially coplanar.

參考圖1R,沿著切割線CL對圖1Q的結構執行晶圓切割製程,以便切穿第二介電密封體E2、第一重佈線層結構RDL1、第一介電密封體E1以及第二重佈線層結構RDL2。在晶圓切割製程或單一化製程之後,相鄰的半導體封裝件PK彼此分隔開。1R, a wafer dicing process is performed on the structure of FIG. 1Q along the cutting line CL to cut through the second dielectric sealing body E2, the first redistribution layer structure RDL1, the first dielectric sealing body E1, and the second heavy Wiring layer structure RDL2. After the wafer dicing process or the singulation process, adjacent semiconductor packages PK are separated from each other.

參考圖1S,板基底(board substrate)200形成在第二重佈線層結構RDL2下方且電連接到第二重佈線層結構RDL2。在一些實施例中,板基底200通過凸塊B2接合到第二重佈線層結構RDL2。1S, a board substrate 200 is formed under the second rewiring layer structure RDL2 and electrically connected to the second rewiring layer structure RDL2. In some embodiments, the board substrate 200 is bonded to the second rewiring layer structure RDL2 through bumps B2.

在一些實施例中,板基底200包含核心層和核心層的相對側上的兩個堆積層。在一些實施例中,核心層包含預浸料(其含有環氧樹脂、樹脂和/或玻璃纖維)、聚醯亞胺、光影像介電質(photo image dielectric,PID)、類似物或其組合。在一些實施例中,堆積層包含預浸料(其含有環氧樹脂、樹脂和/或玻璃纖維)、聚醯亞胺、聚苯並惡唑(PBO)、苯並環丁烯(BCB)、氮化物(例如氮化矽)、氧化物(例如氧化矽)、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼矽酸鹽玻璃(borosilicate glass,BSG)、硼摻雜磷矽酸鹽玻璃(boron-doped phosphosilicate glass,BPSG)、類似物或其組合。核心層的材料可與堆積層的材料不同。在一些實施例中,板基底200包含導線圖案202,所述導線圖案202穿透核心層和堆積層以用於在不同中介件、晶粒或晶粒堆疊之間提供電佈線。導線圖案202包含線、通孔、襯墊和/或接點。在一些實例中,板基底200被稱作“印刷電路板(printed circuit board,PCB)”。在其他實施例中,可根據需要省略板基底200的核心層,且這種板基底200被稱作“無核心板基底”。In some embodiments, the board substrate 200 includes a core layer and two stacked layers on opposite sides of the core layer. In some embodiments, the core layer includes a prepreg (which contains epoxy, resin, and/or glass fiber), polyimide, photo image dielectric (PID), the like, or a combination thereof . In some embodiments, the build-up layer comprises prepreg (which contains epoxy resin, resin and/or glass fiber), polyimide, polybenzoxazole (PBO), benzocyclobutene (BCB), Nitride (such as silicon nitride), oxide (such as silicon oxide), phosphosilicate glass (PSG), borosilicate glass (BSG), boron-doped phosphosilicate glass ( boron-doped phosphosilicate glass, BPSG), analogs or combinations thereof. The material of the core layer may be different from the material of the build-up layer. In some embodiments, the board substrate 200 includes a wire pattern 202 that penetrates the core layer and the build-up layer to provide electrical wiring between different interposers, dies, or die stacks. The wire pattern 202 includes lines, vias, pads, and/or contacts. In some examples, the board substrate 200 is referred to as a “printed circuit board (PCB)”. In other embodiments, the core layer of the board substrate 200 may be omitted as needed, and this board substrate 200 is referred to as a "core-free board substrate".

之後,第二底填充料層UF2形成以填充第二重佈線層結構RDL2與板基底200之間的空間,且包圍凸塊B2。在一些實施例中,第二底填充料層UF2包含模塑化合物(例如環氧樹脂),且使用分配製程、注入製程和/或噴射製程形成。After that, the second underfill material layer UF2 is formed to fill the space between the second redistribution layer structure RDL2 and the board substrate 200 and surround the bump B2. In some embodiments, the second underfill layer UF2 includes a molding compound (for example, epoxy resin), and is formed using a dispensing process, an injection process, and/or an injection process.

隨後,凸塊B3形成在板基底200下方且電連接到板基底200。在一些實施例中,每一凸塊B3電連接到板基底200的導線圖案202。在一些實施例中,凸塊B3包含焊料凸塊,且/或可包含金屬柱(例如銅柱)、形成在金屬柱上的焊料蓋和/或類似物。在一些實例中,凸塊B3被稱作“球柵陣列封裝(ball grid array,BGA)球”。凸塊B3可通過例如蒸鍍、電鍍、球滴或絲網印刷等合適的製程形成。在一些實施例中,如此完成本公開的半導體封裝件10。Subsequently, the bump B3 is formed under the board substrate 200 and is electrically connected to the board substrate 200. In some embodiments, each bump B3 is electrically connected to the wire pattern 202 of the board substrate 200. In some embodiments, the bump B3 includes a solder bump, and/or may include a metal pillar (for example, a copper pillar), a solder cap formed on the metal pillar, and/or the like. In some examples, the bump B3 is referred to as a "ball grid array (BGA) ball". The bump B3 can be formed by a suitable process such as evaporation, electroplating, ball drop, or screen printing. In some embodiments, the semiconductor package 10 of the present disclosure is thus completed.

圖2為根據一些實施例的半導體封裝件的示意性俯視圖。為說明的簡單和清楚起見,圖2的俯視圖中僅繪示幾個元件。在一些實施例中,圖1S為半導體封裝件的沿著圖2的線I-I的橫截面圖。FIG. 2 is a schematic top view of a semiconductor package according to some embodiments. For simplicity and clarity of description, only a few elements are shown in the top view of FIG. 2. In some embodiments, FIG. 1S is a cross-sectional view of the semiconductor package along the line I-I of FIG. 2.

參考圖1S和圖2,半導體封裝件10包含包埋於第一介電密封體E1的第一中介件I1和第二中介件I2。半導體封裝件10進一步包含安置在第一中介件I1上方且電連接到第一中介件I1的第一晶粒C1以及安置在第二中介件I2上方且電連接到第二中介件I2的第二晶粒C2。半導體封裝件10進一步包含安置在第一中介件I1和第二中介件I2上方且與第一中介件I1和第二中介件I2部分地交疊的橋接結構100。橋接結構安置在第一晶粒C1與第二晶粒C2之間。在一些實施例中,半導體封裝件10進一步包含安置在第一中介件I1上方且在第一晶粒C1旁邊的多個第三晶粒C3,以及安置在第二中介件I2上方且在第二晶粒C2旁邊的多個第四晶粒C4。在一些實施例中,第三晶粒C3處於第一晶粒C1的兩側,且第四晶粒C4處於第二晶粒C2的兩側。在一些實施例中,半導體封裝件10進一步包含安置在第一中介件I1和第二中介件I2下方的板基底200。1S and FIG. 2, the semiconductor package 10 includes a first interposer I1 and a second interposer I2 embedded in a first dielectric sealing body E1. The semiconductor package 10 further includes a first die C1 disposed above the first interposer I1 and electrically connected to the first interposer I1, and a second die C1 disposed above the second interposer I2 and electrically connected to the second interposer I2. Die C2. The semiconductor package 10 further includes a bridge structure 100 disposed above the first interposer I1 and the second interposer I2 and partially overlapping the first interposer I1 and the second interposer I2. The bridge structure is arranged between the first die C1 and the second die C2. In some embodiments, the semiconductor package 10 further includes a plurality of third dies C3 disposed above the first interposer I1 and beside the first die C1, and a plurality of third dies C3 disposed above the second interposer I2 and next to the second interposer I2. A plurality of fourth dies C4 beside the die C2. In some embodiments, the third crystal grain C3 is located on both sides of the first crystal grain C1, and the fourth crystal grain C4 is located on both sides of the second crystal grain C2. In some embodiments, the semiconductor package 10 further includes a board substrate 200 disposed under the first interposer I1 and the second interposer I2.

對於較大的光罩(reticle)尺寸CoWoS製程,光罩上的顆粒將損害良率。在本公開的一些實施例中,中介件設置為具有較小尺寸的小晶片(chiplets),且半導體晶粒設置在中介件上方且通過半導體晶粒與下伏的中介件之間的至少一個橋接結構彼此電連接。通過這種方式,可顯著地改進生產良率。在一些實施例中,由於本公開的中介件為小晶片,而不是常規的單塊中介件,因此本公開的半導體封裝件被稱為“基底上扇出型晶片(chip on fan-out on substrate,CoFoS)”結構。For a larger reticle size CoWoS process, particles on the reticle will damage the yield. In some embodiments of the present disclosure, the interposer is configured as chiplets with a smaller size, and the semiconductor die is disposed above the interposer and passes through at least one bridge between the semiconductor die and the underlying interposer The structures are electrically connected to each other. In this way, the production yield can be significantly improved. In some embodiments, since the interposer of the present disclosure is a small chip, rather than a conventional monolithic interposer, the semiconductor package of the present disclosure is referred to as a "chip on fan-out on substrate. , CoFoS)” structure.

可根據需要修改圖2的俯視圖配置。下文出於說明的目的提供若干實例,且所述實例並不理解為限制本公開的範圍。一般技術人員應瞭解,半導體封裝件的其他俯視圖配置是可能的。The top view configuration of Figure 2 can be modified as needed. Several examples are provided below for illustrative purposes, and the examples are not construed as limiting the scope of the present disclosure. The ordinary skilled person should understand that other top view configurations of the semiconductor package are possible.

在一些實施例中,如圖3中所繪示,在一個橋接結構100的一側處設置四個第一晶粒C1,且在相同橋接結構100的另一側處設置四個第二晶粒C2。在一些實施例中,圖1S為半導體封裝件的沿著圖3的線I-I的橫截面圖。In some embodiments, as shown in FIG. 3, four first dies C1 are provided on one side of one bridge structure 100, and four second dies C1 are provided on the other side of the same bridge structure 100 C2. In some embodiments, FIG. 1S is a cross-sectional view of the semiconductor package along the line I-I of FIG. 3.

在一些實施例中,如圖4中所繪示,在兩個橋接結構100的一側處設置一個第一晶粒C1,且在相同橋接結構100的另一側處設置一個第二晶粒C2。在一些實施例中,圖1S為半導體封裝件的沿著圖4的線I-I的橫截面圖。In some embodiments, as shown in FIG. 4, a first die C1 is provided on one side of two bridge structures 100, and a second die C2 is provided on the other side of the same bridge structure 100 . In some embodiments, FIG. 1S is a cross-sectional view of the semiconductor package along the line I-I of FIG. 4.

在一些實施例中,如圖5中所繪示,在兩個橋接結構100的一側處設置兩個第一晶粒C1,且在相同橋接結構100的另一側處設置一個第二晶粒C2。在一些實施例中,圖1S為半導體封裝件的沿著圖5的線I-I的橫截面圖。In some embodiments, as shown in FIG. 5, two first die C1 are provided on one side of two bridge structures 100, and one second die is provided on the other side of the same bridge structure 100 C2. In some embodiments, FIG. 1S is a cross-sectional view of the semiconductor package along the line I-I of FIG. 5.

鑒於上述情況,可根據製程需求調整橋接結構的數目和橋接結構的兩側處的半導體晶粒的數目。此外,橋接結構的兩側處的半導體晶粒(例如第一晶粒到第四晶粒)的數目可根據製程需求而相同或不同。在一些實施例中,橋接結構的邊緣與相鄰半導體晶粒的邊緣基本上對準,如圖2和圖3中所繪示。在其他實施例中,橋接結構的邊緣不與相鄰半導體晶粒的邊緣對準,如圖4和圖5中所繪示。舉例來說,橋接結構的邊緣可相對於相鄰半導體晶粒的邊緣凹陷。替代地,橋接結構的至少一部分可延伸超出相鄰半導體晶粒的邊緣。In view of the foregoing, the number of bridge structures and the number of semiconductor dies on both sides of the bridge structure can be adjusted according to process requirements. In addition, the number of semiconductor dies (for example, the first dies to the fourth dies) at both sides of the bridge structure may be the same or different according to process requirements. In some embodiments, the edge of the bridge structure is substantially aligned with the edge of the adjacent semiconductor die, as shown in FIGS. 2 and 3. In other embodiments, the edge of the bridge structure is not aligned with the edge of the adjacent semiconductor die, as shown in FIGS. 4 and 5. For example, the edge of the bridge structure may be recessed relative to the edge of the adjacent semiconductor die. Alternatively, at least a portion of the bridge structure may extend beyond the edge of the adjacent semiconductor die.

圖6示出根據一些實施例的形成半導體封裝件的方法。儘管方法被說明和/或描述為一系列動作或事件,但應瞭解,所述方法不限於所說明的次序或動作。因此,在一些實施例中,動作可以與所說明不同的次序進行,且/或可同時進行。此外,在一些實施例中,所說明的動作或事件可細分成多個動作或事件,其可與其他動作或子動作在不同時間進行或同時進行。在一些實施例中,可省略一些說明的動作或事件,且可包含其他未說明的動作或事件。FIG. 6 illustrates a method of forming a semiconductor package according to some embodiments. Although the method is illustrated and/or described as a series of actions or events, it should be understood that the method is not limited to the illustrated order or actions. Therefore, in some embodiments, the actions may be performed in a different order than illustrated, and/or may be performed simultaneously. In addition, in some embodiments, the described actions or events can be subdivided into multiple actions or events, which can be performed at different times or simultaneously with other actions or sub-actions. In some embodiments, some illustrated actions or events may be omitted, and other unillustrated actions or events may be included.

在動作302處,設置第一中介件和第二中介件。圖1A示出對應於動作302的一些實施例的橫截面圖。At act 302, a first intermediary piece and a second intermediary piece are set. FIG. 1A shows a cross-sectional view of some embodiments corresponding to act 302.

在動作304處,在第一中介件和第二中介件的第一側處在第一中介件和第二中介件上方形成第一重佈線層結構,其中第一重佈線層結構電連接到第一中介件和第二中介件。在一些實施例中,第一側是第一中介件和第二中介件的前側。圖1B到圖1D示出對應於動作304的一些實施例的橫截面圖。At act 304, a first redistribution layer structure is formed over the first interposer and the second interposer at the first side of the first interposer and the second interposer, wherein the first redistribution layer structure is electrically connected to the first interposer. An intermediary piece and a second intermediary piece. In some embodiments, the first side is the front side of the first intermediary member and the second intermediary member. FIGS. 1B to 1D show cross-sectional views of some embodiments corresponding to act 304.

在動作306處,將第一晶粒、第二晶粒以及至少一個橋接結構放置在第一重佈線層結構上方,其中第一中介件通過第一晶粒與第二晶粒之間的至少一個橋接結構電連接到第二中介件。圖1E示出對應於動作306的一些實施例的橫截面圖。放置第一晶粒、第二晶粒以及至少一個橋接結構的順序不受本公開限制。在一些實施例中,第一晶粒對應於第一中介件且電連接到第一中介件,且第二晶粒對應於第二中介件且電連接到第二中介件。At act 306, the first die, the second die, and at least one bridge structure are placed above the first redistribution layer structure, wherein the first interposer passes through at least one of the first die and the second die. The bridge structure is electrically connected to the second interposer. FIG. 1E shows a cross-sectional view of some embodiments corresponding to act 306. The order of placing the first die, the second die, and the at least one bridge structure is not limited by the present disclosure. In some embodiments, the first die corresponds to the first interposer and is electrically connected to the first interposer, and the second die corresponds to the second interposer and is electrically connected to the second interposer.

在動作308處,將第三晶粒和第四晶粒放置在第一重佈線層結構上方,其中第三晶粒對應於第一中介件且電連接到第一中介件,且第四晶粒對應於第二中介件且電連接到第二中介件。圖1E示出對應於動作308的一些實施例的橫截面圖。在一些實施例中,第三晶粒和第一晶粒提供不同功能且位於同一側處,且第四晶粒和第二晶粒提供不同功能且位於同一側處。放置第三晶粒和第四晶粒的順序不受本公開限制。此外,動作306和動作308的順序可根據需要而交換。在一些實施例中,動作308為任選的,且可根據需要而省略。At act 308, the third die and the fourth die are placed above the first rewiring layer structure, where the third die corresponds to the first interposer and is electrically connected to the first interposer, and the fourth die Corresponding to the second intermediate member and electrically connected to the second intermediate member. FIG. 1E shows a cross-sectional view of some embodiments corresponding to act 308. In some embodiments, the third die and the first die provide different functions and are located at the same side, and the fourth die and the second die provide different functions and are located at the same side. The order of placing the third die and the fourth die is not limited by the present disclosure. In addition, the order of action 306 and action 308 can be exchanged as needed. In some embodiments, action 308 is optional and can be omitted as needed.

在310處,在第一中介件和第二中介件的與第一側相對的第二側處在第一中介件和第二中介件上方形成第二重佈線層結構。在一些實施例中,第二側是第一中介件和第二中介件的背側。圖1F到圖1M示出對應於動作310的一些實施例的橫截面圖。At 310, a second redistribution layer structure is formed over the first interposer and the second interposer at a second side of the first interposer and the second interposer opposite to the first side. In some embodiments, the second side is the back side of the first intermediary member and the second intermediary member. 1F to 1M show cross-sectional views of some embodiments corresponding to act 310.

在312處,將板基底接合到第二重佈線層結構。圖1N到圖1S示出對應於動作312的一些實施例的橫截面圖。At 312, the board base is bonded to the second redistribution layer structure. FIGS. 1N to 1S show cross-sectional views of some embodiments corresponding to act 312.

可根據製程需求修改圖1S的半導體封裝件。圖7到圖10為根據替代實施例的各種半導體封裝件的橫截面圖。圖7到圖10的半導體封裝件有利於減小成本和/或減小尺寸。下文詳細地描述所述半導體封裝件之間的差異,且本文中並不重複類似性。The semiconductor package of FIG. 1S can be modified according to process requirements. 7 to 10 are cross-sectional views of various semiconductor packages according to alternative embodiments. The semiconductor packages of FIGS. 7 to 10 are beneficial to reduce cost and/or size. The differences between the semiconductor packages are described in detail below, and the similarities are not repeated herein.

圖7的半導體封裝件11與圖1S的半導體封裝件10類似,且其間的差異在於:圖1S的半導體封裝件10設置有第一重佈線層結構RDL1,但任選地,從圖7的半導體封裝件11省略第一重佈線層結構RDL1。具體地說,在圖7的半導體封裝件11中,第一晶粒C1和第三晶粒C3與第一中介件I1實體接觸,第二晶粒C2和第四晶粒C4與第二中介件I2實體接觸,且橋接結構100與第一中介件I1和第二中介件I2中的每一者實體接觸。在一些實施例中,在第一介電密封體E1和第二介電密封體E2由相同材料製成時,第一介電密封體E1與第二介電密封體E2之間的介面可能為不可見的。在一些實例中,可將第一介電密封體E1和第二介電密封體E2視作單個模塑層。The semiconductor package 11 of FIG. 7 is similar to the semiconductor package 10 of FIG. 1S, and the difference therebetween is: the semiconductor package 10 of FIG. The package 11 omits the first rewiring layer structure RDL1. Specifically, in the semiconductor package 11 of FIG. 7, the first die C1 and the third die C3 are in physical contact with the first interposer I1, and the second die C2 and the fourth die C4 are in physical contact with the second interposer. I2 is in physical contact, and the bridge structure 100 is in physical contact with each of the first intermediary I1 and the second intermediary I2. In some embodiments, when the first dielectric sealing body E1 and the second dielectric sealing body E2 are made of the same material, the interface between the first dielectric sealing body E1 and the second dielectric sealing body E2 may be Invisible. In some examples, the first dielectric sealing body E1 and the second dielectric sealing body E2 may be regarded as a single molding layer.

圖8的半導體封裝件12與圖1S的半導體封裝件10類似,且其間的差異在於:圖1S的半導體封裝件10設置有第二重佈線層結構RDL2,但任選地,從圖8的半導體封裝件12省略第二重佈線層結構。具體地說,在圖8的半導體封裝件12中,凸塊B2與第一中介件I1的第一基底穿孔TSV1和第二中介件I2的第二基底穿孔TSV2實體接觸。The semiconductor package 12 of FIG. 8 is similar to the semiconductor package 10 of FIG. 1S, and the difference therebetween is: the semiconductor package 10 of FIG. The package 12 omits the second rewiring layer structure. Specifically, in the semiconductor package 12 of FIG. 8, the bump B2 is in physical contact with the first base through hole TSV1 of the first interposer I1 and the second base through hole TSV2 of the second interposer I2.

圖9的半導體封裝件13與圖1S的半導體封裝件10類似,且其間的差異在於:圖1S的半導體封裝件10設置有第一重佈線層結構RDL1和第二重佈線層RDL2,但任選地,從圖9的半導體封裝件13省略第一重佈線層結構RDL1和第二重佈線層RDL2。具體地說,在圖9的半導體封裝件13中,第一晶粒C1和第三晶粒C3與第一中介件I1實體接觸,第二晶粒C2和第四晶粒C4與第二中介件I2實體接觸,且橋接結構100與第一中介件I1和第二中介件I2中的每一者實體接觸。此外,在圖9的半導體封裝件13中,凸塊B2與第一中介件I1的第一基底穿孔TSV1和第二中介件I2的第二基底穿孔TSV2實體接觸。The semiconductor package 13 of FIG. 9 is similar to the semiconductor package 10 of FIG. 1S, and the difference therebetween is: the semiconductor package 10 of FIG. 1S is provided with a first rewiring layer structure RDL1 and a second rewiring layer RDL2, but optional Ground, the first rewiring layer structure RDL1 and the second rewiring layer RDL2 are omitted from the semiconductor package 13 of FIG. 9. Specifically, in the semiconductor package 13 of FIG. 9, the first die C1 and the third die C3 are in physical contact with the first interposer I1, and the second die C2 and the fourth die C4 are in physical contact with the second interposer. I2 is in physical contact, and the bridge structure 100 is in physical contact with each of the first intermediary I1 and the second intermediary I2. In addition, in the semiconductor package 13 of FIG. 9, the bump B2 is in physical contact with the first base through hole TSV1 of the first interposer I1 and the second base through hole TSV2 of the second interposer I2.

圖10的半導體封裝件14與圖1S的半導體封裝件10類似,且其間的差異在於:圖1S的半導體封裝件10設置有第一重佈線層結構RDL1、凸塊B2以及板基底200,但任選地,從圖10的半導體封裝件14省略第一重佈線層結構RDL1、凸塊B2以及板基底200。具體地說,凸塊B3與第二重佈線層結構RDL2實體接觸。The semiconductor package 14 of FIG. 10 is similar to the semiconductor package 10 of FIG. 1S, and the difference therebetween is: the semiconductor package 10 of FIG. Optionally, the first redistribution layer structure RDL1, bump B2, and the board substrate 200 are omitted from the semiconductor package 14 of FIG. 10. Specifically, the bump B3 is in physical contact with the second redistribution layer structure RDL2.

下文參考圖1S、圖2到圖5以及圖7到圖10描述本公開的半導體封裝件。應理解,本公開不受下文所描述的結構限制。對於結構的額外實施例,可在結構中添加額外特徵,且可替換或去除下文所描述的特徵中的一些。Hereinafter, the semiconductor package of the present disclosure is described with reference to FIGS. 1S, FIGS. 2 to 5, and FIGS. 7 to 10. It should be understood that the present disclosure is not limited by the structure described below. For additional embodiments of the structure, additional features may be added to the structure, and some of the features described below may be replaced or removed.

在一些實施例中,半導體封裝件10/11/12/13/14包含第一中介件I1、第二中介件I2、第一晶粒C1、第二晶粒C2以及至少一個橋接結構100。第一中介件I1和第二中介件I2包埋於第一介電密封體E1。第一晶粒C1安置在第一中介件I1上方且電連接到第一中介件I1。第二晶粒C2安置在第二中介件I2上方且電連接到第二中介件I2。至少一個橋接結構100安置在第一晶粒C1與第二晶粒C2之間。In some embodiments, the semiconductor package 10/11/12/13/14 includes a first interposer I1, a second interposer I2, a first die C1, a second die C2, and at least one bridge structure 100. The first intermediate piece I1 and the second intermediate piece I2 are embedded in the first dielectric sealing body E1. The first die C1 is disposed above the first interposer I1 and is electrically connected to the first interposer I1. The second die C2 is disposed above the second interposer I2 and is electrically connected to the second interposer I2. At least one bridge structure 100 is disposed between the first die C1 and the second die C2.

在一些實施例中,半導體封裝件10/11/12/13/14進一步包含包圍第一晶粒C1和第二晶粒C2的第二介電密封體E2。第二介電密封體E2中包含的材料可與第一介電密封體E1中包含的材料相同或不同。In some embodiments, the semiconductor package 10/11/12/13/14 further includes a second dielectric sealing body E2 surrounding the first die C1 and the second die C2. The material contained in the second dielectric sealing body E2 may be the same as or different from the material contained in the first dielectric sealing body E1.

在一些實施例中,半導體封裝件10/12進一步包含安置在第一中介件I1與第一晶粒C1之間以及第二中介件I2與第二晶粒C2之間的第一重佈線層結構RDL1。In some embodiments, the semiconductor package 10/12 further includes a first rewiring layer structure disposed between the first interposer I1 and the first die C1 and between the second interposer I2 and the second die C2 RDL1.

在一些實施例中,第一晶粒C1和第二晶粒C2安置在第一中介件I1和第二中介件I2的第一側(例如前側)處,且半導體封裝件10/11/14進一步包含安置在第一中介件I1和第二中介件I2的與第一側(例如前側)相對的第二側(例如背側)處的第二重佈線層結構RDL2。In some embodiments, the first die C1 and the second die C2 are disposed on the first side (for example, the front side) of the first interposer I1 and the second interposer I2, and the semiconductor package 10/11/14 further It includes a second redistribution layer structure RDL2 disposed at a second side (for example, the back side) opposite to the first side (for example, the front side) of the first interposer I1 and the second interposer I2.

在一些實施例中,第一晶粒C1和第二晶粒C2安置在第一中介件I1和第二中介件I2的第一側(例如前側)處,且半導體封裝件10/11/12/13進一步包含安置在第一中介件I1和第二中介件I2的與第一側(例如前側)相對的第二側(例如背側)處的板基底200。In some embodiments, the first die C1 and the second die C2 are disposed at the first side (for example, the front side) of the first interposer I1 and the second interposer I2, and the semiconductor package 10/11/12/ 13 further includes a board base 200 disposed at a second side (for example, the back side) opposite to the first side (for example, the front side) of the first intermediate part I1 and the second intermediate part I2.

在一些實施例中,半導體封裝件10進一步包含安置在第一中介件I1與第一晶粒C1之間以及第二中介件I2與第二晶粒C2之間的第一重佈線層結構RDL1,以及安置在板基底200與第一中介件I1和第二中介件I2中的每一者之間的第二重佈線層結構RDL2。在一些實施例中,第二重佈線層結構RDL2的臨界尺寸大於第一重佈線層結構RDL1的臨界尺寸。In some embodiments, the semiconductor package 10 further includes a first rewiring layer structure RDL1 disposed between the first interposer I1 and the first die C1 and between the second interposer I2 and the second die C2, And a second rewiring layer structure RDL2 disposed between the board substrate 200 and each of the first interposer I1 and the second interposer I2. In some embodiments, the critical dimension of the second rewiring layer structure RDL2 is greater than the critical dimension of the first rewiring layer structure RDL1.

在一些實施例中,半導體封裝件進一步包含安置在第一重佈線層結構RDL1與第一晶粒C1、第二晶粒C2以及橋接結構100中的每一者之間的凸塊B1。在一些實施例中,半導體封裝件進一步包含安置在第二重佈線層結構RDL2與板基底200之間的凸塊B2。在一些實施例中,半導體封裝件進一步包含安置在板基底200的與凸塊B2相對的側處的凸塊B3。在一些實施例中,凸塊B3的尺寸大於凸塊B2的尺寸,且凸塊B2的尺寸大於凸塊B1的尺寸。尺寸可以是高度、寬度、大小、俯視圖面積或其組合。In some embodiments, the semiconductor package further includes bumps B1 disposed between the first redistribution layer structure RDL1 and each of the first die C1, the second die C2, and the bridge structure 100. In some embodiments, the semiconductor package further includes bumps B2 disposed between the second redistribution layer structure RDL2 and the board substrate 200. In some embodiments, the semiconductor package further includes a bump B3 disposed at the side of the board substrate 200 opposite to the bump B2. In some embodiments, the size of the bump B3 is larger than the size of the bump B2, and the size of the bump B2 is larger than the size of the bump B1. The size can be height, width, size, top view area, or a combination thereof.

在一些實施例中,如圖1S中所繪示,根據製程需求,可省略位於橋接結構100與下伏的第一介電密封體E1(處於第一中介件與第二中介件之間)之間的區R1中的凸塊B1。在一些實施例中,如圖1S中所繪示,根據製程需求,可省略位於板基底200與上覆的第一介電密封體E1(處於第一中介件與第二中介件之間)之間的區R2中的凸塊B2。In some embodiments, as shown in FIG. 1S, according to process requirements, the first dielectric sealing body E1 (between the first interposer and the second interposer) located between the bridge structure 100 and the underlying one can be omitted B1 in the middle area R1. In some embodiments, as shown in FIG. 1S, according to process requirements, the first dielectric sealing body E1 (between the first interposer and the second interposer) located between the board substrate 200 and the overlying B2 in the middle area R2.

在一些實施例(未繪示)中,半導體封裝件進一步包含處於與第一中介件I1和第二中介件I2相同層級的介電穿孔(through dielectric via,TDV)。舉例來說,介電穿孔穿透第一介電密封體E1,且位於第一中介件I1和第二中介件I2旁邊,以用於提供晶粒或晶粒堆疊之間或晶粒與板基底之間的電佈線。介電穿孔可穿透第一中介件I1與第二中介件I2之間的第一介電密封體E1。介電穿孔可穿透第一中介件I1和第二中介件I2的外側處的第一介電密封體E1。In some embodiments (not shown), the semiconductor package further includes a through dielectric via (TDV) at the same level as the first interposer I1 and the second interposer I2. For example, the dielectric through hole penetrates the first dielectric sealing body E1, and is located beside the first interposer I1 and the second interposer I2, and is used to provide between the die or die stack or between the die and the board substrate Electrical wiring between. The dielectric perforation can penetrate the first dielectric sealing body E1 between the first intermediary member I1 and the second intermediary member I2. The dielectric perforation can penetrate the first dielectric sealing body E1 at the outer side of the first intermediary member I1 and the second intermediary member I2.

在一些實施例中,第一中介件I1和第二中介件I2中的每一者為被動中介件。在一些實施例中,第一中介件I1和第二中介件I2中的每一者為主動中介件。在一些實施例中,第一中介件I1與第二中介件I2之間的間隙寬度基本上等於或小於約150微米。In some embodiments, each of the first intermediary I1 and the second intermediary I2 is a passive intermediary. In some embodiments, each of the first intermediary I1 and the second intermediary I2 is an active intermediary. In some embodiments, the width of the gap between the first intermediary member I1 and the second intermediary member I2 is substantially equal to or less than about 150 microns.

在一些實施例中,第一中介件I1包含第一基底穿孔TSV1和第一基底穿孔TSV1上方的第一導電結構CS1,且第一導電結構CS1電連接到第一晶粒C1。在一些實施例中,第二中介件I2包含第二基底穿孔TSV2和第二基底穿孔TSV2上方的第二導電結構CS2,且第二導電結構CS2電連接到第二晶粒C2。In some embodiments, the first interposer I1 includes a first substrate through hole TSV1 and a first conductive structure CS1 above the first substrate through hole TSV1, and the first conductive structure CS1 is electrically connected to the first die C1. In some embodiments, the second interposer I2 includes a second substrate through hole TSV2 and a second conductive structure CS2 above the second substrate through hole TSV2, and the second conductive structure CS2 is electrically connected to the second die C2.

在一些實施例中,第一導電結構CS1的接近於第一晶粒C1的臨界尺寸大於第一導電結構CS1的遠離第一晶粒C1的臨界尺寸。在一些實施例中,第二導電結構CS2的接近於第二晶粒C2的臨界尺寸大於第二導電結構CS2的遠離第二晶粒C2的臨界尺寸。In some embodiments, the critical dimension of the first conductive structure CS1 close to the first crystal grain C1 is larger than the critical dimension of the first conductive structure CS1 far away from the first crystal grain C1. In some embodiments, the critical dimension of the second conductive structure CS2 close to the second crystal grain C2 is greater than the critical dimension of the second conductive structure CS2 far away from the second crystal grain C2.

在一些實施例中,半導體封裝件10/11/12/13/14進一步包含安置在第一中介件I1上方且在第一晶粒C1旁邊的第三晶粒C3,以及安置在第二中介件I2上方且在第二晶粒C2旁邊的第四晶粒C4。在一些實施例中,第一晶粒C1和第二晶粒C2為SoC晶粒,且第三晶粒C3和第四晶粒C4為記憶體晶粒。In some embodiments, the semiconductor package 10/11/12/13/14 further includes a third die C3 disposed above the first interposer I1 and beside the first die C1, and a third die C3 disposed on the second interposer The fourth die C4 above I2 and beside the second die C2. In some embodiments, the first die C1 and the second die C2 are SoC die, and the third die C3 and the fourth die C4 are memory die.

在一些實施例中,至少一個橋接結構100為無裝置的晶粒。在一些實施例中,至少一個橋接結構100與第一中介件I1和第二中介件I2中的每一者部分地交疊。然而,本公開不限於此。在其他實施例(未繪示)中,多個橋接結構100中的至少一個可因空間限制而僅與第一中介件I1和第二中介件I2中的一個部分地交疊。在其他實施例中,多個橋接結構100中的至少一個可與第一中介件I1與第二中介件I2之間的第一介電密封體E1部分地交疊。In some embodiments, at least one bridge structure 100 is a deviceless die. In some embodiments, at least one bridging structure 100 partially overlaps each of the first interposer I1 and the second interposer I2. However, the present disclosure is not limited to this. In other embodiments (not shown), at least one of the plurality of bridge structures 100 may only partially overlap with one of the first intermediary member I1 and the second intermediary member I2 due to space constraints. In other embodiments, at least one of the plurality of bridging structures 100 may partially overlap the first dielectric sealing body E1 between the first interposer I1 and the second interposer I2.

在上述實施例中,至少一個橋接結構配置成提供單獨中介件之間的電佈線,且因此提供單獨半導體晶粒之間的電佈線。然而,本公開不限於此。在其他實施例中,可省略橋接結構,且半導體晶粒中的一個提供與橋接結構類似的功能。在一些實例中,這種半導體晶粒被稱作“含裝置的橋接晶粒”。In the above-described embodiments, at least one bridge structure is configured to provide electrical wiring between individual interposers, and thus between individual semiconductor dies. However, the present disclosure is not limited to this. In other embodiments, the bridge structure may be omitted, and one of the semiconductor dies provides a function similar to the bridge structure. In some instances, such semiconductor dies are referred to as "device-containing bridge dies."

圖11為根據其他實施例的半導體封裝件的橫截面圖。圖11的結構與圖1S的結構類似,因此下文詳細地描述其間的差異且本文中並不重複類似性。圖11的元件的材料和配置可指前述實施例中描述的類似元件的材料和配置。FIG. 11 is a cross-sectional view of a semiconductor package according to other embodiments. The structure of FIG. 11 is similar to the structure of FIG. 1S, so the differences therebetween are described in detail below and the similarities are not repeated herein. The materials and configurations of the elements of FIG. 11 may refer to the materials and configurations of similar elements described in the foregoing embodiments.

圖12為根據其他實施例的半導體封裝件的示意性俯視圖。為說明的簡單和清楚起見,圖12的俯視圖中僅繪示幾個元件。在一些實施例中,圖11為半導體封裝件的沿著圖12的線I-I的橫截面圖。FIG. 12 is a schematic top view of a semiconductor package according to other embodiments. For simplicity and clarity of description, only a few elements are shown in the top view of FIG. 12. In some embodiments, FIG. 11 is a cross-sectional view of the semiconductor package along the line I-I of FIG. 12.

參考圖11和圖12,半導體封裝件20包含包埋於第一介電密封體E1的第一中介件I1和第二中介件I2。半導體封裝件20進一步包含安置在第一中介件I1上方且電連接到第一中介件I1的第一晶粒C1以及安置在第二中介件I2上方且電連接到第二中介件I2的第二晶粒C2。第一晶粒C1和第二晶粒C2中的一個(例如在本實例中,第二晶粒C2)配置成將第一中介件I1電連接到第二中介件I2。具體地說,第二晶粒C2形成在第二中介件I2上方,橫向跨越第一中介件I1與第二中介件I2之間的第一介電密封體E1,且延伸到第一中介件I1上。在一些實施例中,半導體封裝件20進一步包含安置在第一中介件I1上方且在第一晶粒C1旁邊的多個第三晶粒C3,以及安置在第二中介件I2上方且在第二晶粒C2旁邊的多個第四晶粒C4。在一些實施例中,第三晶粒C3處於第一晶粒C1的兩側,且第四晶粒C4處於第二晶粒C2的兩側。在一些實施例中,半導體封裝件20進一步包含安置在第一中介件I1和第二中介件I2下方的板基底200。11 and 12, the semiconductor package 20 includes a first interposer I1 and a second interposer I2 embedded in a first dielectric sealing body E1. The semiconductor package 20 further includes a first die C1 disposed above the first interposer I1 and electrically connected to the first interposer I1, and a second die C1 disposed above the second interposer I2 and electrically connected to the second interposer I2. Die C2. One of the first die C1 and the second die C2 (for example, the second die C2 in this example) is configured to electrically connect the first interposer I1 to the second interposer I2. Specifically, the second die C2 is formed above the second intermediate member I2, crosses the first dielectric sealing body E1 between the first intermediate member I1 and the second intermediate member I2 laterally, and extends to the first intermediate member I1 superior. In some embodiments, the semiconductor package 20 further includes a plurality of third dies C3 disposed above the first interposer I1 and beside the first die C1, and a plurality of third dies C3 disposed above the second interposer I2 and next to the second interposer I2. A plurality of fourth dies C4 beside the die C2. In some embodiments, the third crystal grain C3 is located on both sides of the first crystal grain C1, and the fourth crystal grain C4 is located on both sides of the second crystal grain C2. In some embodiments, the semiconductor package 20 further includes a board substrate 200 disposed under the first interposer I1 and the second interposer I2.

在本公開的一些實施例中,中介件設置為具有較小尺寸的小晶片,且半導體晶粒設置在中介件上方且通過半導體晶粒和下伏中介件中的至少一個彼此電連接。通過這種方式,可顯著地改進生產良率。在一些實施例中,由於本公開的中介件為小晶片,而不是常規的單塊中介件,因此本公開的半導體封裝件被稱為“基底上扇出型晶片(CoFoS)”結構。In some embodiments of the present disclosure, the interposer is configured as a small chip with a smaller size, and the semiconductor die is disposed above the interposer and is electrically connected to each other through at least one of the semiconductor die and the underlying interposer. In this way, the production yield can be significantly improved. In some embodiments, since the interposer of the present disclosure is a small chip, rather than a conventional monolithic interposer, the semiconductor package of the present disclosure is referred to as a "fan-out chip on substrate (CoFoS)" structure.

可根據需要修改圖12的俯視圖配置。下文出於說明的目的提供一個修改實例,且所述修改實例並不理解為限制本公開的範圍。一般技術人員應瞭解,半導體封裝件的其他俯視圖配置是可能的。The top view configuration of Figure 12 can be modified as needed. A modified example is provided below for illustrative purposes, and the modified example is not construed as limiting the scope of the present disclosure. The ordinary skilled person should understand that other top view configurations of the semiconductor package are possible.

在一些實施例中,如圖13中所繪示,四個第一晶粒C1設置在第一中介件I1上方,且兩個第二晶粒C2設置在第二中介件I2上方並延伸到第一中介件I1上。在一些實施例中,圖11為半導體封裝件的沿著圖13的線I-I的橫截面圖。In some embodiments, as shown in FIG. 13, four first dies C1 are disposed above the first interposer I1, and two second dies C2 are disposed above the second interposer I2 and extend to the first interposer I2. An intermediary piece I1 is on. In some embodiments, FIG. 11 is a cross-sectional view of the semiconductor package along the line I-I of FIG. 13.

鑒於上述情況,兩個相對側處的半導體晶粒(例如第一晶粒到第四晶粒)的數目可根據製程需求而相同或不同。In view of the foregoing, the number of semiconductor dies (for example, the first to fourth dies) at the two opposite sides may be the same or different according to process requirements.

形成半導體封裝件20的方法與形成圖1A到圖1S中描述的半導體封裝件10的方法類似,不同之處在於在圖1E的晶粒取放操作期間省略橋接結構。具體地說,半導體封裝件20的第二晶粒C2提供半導體封裝件10的橋接結構100的功能。The method of forming the semiconductor package 20 is similar to the method of forming the semiconductor package 10 described in FIGS. 1A to 1S, except that the bridge structure is omitted during the die pick-and-place operation of FIG. 1E. Specifically, the second die C2 of the semiconductor package 20 provides the function of the bridge structure 100 of the semiconductor package 10.

圖14示出根據其他實施例的形成半導體封裝件的方法。儘管方法被說明和/或描述為一系列動作或事件,但應瞭解,所述方法不限於所說明的次序或動作。因此,在一些實施例中,動作可以與所說明不同的次序進行,且/或可同時進行。此外,在一些實施例中,所說明的動作或事件可細分成多個動作或事件,其可與其他動作或子動作在不同時間進行或同時進行。在一些實施例中,可省略一些說明的動作或事件,且可包含其他未說明的動作或事件。FIG. 14 shows a method of forming a semiconductor package according to other embodiments. Although the method is illustrated and/or described as a series of actions or events, it should be understood that the method is not limited to the illustrated order or actions. Therefore, in some embodiments, the actions may be performed in a different order than illustrated, and/or may be performed simultaneously. In addition, in some embodiments, the described actions or events can be subdivided into multiple actions or events, which can be performed at different times or simultaneously with other actions or sub-actions. In some embodiments, some illustrated actions or events may be omitted, and other unillustrated actions or events may be included.

在動作402處,設置第一中介件和第二中介件。At act 402, a first mediator and a second mediator are set.

在動作404處,在第一中介件和第二中介件的第一側處在第一中介件和第二中介件上方形成第一重佈線層結構,其中第一重佈線層結構電連接到第一中介件和第二中介件。在一些實施例中,第一側是第一中介件和第二中介件的前側。At act 404, a first redistribution layer structure is formed over the first interposer and the second interposer at the first side of the first interposer and the second interposer, wherein the first redistribution layer structure is electrically connected to the first interposer. An intermediary piece and a second intermediary piece. In some embodiments, the first side is the front side of the first intermediary member and the second intermediary member.

在動作406處,將第一晶粒和第二晶粒放置在第一重佈線層結構上方,其中第一中介件通過第二晶粒電連接到第二中介件。放置第一晶粒和第二晶粒的順序不受本公開限制。在一些實施例中,第一晶粒對應於第一中介件且電連接到第一中介件,且第二晶粒對應於第二中介件且電連接到第一中介件和第二中介件。At act 406, the first die and the second die are placed above the first redistribution layer structure, wherein the first interposer is electrically connected to the second interposer through the second die. The order of placing the first die and the second die is not limited by the present disclosure. In some embodiments, the first die corresponds to the first intermediate member and is electrically connected to the first intermediate member, and the second die corresponds to the second intermediate member and is electrically connected to the first intermediate member and the second intermediate member.

在動作408處,將第三晶粒和第四晶粒放置在第一重佈線層結構上方,其中第三晶粒對應於第一中介件且電連接到第一中介件,且第四晶粒對應於第二中介件且電連接到第二中介件。在一些實施例中,第三晶粒和第一晶粒提供不同功能且位於同一側處,且第四晶粒和第二晶粒提供不同功能且位於同一側處。放置第三晶粒和第四晶粒的順序不受本公開限制。此外,動作406和動作408的順序可根據需要而交換。在一些實施例中,動作408為任選的,且可根據需要而省略。At act 408, the third die and the fourth die are placed above the first rewiring layer structure, where the third die corresponds to the first interposer and is electrically connected to the first interposer, and the fourth die Corresponding to the second intermediate member and electrically connected to the second intermediate member. In some embodiments, the third die and the first die provide different functions and are located at the same side, and the fourth die and the second die provide different functions and are located at the same side. The order of placing the third die and the fourth die is not limited by the present disclosure. In addition, the order of action 406 and action 408 can be exchanged as needed. In some embodiments, action 408 is optional and can be omitted as needed.

在動作410處,在第一中介件和第二中介件的與第一側相對的第二側處在第一中介件和第二中介件上方形成第二重佈線層結構。在一些實施例中,第二側是第一中介件和第二中介件的背側。At act 410, a second redistribution layer structure is formed over the first interposer and the second interposer at a second side of the first interposer and the second interposer opposite to the first side. In some embodiments, the second side is the back side of the first intermediary member and the second intermediary member.

在動作412處,將板基底接合到第二重佈線層結構。At act 412, the board substrate is bonded to the second redistribution layer structure.

可根據製程需求修改圖11的半導體封裝件。圖15到圖18為根據替代實施例的各種半導體封裝件的橫截面圖。圖15到圖18的半導體封裝件有利於減小成本和/或減小尺寸。下文詳細地描述所述半導體封裝件之間的差異,且本文中並不重複類似性。The semiconductor package of FIG. 11 can be modified according to process requirements. 15 to 18 are cross-sectional views of various semiconductor packages according to alternative embodiments. The semiconductor packages of FIGS. 15 to 18 are advantageous for reducing cost and/or size. The differences between the semiconductor packages are described in detail below, and the similarities are not repeated herein.

圖15的半導體封裝件21與圖11的半導體封裝件20類似,且其間的差異在於:圖11的半導體封裝件20設置有第一重佈線層結構RDL1,但任選地,從圖15的半導體封裝件21省略第一重佈線層結構RDL1。具體地說,在圖15的半導體封裝件21中,第一晶粒C1和第三晶粒C3與第一中介件I1實體接觸,第二晶粒C2與第一中介件I1和第二中介件I2實體接觸,且第四晶粒C4與第二中介件I2實體接觸。The semiconductor package 21 of FIG. 15 is similar to the semiconductor package 20 of FIG. 11, and the difference therebetween is: the semiconductor package 20 of FIG. The package 21 omits the first rewiring layer structure RDL1. Specifically, in the semiconductor package 21 of FIG. 15, the first die C1 and the third die C3 are in physical contact with the first interposer I1, and the second die C2 is in contact with the first interposer I1 and the second interposer. I2 is in physical contact, and the fourth die C4 is in physical contact with the second intermediary I2.

圖16的半導體封裝件22與圖11的半導體封裝件20類似,且其間的差異在於:圖11的半導體封裝件20設置有第二重佈線層結構RDL2,但任選地,從圖16的半導體封裝件22省略第二重佈線層結構RDL2。具體地說,在圖16的半導體封裝件22中,凸塊B2與第一中介件I1的第一基底穿孔TSV1和第二中介件I2的第二基底穿孔TSV2實體接觸。The semiconductor package 22 of FIG. 16 is similar to the semiconductor package 20 of FIG. 11, and the difference therebetween is: the semiconductor package 20 of FIG. The package 22 omits the second rewiring layer structure RDL2. Specifically, in the semiconductor package 22 of FIG. 16, the bump B2 is in physical contact with the first base through hole TSV1 of the first interposer I1 and the second base through hole TSV2 of the second interposer I2.

圖17的半導體封裝件23與圖11的半導體封裝件20類似,且其間的差異在於:圖11的半導體封裝件20設置有第一重佈線層結構RDL1和第二重佈線層RDL2,但任選地,從圖17的半導體封裝件23省略第一重佈線層結構RDL1和第二重佈線層RDL2。具體地說,在圖17的半導體23中,第一晶粒C1和第三晶粒C3與第一中介件I1實體接觸,第二晶粒C2與第一中介件I1和第二中介件I2實體接觸,且第四晶粒C4與第二中介件I2實體接觸。此外,在圖17的半導體封裝件23中,凸塊B2與第一中介件I1的第一基底穿孔TSV1和第二中介件I2的第二基底穿孔TSV2實體接觸。The semiconductor package 23 of FIG. 17 is similar to the semiconductor package 20 of FIG. 11, and the difference therebetween is that the semiconductor package 20 of FIG. 11 is provided with a first rewiring layer structure RDL1 and a second rewiring layer RDL2, but optional Ground, the first rewiring layer structure RDL1 and the second rewiring layer RDL2 are omitted from the semiconductor package 23 of FIG. 17. Specifically, in the semiconductor 23 of FIG. 17, the first die C1 and the third die C3 are in physical contact with the first interposer I1, and the second die C2 is in physical contact with the first interposer I1 and the second interposer I2. And the fourth die C4 is in physical contact with the second interposer I2. In addition, in the semiconductor package 23 of FIG. 17, the bump B2 is in physical contact with the first base through hole TSV1 of the first interposer I1 and the second base through hole TSV2 of the second interposer I2.

圖18的半導體封裝件24與圖11的半導體封裝件20類似,且其間的差異在於:圖11的半導體封裝件20設置有第一重佈線層結構RDL1、凸塊B2以及板基底200,但任選地,從圖18的半導體封裝件24省略第一重佈線層結構RDL1、凸塊B2以及板基底200。具體地說,凸塊B3與第二重佈線層結構RDL2實體接觸。The semiconductor package 24 of FIG. 18 is similar to the semiconductor package 20 of FIG. 11, and the difference therebetween is: the semiconductor package 20 of FIG. Optionally, the first rewiring layer structure RDL1, bump B2, and board substrate 200 are omitted from the semiconductor package 24 of FIG. 18. Specifically, the bump B3 is in physical contact with the second redistribution layer structure RDL2.

下文參考圖11到圖13以及圖15到圖18描述本公開的半導體封裝件。應理解,本公開不受下文所描述的結構限制。對於結構的額外實施例,可在結構中添加額外特徵,且可替換或去除下文所描述的特徵中的一些。Hereinafter, the semiconductor package of the present disclosure will be described with reference to FIGS. 11 to 13 and FIGS. 15 to 18. It should be understood that the present disclosure is not limited by the structure described below. For additional embodiments of the structure, additional features may be added to the structure, and some of the features described below may be replaced or removed.

在一些實施例中,半導體封裝件20/21/22/23包含板基底200、第一中介件I1、第二中介件I2、第一晶粒C1以及第二晶粒C2。在一些實施例中,板基底200包含核心層和核心層的相對側上的兩個堆積層。在其他實施例中,可根據需要省略板基底200的核心層,且這種板基底200被稱作“無核心板基底”。第一中介件I1和第二中介件I2安置在板基底200上方。第一晶粒C1安置在第一中介件I1上方。第二晶粒C2安置在第二中介件I2上方且延伸到第一中介件I1上。在一些實施例中,第二晶粒C2與第一中介件I1和第二中介件I2中的每一者部分地交疊。In some embodiments, the semiconductor package 20/21/22/23 includes a board substrate 200, a first interposer I1, a second interposer I2, a first die C1, and a second die C2. In some embodiments, the board substrate 200 includes a core layer and two stacked layers on opposite sides of the core layer. In other embodiments, the core layer of the board substrate 200 may be omitted as needed, and this board substrate 200 is referred to as a "core-free board substrate". The first intermediate piece I1 and the second intermediate piece I2 are arranged above the board base 200. The first die C1 is arranged above the first interposer I1. The second die C2 is arranged above the second interposer I2 and extends to the first interposer I1. In some embodiments, the second die C2 partially overlaps each of the first interposer I1 and the second interposer I2.

在一些實施例中,半導體封裝件20/21/22/23/24進一步包含包圍第一中介件I1和第二中介件I2的第一介電密封體E1,以及包圍第一晶粒C1和第二晶粒C2的第二介電密封體E2。第二介電密封體E2中包含的材料可與第一介電密封體E1中包含的材料相同或不同。In some embodiments, the semiconductor package 20/21/22/23/24 further includes a first dielectric sealing body E1 surrounding the first interposer I1 and the second interposer I2, and surrounding the first die C1 and the second interposer. A second dielectric sealing body E2 of two crystal grains C2. The material contained in the second dielectric sealing body E2 may be the same as or different from the material contained in the first dielectric sealing body E1.

在一些實施例中,半導體封裝件20/22進一步包含安置在第一中介件I1與第一晶粒C1之間以及第二中介件I2與第二晶粒C2之間的第一重佈線層結構RDL1。In some embodiments, the semiconductor package 20/22 further includes a first rewiring layer structure disposed between the first interposer I1 and the first die C1 and between the second interposer I2 and the second die C2 RDL1.

在一些實施例中,半導體封裝件20/21/24進一步包含安置在板基底200與第一中介件I1和第二中介件I2中的每一者之間的第二重佈線層結構RDL2。In some embodiments, the semiconductor package 20/21/24 further includes a second redistribution layer structure RDL2 disposed between the board substrate 200 and each of the first interposer I1 and the second interposer I2.

在一些實施例中,第二重佈線層結構RDL2的臨界尺寸大於第一重佈線層結構RDL1的臨界尺寸。In some embodiments, the critical dimension of the second rewiring layer structure RDL2 is greater than the critical dimension of the first rewiring layer structure RDL1.

在一些實施例中,半導體封裝件進一步包含安置在第一重佈線層結構RDL1與第一晶粒C1和第二晶粒C2中的每一者之間的凸塊B1。在一些實施例中,半導體封裝件進一步包含安置在第二重佈線層結構RDL2與板基底200之間的凸塊B2。在一些實施例中,半導體封裝件進一步包含安置在板基底200的與凸塊B2相對的側處的凸塊B3。在一些實施例中,凸塊B3的尺寸大於凸塊B2的尺寸,且凸塊B2的尺寸大於凸塊B1的尺寸。尺寸可以是高度、寬度、大小、俯視圖面積或其組合。In some embodiments, the semiconductor package further includes bumps B1 disposed between the first rewiring layer structure RDL1 and each of the first die C1 and the second die C2. In some embodiments, the semiconductor package further includes bumps B2 disposed between the second redistribution layer structure RDL2 and the board substrate 200. In some embodiments, the semiconductor package further includes a bump B3 disposed at the side of the board substrate 200 opposite to the bump B2. In some embodiments, the size of the bump B3 is larger than the size of the bump B2, and the size of the bump B2 is larger than the size of the bump B1. The size can be height, width, size, top view area, or a combination thereof.

在一些實施例中,如圖11中所繪示,根據製程需求,可省略位於第二晶粒C2與下伏的第一介電密封體E1(處於第一中介件與第二中介件之間)之間的區R1中的凸塊B1。在一些實施例中,如圖11中所繪示,根據製程需求,可省略位於板基底200與上覆的第一介電密封體E1(處於第一中介件與第二中介件之間)之間的區R2中的凸塊B2。In some embodiments, as shown in FIG. 11, according to process requirements, the second die C2 and the underlying first dielectric sealing body E1 (between the first interposer and the second interposer) can be omitted. ) B1 in the area between R1. In some embodiments, as shown in FIG. 11, according to process requirements, the first dielectric sealing body E1 (between the first interposer and the second interposer) located between the board substrate 200 and the overlying B2 in the middle area R2.

在一些實施例(未繪示)中,半導體封裝件進一步包含處於與第一中介件I1和第二中介件I2相同層級的介電穿孔(TDV)。舉例來說,介電穿孔穿透第一介電密封體E1,且位於第一中介件I1和第二中介件I2旁邊,以用於提供晶粒或晶粒堆疊之間或晶粒與板基底之間的電佈線。介電穿孔可穿透第一中介件I1與第二中介件I2之間的第一介電密封體E1。介電穿孔可穿透第一中介件I1和第二中介件I2的外側處的第一介電密封體E1。In some embodiments (not shown), the semiconductor package further includes a dielectric via (TDV) at the same level as the first interposer I1 and the second interposer I2. For example, the dielectric through hole penetrates the first dielectric sealing body E1, and is located beside the first interposer I1 and the second interposer I2, and is used to provide between the die or die stack or between the die and the board substrate Electrical wiring between. The dielectric perforation can penetrate the first dielectric sealing body E1 between the first intermediary member I1 and the second intermediary member I2. The dielectric perforation can penetrate the first dielectric sealing body E1 at the outer side of the first intermediary member I1 and the second intermediary member I2.

在一些實施例中,第一中介件I1和第二中介件I2中的每一者為被動中介件。在一些實施例中,第一中介件I1和第二中介件I2中的每一者為主動中介件。在一些實施例中,第一中介件I1與第二中介件I2之間的間隙寬度基本上等於或小於約150微米。In some embodiments, each of the first intermediary I1 and the second intermediary I2 is a passive intermediary. In some embodiments, each of the first intermediary I1 and the second intermediary I2 is an active intermediary. In some embodiments, the width of the gap between the first intermediary member I1 and the second intermediary member I2 is substantially equal to or less than about 150 microns.

在一些實施例中,第一中介件I1包含第一基底穿孔TSV1和第一基底穿孔TSV1上方的第一導電結構CS1,且第一導電結構CS1電連接到第一晶粒C1。在一些實施例中,第二中介件I2包含第二基底穿孔TSV2和第二基底穿孔TSV2上方的第二導電結構CS2,且第二導電結構CS2電連接到第二晶粒C2。In some embodiments, the first interposer I1 includes a first substrate through hole TSV1 and a first conductive structure CS1 above the first substrate through hole TSV1, and the first conductive structure CS1 is electrically connected to the first die C1. In some embodiments, the second interposer I2 includes a second substrate through hole TSV2 and a second conductive structure CS2 above the second substrate through hole TSV2, and the second conductive structure CS2 is electrically connected to the second die C2.

在一些實施例中,第一導電結構CS1的接近於第一晶粒C1的臨界尺寸大於第一導電結構CS1的遠離第一晶粒C1的臨界尺寸。在一些實施例中,第二導電結構CS2的接近於第二晶粒C2的臨界尺寸大於第二導電結構CS2的遠離第二晶粒C2的臨界尺寸。In some embodiments, the critical dimension of the first conductive structure CS1 close to the first crystal grain C1 is larger than the critical dimension of the first conductive structure CS1 far away from the first crystal grain C1. In some embodiments, the critical dimension of the second conductive structure CS2 close to the second crystal grain C2 is greater than the critical dimension of the second conductive structure CS2 far away from the second crystal grain C2.

在一些實施例中,半導體封裝件20/21/22/23/24進一步包含安置在第一中介件I1上方且在第一晶粒C1旁邊的第三晶粒C3,以及安置在第二中介件I2上方且在第二晶粒C2旁邊的第四晶粒C4。在一些實施例中,第一晶粒C1和第二晶粒C2為SoC晶粒,且第三晶粒C3和第四晶粒C4為記憶體晶粒。In some embodiments, the semiconductor package 20/21/22/23/24 further includes a third die C3 disposed above the first interposer I1 and beside the first die C1, and a third die C3 disposed on the second interposer The fourth die C4 above I2 and beside the second die C2. In some embodiments, the first die C1 and the second die C2 are SoC die, and the third die C3 and the fourth die C4 are memory die.

鑒於前述內容,在本公開的一些實施例中,中介件設置為具有較小尺寸的小晶片,且半導體晶粒設置在中介件上方且通過至少一個橋接結構或半導體晶粒中的一個彼此電連接。在一些實施例中,本公開的中介件在中介件的取放操作之前經歷測試過程,因此本公開的所有中介件均為已知的良好中介件。通過這種方式,可顯著地改進生產良率,且可極大地減小生產成本。In view of the foregoing, in some embodiments of the present disclosure, the interposer is provided as a small chip with a smaller size, and the semiconductor die is disposed above the interposer and is electrically connected to each other through at least one bridge structure or one of the semiconductor die . In some embodiments, the intermediary of the present disclosure undergoes a testing process before the pick-and-place operation of the intermediary, so all the intermediaries of the present disclosure are known good intermediaries. In this way, the production yield can be significantly improved, and the production cost can be greatly reduced.

本公開涵蓋以上實例的許多變化。應理解,不同實施例可具有不同優點,且並非所有實施例必須要求特定優點。This disclosure covers many variations of the above examples. It should be understood that different embodiments may have different advantages, and not all embodiments must require specific advantages.

根據本公開的一些實施例,一種半導體封裝件包含第一中介件、第二中介件、第一晶粒、第二晶粒以及至少一個橋接結構。第一中介件和第二中介件包埋於第一介電密封體。第一晶粒安置在第一中介件上方且電連接到第一中介件。第二晶粒安置在第二中介件上方且電連接到第二中介件。至少一個橋接結構安置在第一晶粒與第二晶粒之間。According to some embodiments of the present disclosure, a semiconductor package includes a first interposer, a second interposer, a first die, a second die, and at least one bridge structure. The first intermediate member and the second intermediate member are embedded in the first dielectric sealing body. The first die is arranged above the first intermediate member and is electrically connected to the first intermediate member. The second die is arranged above the second interposer and is electrically connected to the second interposer. At least one bridge structure is disposed between the first die and the second die.

在一些實施例中,更包括第一重佈線層結構,所述第一重佈線層結構安置在所述第一中介件與所述第一晶粒之間以及所述第二中介件與所述第二晶粒之間。在一些實施例中,所述第一晶粒和所述第二晶粒安置在所述第一中介件和所述第二中介件的第一側處,且所述半導體封裝件更包括安置在所述第一中介件和所述第二中介件的與所述第一側相對的第二側處的第二重佈線層結構。在一些實施例中,所述第一晶粒和所述第二晶粒安置在所述第一中介件和所述第二中介件的第一側處,且所述半導體封裝件更包括安置在所述第一中介件和所述第二中介件的與所述第一側相對的第二側處的板基底。在一些實施例中,更包括:第一重佈線層結構,安置在所述第一中介件與所述第一晶粒之間以及所述第二中介件與所述第二晶粒之間;以及第二重佈線層結構,安置在所述板基底與所述第一中介件和所述第二中介件中的每一者之間。在一些實施例中,所述第二重佈線層結構的臨界尺寸大於所述第一重佈線層結構的臨界尺寸。在一些實施例中,所述第一中介件和所述第二中介件中的每一者為被動中介件。在一些實施例中,所述第一中介件和所述第二中介件中的每一者為主動中介件。在一些實施例中,所述第一中介件包括第一基底穿孔和位於所述第一基底穿孔上方的第一導電結構,且所述第一導電結構電連接到所述第一晶粒,且其中所述第二中介件包括第二基底穿孔和位於所述第二基底穿孔上方的第二導電結構,且所述第二導電結構電連接到所述第二晶粒。在一些實施例中,所述第一導電結構的接近於所述第一晶粒的臨界尺寸大於所述第一導電結構的遠離所述第一晶粒的臨界尺寸,且其中所述第二導電結構的接近於所述第二晶粒的臨界尺寸大於所述第二導電結構的遠離所述第二晶粒的臨界尺寸。在一些實施例中,更包括:第三晶粒,安置在所述第一中介件上方且在所述第一晶粒旁邊;以及第四晶粒,安置在所述第二中介件上方且在所述第二晶粒旁邊。在一些實施例中,所述至少一個橋接結構為無裝置的晶粒。在一些實施例中,所述至少一個橋接結構與所述第一中介件和所述第二中介件中的每一者部分地交疊。In some embodiments, it further includes a first redistribution layer structure disposed between the first interposer and the first die, and between the second interposer and the Between the second crystal grains. In some embodiments, the first die and the second die are disposed at the first side of the first interposer and the second interposer, and the semiconductor package further includes The second rewiring layer structure at the second side of the first interposer and the second interposer opposite to the first side. In some embodiments, the first die and the second die are disposed at the first side of the first interposer and the second interposer, and the semiconductor package further includes A board base at a second side of the first intermediate member and the second intermediate member opposite to the first side. In some embodiments, it further includes: a first redistribution layer structure disposed between the first interposer and the first die and between the second interposer and the second die; And a second redistribution layer structure is arranged between the board base and each of the first interposer and the second interposer. In some embodiments, the critical dimension of the second rewiring layer structure is greater than the critical dimension of the first rewiring layer structure. In some embodiments, each of the first intermediary and the second intermediary is a passive intermediary. In some embodiments, each of the first mediator and the second mediator is an active mediator. In some embodiments, the first interposer includes a first substrate through hole and a first conductive structure located above the first substrate through hole, and the first conductive structure is electrically connected to the first die, and The second interposer includes a second substrate through hole and a second conductive structure located above the second substrate through hole, and the second conductive structure is electrically connected to the second die. In some embodiments, the critical dimension of the first conductive structure close to the first crystal grain is greater than the critical dimension of the first conductive structure away from the first crystal grain, and wherein the second conductive structure The critical size of the structure close to the second crystal grain is larger than the critical size of the second conductive structure away from the second crystal grain. In some embodiments, it further includes: a third die disposed above the first interposer and beside the first die; and a fourth die disposed above the second interposer and at Next to the second die. In some embodiments, the at least one bridge structure is a deviceless die. In some embodiments, the at least one bridge structure partially overlaps each of the first interposer and the second interposer.

根據本公開的替代實施例,一種形成半導體封裝件的方法包含以下操作。設置第一中介件和第二中介件。在第一中介件和第二中介件的第一側處在第一中介件和第二中介件上方形成第一重佈線層結構,其中第一重佈線層結構電連接到第一中介件和第二中介件。將第一晶粒、第二晶粒以及至少一個橋接結構放置在第一重佈線層結構上方,其中第一中介件通過第一晶粒與第二晶粒之間的至少一個橋接結構電連接到第二中介件。在第一中介件和第二中介件的與第一側相對的第二側處在第一中介件和第二中介件上方形成第二重佈線層結構。將板基底接合到第二重佈線層結構。According to an alternative embodiment of the present disclosure, a method of forming a semiconductor package includes the following operations. A first intermediary piece and a second intermediary piece are provided. A first redistribution layer structure is formed on the first side of the first interposer and the second interposer above the first interposer and the second interposer, wherein the first redistribution layer structure is electrically connected to the first interposer and the second interposer. Two intermediary pieces. The first die, the second die, and at least one bridge structure are placed above the first rewiring layer structure, wherein the first interposer is electrically connected to the at least one bridge structure between the first die and the second die The second intermediary. A second redistribution layer structure is formed above the first interposer and the second interposer at a second side of the first interposer and the second interposer opposite to the first side. The board base is bonded to the second redistribution layer structure.

在替代實施例中,更包括:在所述第一中介件和所述第二中介件的與所述第一側相對的第二側處在所述第一中介件和所述第二中介件上方形成第二重佈線層結構;以及將板基底接合到所述第二重佈線層結構。In an alternative embodiment, it further includes: on the first intermediate piece and the second intermediate piece at a second side of the first intermediate piece and the second intermediate piece opposite to the first side Forming a second redistribution layer structure above; and bonding the board base to the second redistribution layer structure.

根據本公開的又一替代實施例,一種半導體封裝件包含板基底、第一中介件、第二中介件、第一晶粒以及第二晶粒。第一中介件和第二中介件安置在板基底上方。第一晶粒安置在第一中介件上方。第二晶粒安置在第二中介件上方且延伸到第一中介件上。According to yet another alternative embodiment of the present disclosure, a semiconductor package includes a board substrate, a first interposer, a second interposer, a first die, and a second die. The first intermediate member and the second intermediate member are arranged above the board base. The first die is arranged above the first intermediate member. The second die is arranged above the second intermediate member and extends to the first intermediate member.

在又一替代實施例中,更包括:第一重佈線層結構,安置在所述第一中介件與所述第一晶粒之間以及所述第二中介件與所述第二晶粒之間。在又一替代實施例中,更包括:第二重佈線層結構,安置在所述板基底與所述第一中介件和所述第二中介件中的每一者之間。在又一替代實施例中,更包括:第三晶粒,安置在所述第一中介件上方且在所述第一晶粒旁邊;以及第四晶粒,安置在所述第二中介件上方且在所述第二晶粒旁邊。在又一替代實施例中,更包括:第一介電密封體,包圍所述第一中介件和所述第二中介件;以及第二介電密封體,包圍所述第一晶粒和所述第二晶粒。In yet another alternative embodiment, it further comprises: a first rewiring layer structure, arranged between the first interposer and the first die and between the second interposer and the second die between. In yet another alternative embodiment, it further includes: a second redistribution layer structure disposed between the board base and each of the first interposer and the second interposer. In yet another alternative embodiment, it further includes: a third die disposed above the first interposing member and beside the first die; and a fourth die disposed above the second interposing member And beside the second crystal grain. In yet another alternative embodiment, it further includes: a first dielectric sealing body that surrounds the first intermediate member and the second intermediate member; and a second dielectric sealing body that surrounds the first die and the The second crystal grain.

還可包含其他特徵和製程。舉例來說,可包含測試結構以輔助對3D封裝或3DIC裝置的校驗測試。測試結構可包含例如形成在重佈線層中或基底上的測試襯墊,所述基底允許測試3D封裝或3DIC、使用探針和/或探針卡以及類似操作。可對中間結構以及最終結構執行校驗測試。另外,本文中所公開的結構和方法可以與並有已知良好晶粒的中間校驗的測試方法結合使用以增加良率並降低成本。It may also include other features and processes. For example, a test structure can be included to assist in the verification test of a 3D package or 3DIC device. The test structure may include, for example, test pads formed in the redistribution layer or on a substrate that allows testing of 3D packages or 3DIC, the use of probes and/or probe cards, and the like. The verification test can be performed on the intermediate structure as well as the final structure. In addition, the structure and method disclosed herein can be used in combination with a test method that incorporates intermediate verification of known good crystal grains to increase yield and reduce cost.

前文概述若干實施例的特徵,使得本領域的技術人員可更好地理解本公開的各方面。本領域的技術人員應瞭解,其可以易於使用本公開作為設計或修改用於進行本文中引入的實施例的相同目的和/或達成相同優勢的其他製程和結構的基礎。本領域的技術人員還應認識到,這些等效構造並不脫離本公開的精神和範圍,且本領域的技術人員可在不脫離本公開的精神和範圍的情況下在本文中進行各種改變、替代以及更改。The foregoing outlines the features of several embodiments so that those skilled in the art can better understand various aspects of the present disclosure. Those skilled in the art should understand that they can easily use the present disclosure as a basis for designing or modifying other processes and structures for performing the same purpose and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that these equivalent constructions do not depart from the spirit and scope of the present disclosure, and those skilled in the art can make various changes and Replace and change.

10、11、12、13、14、20、21、22、23、24:半導體封裝件 100:橋接結構 200:板基底 202:導線圖案 302、304、306、308、310、312、402、404、406、408、410、412:動作 AL1、AL2、AL3:黏合層 B1、B2、B3:凸塊 C1:第一晶粒 C2:第二晶粒 C3:第三晶粒 C4:第四晶粒 CC1、CC2:載體 CL:切割線 CS1:第一導電結構 CS2:第二導電結構 E1:第一介電密封體 E2:第二介電密封體 G:間隙寬度 I1:第一中介件 I2:第二中介件 IL:絕緣層 IL1:第一絕緣層 IL2:第二絕緣層 I-I:線 ML11、ML12、ML21、ML22:金屬線 MV11、MV12、MV21、MV22:金屬通孔 PK:半導體封裝件 PM:聚合物圖案 R1、R2:區 RDL1:第一重佈線層結構 RDL2:第二重佈線層結構 S1:第一基底 S2:第二基底 T:晶圓帶 TSV1:第一基底穿孔 TSV2:第二基底穿孔 UF1:第一底填充料層 UF2:第二底填充料層10, 11, 12, 13, 14, 20, 21, 22, 23, 24: semiconductor package 100: bridge structure 200: board base 202: Wire pattern 302, 304, 306, 308, 310, 312, 402, 404, 406, 408, 410, 412: Action AL1, AL2, AL3: Adhesive layer B1, B2, B3: bump C1: The first die C2: second die C3: third grain C4: Fourth die CC1, CC2: Carrier CL: cutting line CS1: The first conductive structure CS2: second conductive structure E1: The first dielectric sealing body E2: Second dielectric sealing body G: gap width I1: The first intermediary I2: The second intermediary IL: insulating layer IL1: first insulating layer IL2: second insulating layer I-I: line ML11, ML12, ML21, ML22: metal wire MV11, MV12, MV21, MV22: metal through holes PK: Semiconductor package PM: polymer pattern R1, R2: District RDL1: The first rewiring layer structure RDL2: The second rewiring layer structure S1: First substrate S2: second substrate T: Wafer tape TSV1: First substrate perforation TSV2: second substrate perforation UF1: The first underfill layer UF2: The second underfill layer

圖1A到圖1S為根據一些實施例的形成半導體封裝件的方法的示意性橫截面圖。 圖2到圖5為根據一些實施例的半導體封裝件的示意性俯視圖。 圖6示出根據一些實施例的形成半導體封裝件的方法。 圖7到圖10為根據一些實施例的各種半導體封裝件的橫截面圖。 圖11為根據其他實施例的半導體封裝件的橫截面圖。 圖12到圖13為根據其他實施例的半導體封裝件的示意性俯視圖。 圖14示出根據其他實施例的形成半導體封裝件的方法。 圖15到圖18為根據其他實施例的各種半導體封裝件的橫截面圖。1A to 1S are schematic cross-sectional views of a method of forming a semiconductor package according to some embodiments. 2 to 5 are schematic top views of semiconductor packages according to some embodiments. FIG. 6 illustrates a method of forming a semiconductor package according to some embodiments. 7-10 are cross-sectional views of various semiconductor packages according to some embodiments. FIG. 11 is a cross-sectional view of a semiconductor package according to other embodiments. 12 to 13 are schematic top views of semiconductor packages according to other embodiments. FIG. 14 shows a method of forming a semiconductor package according to other embodiments. 15 to 18 are cross-sectional views of various semiconductor packages according to other embodiments.

10:半導體封裝件10: Semiconductor package

100:橋接結構100: bridge structure

200:板基底200: board base

202:導線圖案202: Wire pattern

B1、B2、B3:凸塊B1, B2, B3: bump

C1:第一晶粒C1: The first die

C2:第二晶粒C2: second die

C3:第三晶粒C3: third grain

C4:第四晶粒C4: Fourth die

CL:切割線CL: cutting line

CS1:第一導電結構CS1: The first conductive structure

CS2:第二導電結構CS2: second conductive structure

E1:第一介電密封體E1: The first dielectric sealing body

E2:第二介電密封體E2: Second dielectric sealing body

G:間隙寬度G: gap width

I1:第一中介件I1: The first intermediary

I2:第二中介件I2: The second intermediary

IL1:第一絕緣層IL1: first insulating layer

IL2:第二絕緣層IL2: second insulating layer

ML11、ML12、ML21、ML22:金屬線ML11, ML12, ML21, ML22: metal wire

MV11、MV12、MV21、MV22:金屬通孔MV11, MV12, MV21, MV22: metal through holes

R1:區R1: District

RDL1:第一重佈線層結構RDL1: The first rewiring layer structure

RDL2:第二重佈線層結構RDL2: The second rewiring layer structure

S1:第一基底S1: First substrate

S2:第二基底S2: second substrate

T:晶圓帶T: Wafer tape

TSV1:第一基底穿孔TSV1: First substrate perforation

TSV2:第二基底穿孔TSV2: second substrate perforation

UF1:第一底填充料層UF1: The first underfill layer

UF2:第二底填充料層UF2: The second underfill layer

Claims (1)

一種半導體封裝件,包括: 第一中介件和第二中介件,包埋於第一介電密封體; 第一晶粒,安置在所述第一中介件上方且電連接到所述第一中介件; 第二晶粒,安置在所述第二中介件上方且電連接到所述第二中介件;以及 至少一個橋接結構,安置在所述第一晶粒與所述第二晶粒之間。A semiconductor package includes: The first intermediate piece and the second intermediate piece are embedded in the first dielectric sealing body; The first die is arranged above the first intermediate member and is electrically connected to the first intermediate member; The second die is arranged above the second interposer and is electrically connected to the second interposer; and At least one bridge structure is arranged between the first crystal grain and the second crystal grain.
TW109145616A 2019-12-25 2020-12-23 Semiconductor package TW202129860A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962953523P 2019-12-25 2019-12-25
US62/953,523 2019-12-25
US17/090,899 US11545438B2 (en) 2019-12-25 2020-11-06 Semiconductor packages and methods of forming the same
US17/090,899 2020-11-06

Publications (1)

Publication Number Publication Date
TW202129860A true TW202129860A (en) 2021-08-01

Family

ID=76547482

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109145616A TW202129860A (en) 2019-12-25 2020-12-23 Semiconductor package

Country Status (2)

Country Link
US (1) US11545438B2 (en)
TW (1) TW202129860A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI805164B (en) * 2021-12-30 2023-06-11 宏齊科技股份有限公司 Vertical type multi-chip device

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11380611B2 (en) * 2020-03-30 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Chip-on-wafer structure with chiplet interposer
US11664350B2 (en) * 2020-05-20 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US20220352123A1 (en) * 2021-05-03 2022-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US20220367413A1 (en) * 2021-05-13 2022-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Packages With Multiple Types of Underfill and Method Forming The Same

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3993380A (en) 1976-01-21 1976-11-23 Lawrence Peska Associates, Inc. Combined extension cord and grounding device
US8797057B2 (en) 2011-02-11 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Testing of semiconductor chips with microbumps
US9443783B2 (en) 2012-06-27 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC stacking device and method of manufacture
US9299649B2 (en) 2013-02-08 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. 3D packages and methods for forming the same
US9281254B2 (en) 2014-02-13 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuit package
US9425126B2 (en) 2014-05-29 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy structure for chip-on-wafer-on-substrate
US9496189B2 (en) 2014-06-13 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor devices and methods of forming same
US9461018B1 (en) 2015-04-17 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out PoP structure with inconsecutive polymer layer
US9666502B2 (en) 2015-04-17 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Discrete polymer in fan-out packages
US9735131B2 (en) 2015-11-10 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-stack package-on-package structures
US10586909B2 (en) * 2016-10-11 2020-03-10 Massachusetts Institute Of Technology Cryogenic electronic packages and assemblies
US11217563B2 (en) * 2019-10-24 2022-01-04 Apple Inc. Fully interconnected heterogeneous multi-layer reconstructed silicon device
US11164817B2 (en) * 2019-11-01 2021-11-02 International Business Machines Corporation Multi-chip package structures with discrete redistribution layers

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI805164B (en) * 2021-12-30 2023-06-11 宏齊科技股份有限公司 Vertical type multi-chip device

Also Published As

Publication number Publication date
US20210202389A1 (en) 2021-07-01
US11545438B2 (en) 2023-01-03

Similar Documents

Publication Publication Date Title
US11443995B2 (en) Integrated circuit package and method
US11824040B2 (en) Package component, electronic device and manufacturing method thereof
TWI746759B (en) Multi-chip wafer level packages and methods of forming the same
KR101978020B1 (en) Structure and formation method for chip package
US9818720B2 (en) Structure and formation method for chip package
TW202129860A (en) Semiconductor package
US9111821B2 (en) Packaged semiconductor devices and packaging devices and methods
TWI741538B (en) Semiconductor devices and methods of forming the same
US20220359326A1 (en) Integrated Circuit Package and Method
US10163701B2 (en) Multi-stack package-on-package structures
TW201810570A (en) Semiconductor package and fabrication method thereof
TWI790503B (en) Integrated circuit package and method of forming same
US20190393051A1 (en) Fan-out wafer-level packaging method and the package produced thereof
TW202125729A (en) Package and method of forming the same
US11855039B2 (en) Chip package structure
TW201642428A (en) Silicon interposer and fabrication method thereof
TW202040706A (en) Method for forming chip package structure
US10438887B2 (en) Semiconductor chip and multi-chip package using thereof and method for manufacturing the same
TWI775443B (en) Semiconductor packaging and methods of forming same
TWI816804B (en) Package structure and method for forming the same
TW202240804A (en) Packaging structure of semiconductor dies and methods of forming same
US20220336362A1 (en) Semiconductor packages and methods of forming the same
TWI795716B (en) Semiconductor device and method of forming same
CN218996710U (en) Semiconductor package
US20230420331A1 (en) Semiconductor package and method