TW202115827A - Selective and self-limiting tungsten etch process - Google Patents

Selective and self-limiting tungsten etch process Download PDF

Info

Publication number
TW202115827A
TW202115827A TW109128525A TW109128525A TW202115827A TW 202115827 A TW202115827 A TW 202115827A TW 109128525 A TW109128525 A TW 109128525A TW 109128525 A TW109128525 A TW 109128525A TW 202115827 A TW202115827 A TW 202115827A
Authority
TW
Taiwan
Prior art keywords
metal
layer
metal oxide
substrate
feature
Prior art date
Application number
TW109128525A
Other languages
Chinese (zh)
Inventor
蘇史密辛哈 羅伊
史林尼維斯 干德可塔
帕拉米特 曼納
亞伯希吉特巴蘇 馬禮克
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/583,749 external-priority patent/US10950498B2/en
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202115827A publication Critical patent/TW202115827A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Semiconductor Memories (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Non-Volatile Memory (AREA)

Abstract

Methods of dep-etch in semiconductor devices (e.g. V-NAND) are described. A metal layer is deposited in a feature. The metal layer is removed by low temperature atomic layer etching by oxidizing the surface of the metal layer and etching the oxide in a layer-by-layer fashion. After removal of the metal layer, the features are filled with a metal.

Description

選擇性和自我限制性之鎢蝕刻製程Selective and self-limiting tungsten etching process

本揭露書的實施例通常關於填充半導體裝置中的間隙或特徵的方法。更具體地,本揭露書的實施例關於使用鎢在三維半導體裝置中的間隙填充的方法。The embodiments of this disclosure generally relate to methods of filling gaps or features in semiconductor devices. More specifically, the embodiment of the present disclosure relates to a method of gap filling in a three-dimensional semiconductor device using tungsten.

隨著半導體裝置的設計和材料部件複雜性的不斷增加,材料的選擇性移除對於半導體裝置的持續規模化和改進變得至關重要。選擇性原子層蝕刻(ALE)已成為一種採用自我限制性表面反應的精確蝕刻方法。金屬氧化物(MOx )的選擇性ALE對於許多半導體技術特別重要,但由於這些氧化物材料的固有穩定性,可能難以實現。As the design of semiconductor devices and the complexity of material components continue to increase, the selective removal of materials has become critical to the continued scale and improvement of semiconductor devices. Selective atomic layer etching (ALE) has become a precision etching method that uses self-limiting surface reactions. The selective ALE of metal oxide (MO x ) is particularly important for many semiconductor technologies, but due to the inherent stability of these oxide materials, it may be difficult to achieve.

V-NAND(或3D-NAND)結構用於快閃記憶體應用中。V-NAND裝置是垂直堆疊的NAND結構,具有以塊排列的大量單元。後閘極字線形成是當前3D-NAND製造中的主流處理流程。在形成字線之前,基板是由記憶體串支撐的層狀氧化物堆疊。間隙空間使用CVD或ALD由鎢填充。記憶體堆疊的頂部/側壁也塗佈有鎢。藉由蝕刻處理(如,反應離子蝕刻(RIE)處理或基於自由基的蝕刻處理)從堆疊的頂部/側壁移除鎢,使得鎢僅存在於間隙空間的內側,且每個鎢填充物與其他鎢填充物完全分開。然而,由於蝕刻處理的負載效果,分離蝕刻通常導致在堆疊的頂部處與在底部處的字線凹陷不同。隨著氧化物堆疊層的增加,這種差異變得更加明顯。The V-NAND (or 3D-NAND) structure is used in flash memory applications. A V-NAND device is a vertically stacked NAND structure with a large number of cells arranged in blocks. The back gate word line formation is the mainstream processing flow in the current 3D-NAND manufacturing. Before forming the word line, the substrate is a layered oxide stack supported by the memory string. The interstitial space is filled with tungsten using CVD or ALD. The top/sidewalls of the memory stack are also coated with tungsten. The tungsten is removed from the top/sidewall of the stack by an etching process (eg, reactive ion etching (RIE) process or radical-based etching process), so that tungsten exists only on the inner side of the interstitial space, and each tungsten filler and others The tungsten filler is completely separated. However, due to the loading effect of the etching process, the separation etching usually results in a different word line recess at the top of the stack than at the bottom. As the stack of oxide layers increases, this difference becomes more pronounced.

在多層VNAND鎢填充物中,填充鎢存在有挑戰,尤其是在埋藏世界線中。正在尋求沉積-蝕刻循環技術以產生更好的間隙填充。然而,目前,尚無可產生有效鎢間隙填充的循環沉積-蝕刻處理。In the multi-layer VNAND tungsten filling, filling tungsten presents challenges, especially in the buried world line. The deposition-etch cycle technology is being sought to produce better gap filling. However, currently, there is no cyclic deposition-etching process that can produce effective tungsten gap filling.

因此,存在有蝕刻鎢的改進方法的需求,尤其是在NAND應用中。Therefore, there is a need for improved methods of etching tungsten, especially in NAND applications.

本揭露書的一個或多個實施例涉及一種處理基板的方法。在一個或多個實施例中,一種處理方法包含以下步驟:在基板上的至少一個特徵中沉積金屬層;將金屬氧化至第一深度以在金屬層上形成金屬氧化物層;及蝕刻金屬氧化物層以選擇性地移除金屬氧化物層。One or more embodiments of the present disclosure relate to a method of processing a substrate. In one or more embodiments, a processing method includes the steps of: depositing a metal layer in at least one feature on a substrate; oxidizing the metal to a first depth to form a metal oxide layer on the metal layer; and etching the metal oxide The material layer is used to selectively remove the metal oxide layer.

本揭露書的其他實施例涉及處理基板的方法。在一個或多個實施例中,一種處理方法包含以下步驟:在基板表面上沉積金屬層,基板表面上具有至少一個特徵,至少一個特徵將特徵深度從基板表面延伸至底表面,至少一個特徵具有由第一側壁和第二側壁界定的寬度,其中金屬層沉積在基板表面、至少一個特徵的第一側壁、第二側壁和底表面上;及執行處理循環,包含以下步驟:將金屬氧化至第一深度以在金屬層上形成金屬氧化物層;及蝕刻金屬氧化物層以選擇性地移除金屬氧化物層。Other embodiments of this disclosure relate to methods of processing substrates. In one or more embodiments, a processing method includes the following steps: depositing a metal layer on a substrate surface, the substrate surface has at least one feature, at least one feature extends the depth of the feature from the substrate surface to the bottom surface, and at least one feature has The width defined by the first sidewall and the second sidewall, wherein the metal layer is deposited on the substrate surface, the first sidewall, the second sidewall, and the bottom surface of at least one feature; and the processing cycle is performed, including the steps of: oxidizing the metal to the second A depth to form a metal oxide layer on the metal layer; and etching the metal oxide layer to selectively remove the metal oxide layer.

本揭露書的進一步實施例涉及處理基板的方法。在一個或多個實施例中,一種處理基板的方法包含以下步驟:在基板上形成膜堆疊,膜堆疊包含複數個氧化物材料和氮化物材料的交替層,且膜堆疊具有堆疊厚度;形成從膜堆疊表面的頂部到底表面延伸一深度的開口,開口具有由第一側壁和第二側壁界定的寬度;任選地在膜堆疊表面上及在開口的第一側壁、第二側壁和底表面上形成阻障層,阻障層包含厚度在約20Å至約50Å的範圍中的TiN;在膜堆疊上沉積金屬層,使得金屬層填充開口並以金屬層厚度覆蓋膜堆疊的頂部;及重複地氧化金屬層的表面以形成金屬氧化物層,並從至少一個特徵蝕刻金屬氧化物層,直到移除金屬層,氧化表面包含以下步驟:曝露於O2 ,且蝕刻金屬層氧化物包含以下步驟:曝露於鹵化物蝕刻劑。A further embodiment of the present disclosure relates to a method of processing a substrate. In one or more embodiments, a method of processing a substrate includes the following steps: forming a film stack on the substrate, the film stack including a plurality of alternating layers of oxide materials and nitride materials, and the film stack having a stack thickness; The top of the film stack surface extends a depth of opening to the bottom surface, the opening has a width defined by the first side wall and the second side wall; optionally on the film stack surface and on the first side wall, second side wall, and bottom surface of the opening A barrier layer is formed, the barrier layer includes TiN with a thickness in the range of about 20 Å to about 50 Å; a metal layer is deposited on the film stack so that the metal layer fills the opening and covers the top of the film stack with the thickness of the metal layer; and repeatedly oxidize The surface of the metal layer is formed to form a metal oxide layer, and the metal oxide layer is etched from at least one feature until the metal layer is removed. Oxidizing the surface includes the following steps: exposing to O 2 , and etching the metal oxide includes the following steps: exposing For halide etchant.

在描述本揭露書的幾個示例性實施例之前,應理解,本揭露書不限於在以下描述中闡述的構造或處理步驟的細節。本揭露書能夠具有其他實施例並且能夠以各種方式實踐或執行。Before describing several exemplary embodiments of the present disclosure, it should be understood that the present disclosure is not limited to the details of the configuration or processing steps set forth in the following description. The present disclosure can have other embodiments and can be practiced or executed in various ways.

如在這份說明書和附隨的申請專利範圍中所使用的,術語「基板」和「晶圓」可互換使用,均指處理對其作用的表面或表面的一部分。熟悉本領域者還將理解的是,除非上下文另外明確指出,否則對基板的引用也可僅指基板的一部分。另外,提及在基板上沉積可表示裸露的基板和在其上沉積或形成有一個或多個膜或特徵的基板。As used in this specification and the accompanying patent application, the terms "substrate" and "wafer" are used interchangeably, and both refer to the surface or part of the surface on which the treatment acts. Those familiar with the art will also understand that unless the context clearly dictates otherwise, references to the substrate may also refer to only a part of the substrate. In addition, reference to deposition on a substrate may refer to a bare substrate and a substrate on which one or more films or features are deposited or formed.

如於此所用,「基板」是指在製造處理期間在其上執行膜處理的基板上形成的任何基板或材料表面。例如,可在其上執行處理的基板表面包括諸如矽、氧化矽、應變矽、絕緣體上矽(SOI)、碳摻雜的氧化矽、非晶矽、摻雜的矽、鍺、砷化鎵、玻璃、藍寶石的材料及諸如金屬、金屬氮化物、金屬合金和其他導電材料的任何其他材料,具體取決於應用。基板包括(但不限於)半導體晶圓。可將基板曝露於預處置處理,以拋光、蝕刻、還原、氧化、羥基化、退火、UV固化、電子束固化及/或烘烤基板表面。除了直接在基板本身的表面上進行膜處理之外,在本揭露書中,所揭露的任何膜處理步驟還可在形成於基板上的底層上進行,如下面更詳細地揭露的,且術語「基板表面」旨在包括上下文指示的這種底層。因此,例如,在膜/層或部分膜/層已經沉積在基板表面上的情況下,新沉積的膜/層的曝露表面成為基板表面。As used herein, "substrate" refers to any substrate or material surface formed on a substrate on which film processing is performed during a manufacturing process. For example, the substrate surface on which processing can be performed includes materials such as silicon, silicon oxide, strained silicon, silicon-on-insulator (SOI), carbon-doped silicon oxide, amorphous silicon, doped silicon, germanium, gallium arsenide, Materials for glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application. The substrate includes (but is not limited to) a semiconductor wafer. The substrate may be exposed to a pre-treatment process for polishing, etching, reduction, oxidation, hydroxylation, annealing, UV curing, electron beam curing, and/or baking the surface of the substrate. In addition to directly performing film processing on the surface of the substrate itself, in this disclosure, any film processing steps disclosed can also be performed on the bottom layer formed on the substrate, as disclosed in more detail below, and the term " "Substrate surface" is intended to include such underlayers as the context dictates. Therefore, for example, in the case where the film/layer or part of the film/layer has been deposited on the surface of the substrate, the exposed surface of the newly deposited film/layer becomes the surface of the substrate.

半導體製造處理通常涉及將金屬(如,鎢(W))沉積到特徵(諸如(但不限於)通孔或溝槽)中,以形成接點或互連件。金屬(如,鎢(W))通常使用化學氣相沉積(CVD)沉積到特徵中,其中將具有待填充的至少一個特徵的基板曝露於含金屬的前驅物和還原劑中,以將金屬沉積到特徵中。然而,隨著裝置的縮小,特徵變得越來越小,用CVD進行填充更具挑戰性,特別是在先進邏輯和記憶體應用中。Semiconductor manufacturing processes generally involve depositing metal (eg, tungsten (W)) into features (such as but not limited to vias or trenches) to form contacts or interconnects. Metals (eg, tungsten (W)) are usually deposited into features using chemical vapor deposition (CVD), in which a substrate with at least one feature to be filled is exposed to a metal-containing precursor and a reducing agent to deposit the metal Into the feature. However, as devices shrink and features become smaller and smaller, filling with CVD is more challenging, especially in advanced logic and memory applications.

本揭露書的一個或多個實施例有利地提供了一種在三維結構的間隙中沉積鎢膜的方法。本揭露書的一些實施例有利地提供了沉積保形氧化鎢膜和選擇性移除氧化鎢的方法。一些實施例有利地提供了用高品質的鎢膜填充V-NAND的側向特徵的方法,高品質的鎢膜從氧化物堆疊的頂部到底部具有均勻的厚度。在一個或多個實施例中,處理方法有利地不使用電漿。另外,一個或多個實施例的處理方法有利地以比其他沉積蝕刻技術更受控的速率來選擇性地移除鎢。One or more embodiments of the present disclosure advantageously provide a method of depositing a tungsten film in the gap of a three-dimensional structure. Some embodiments of the present disclosure advantageously provide methods for depositing conformal tungsten oxide films and selectively removing tungsten oxide. Some embodiments advantageously provide a method of filling the lateral features of the V-NAND with a high-quality tungsten film that has a uniform thickness from the top to the bottom of the oxide stack. In one or more embodiments, the treatment method advantageously does not use plasma. In addition, the processing method of one or more embodiments advantageously selectively removes tungsten at a more controlled rate than other deposition etching techniques.

本揭露書的一個或多個實施例涉及基於高度保形的金屬(如,鎢)氧化和高度選擇性的金屬氧化物(如,氧化鎢)移除的字線分離方法。方法可使用高溫或低溫處理。One or more embodiments of the present disclosure relate to word line separation methods based on highly conformal metal (eg, tungsten) oxidation and highly selective metal oxide (eg, tungsten oxide) removal. The method can use high temperature or low temperature treatment.

本揭露書的一個或多個實施例涉及沉積-蝕刻(「dep-etch」)循環技術以產生更好的間隙填充。一個或多個實施例的方法促進了這種沉積蝕刻循環處理。另外,在一個或多個實施例中,因為從金屬(如,鎢)表面移除了天然氧化物,所以改善了半導體裝置的接觸電阻。One or more embodiments of the present disclosure relate to a deposition-etch ("dep-etch") cycle technique to produce better gap filling. The method of one or more embodiments facilitates this deposition etching cycle process. In addition, in one or more embodiments, because the natural oxide is removed from the surface of the metal (eg, tungsten), the contact resistance of the semiconductor device is improved.

參照第1圖,基板10在其上具有層的堆疊12。基板10可為任何合適的基板材料,並且不限於與任何單個層相同的材料。例如,在一些實施例中,基板是氧化物、氮化物或金屬層。堆疊12具有彼此間隔開的複數個氧化物層14,以在氧化物層14之間形成間隙16,使得每個間隙形成字線或用於形成字線的殼層(shell)。堆疊12具有頂部13和側面15。Referring to Figure 1, a substrate 10 has a stack 12 of layers thereon. The substrate 10 may be any suitable substrate material and is not limited to the same material as any single layer. For example, in some embodiments, the substrate is an oxide, nitride, or metal layer. The stack 12 has a plurality of oxide layers 14 spaced apart from each other to form gaps 16 between the oxide layers 14 so that each gap forms a word line or a shell for forming the word line. The stack 12 has a top 13 and a side 15.

堆疊12可具有任何合適數量的氧化物層14或間隙16。在一些實施例中,存在大於或等於約10、20、30、40、50、60、70、80、90或100個在堆疊12中形成的間隙16,間隙16可用以形成相等數量的字線。在連接所有個別氧化物層14的記憶體串11的任一側上測量間隙16的數量。在一些實施例中,間隙16的數量是2的倍數。在一些實施例中,間隙的數量等於2n ,其中n是任何正整數。在一些實施例中,間隙16的數量為約96。The stack 12 may have any suitable number of oxide layers 14 or gaps 16. In some embodiments, there are greater than or equal to about 10, 20, 30, 40, 50, 60, 70, 80, 90, or 100 gaps 16 formed in the stack 12, and the gaps 16 can be used to form an equal number of word lines . The number of gaps 16 is measured on either side of the memory string 11 connecting all the individual oxide layers 14. In some embodiments, the number of gaps 16 is a multiple of two. In some embodiments, the number of gaps is equal to 2 n , where n is any positive integer. In some embodiments, the number of gaps 16 is about 96.

如第2圖所示,金屬20沉積在堆疊12上。金屬20填充間隙16以形成字線19。金屬20形式形成在堆疊12的周圍,使得金屬20以金屬覆蓋層22的厚度覆蓋堆疊12的頂部13和側面15。覆蓋層22是沉積在間隙16的外側的材料。覆蓋層可具有任何合適的厚度,這取決於用以沉積金屬20的處理。在一些實施例中,覆蓋層22的厚度在約1Å至1000Å的範圍中。在一些實施例中,覆蓋層22的厚度大於或等於約5Å、10Å、15Å、20Å、25Å、30Å、35Å、40Å、45Å或50Å。As shown in FIG. 2, the metal 20 is deposited on the stack 12. The metal 20 fills the gap 16 to form a word line 19. The metal 20 is formed around the stack 12 so that the metal 20 covers the top 13 and the side 15 of the stack 12 with the thickness of the metal covering layer 22. The cover layer 22 is a material deposited on the outside of the gap 16. The capping layer may have any suitable thickness, depending on the process used to deposit the metal 20. In some embodiments, the thickness of the cover layer 22 is in the range of about 1 Å to 1000 Å. In some embodiments, the thickness of the cover layer 22 is greater than or equal to about 5Å, 10Å, 15Å, 20Å, 25Å, 30Å, 35Å, 40Å, 45Å, or 50Å.

金屬20可為在字線應用中使用的任何合適的金屬。在一些具體實施例中,金屬膜包含鎢。在一些具體實施方式中,金屬膜不包含鎢。在一些具體實施例中,金屬膜基本上由鎢組成。如這方面所使用的,術語「基本上由鎢組成」是指主體金屬膜的組成在原子基礎上大於或等於約95%、98%或99%的鎢。主體金屬膜排除了金屬20的可能與另一個表面(如,氧化物表面)接觸或為進一步處理而開放的表面部分,因為這些區域可能與相鄰材料發生少量原子擴散或具有類似氫化物封端的一些表面官能基(moiety)。The metal 20 can be any suitable metal used in word line applications. In some embodiments, the metal film includes tungsten. In some embodiments, the metal film does not contain tungsten. In some embodiments, the metal film consists essentially of tungsten. As used in this respect, the term "consisting essentially of tungsten" means that the composition of the bulk metal film is greater than or equal to about 95%, 98%, or 99% tungsten on an atomic basis. The main metal film excludes the part of the metal 20 that may be in contact with another surface (such as an oxide surface) or open for further processing, because these areas may have a small amount of atomic diffusion with adjacent materials or have similar hydride-terminated Some surface functional groups (moiety).

可藉由任何合適的技術來沉積金屬20,包括(但不限於)化學氣相沉積(CVD)或原子層沉積(ALD)。金屬20沉積在間隙空間的內側以及記憶體堆疊的頂部/側壁處。The metal 20 can be deposited by any suitable technique, including but not limited to chemical vapor deposition (CVD) or atomic layer deposition (ALD). The metal 20 is deposited on the inside of the gap space and at the top/sidewall of the memory stack.

參照第3A和3B圖,顯示了具有低溫蝕刻處理的高溫氧化。在第3A圖中,金屬20被氧化成金屬氧化物25至約覆蓋層22的厚度的深度。基本上所有覆蓋層22都可在一個步驟的氧化處理中被氧化。覆蓋層的氧化可受到(例如)氧化氣流、氧化氣體分壓、晶圓溫度和處理時間的影響,以形成金屬覆蓋層22的高度保形的氧化。Referring to Figures 3A and 3B, high temperature oxidation with low temperature etching treatment is shown. In FIG. 3A, the metal 20 is oxidized into a metal oxide 25 to a depth of about the thickness of the cover layer 22. Almost all of the covering layer 22 can be oxidized in one step of oxidation treatment. The oxidation of the cover layer may be affected by, for example, the oxidation gas flow, the partial pressure of the oxidation gas, the temperature of the wafer, and the processing time to form a highly conformal oxidation of the metal cover layer 22.

氧化氣體可為可與已經沉積的金屬20反應的任何合適的氧化氣體。合適的氧化氣體包括(但不限於)O2 、O3 、H2 O、H2 O2 、NO、NO2 或其組合。在一些實施例中,氧化氣體包含O2 或O3 的一種或多種。在一些實施例中,氧化氣體基本上由O2 或O3 的一種或多種組成。以這種方式使用時,術語「基本上由……組成」是指氧化氣體的氧化成分大於或等於所宣稱物種的約95%、98%或99%。氧化氣體可包括惰性氣體、稀釋氣體或載氣。例如,氧化氣體可與Ar、He或N2 的一種或多種共同流動或稀釋。The oxidizing gas may be any suitable oxidizing gas that can react with the metal 20 that has been deposited. Suitable oxidizing gases include (but are not limited to) O 2 , O 3 , H 2 O, H 2 O 2 , NO, NO 2 or combinations thereof. In some embodiments, the oxidizing gas includes one or more of O 2 or O 3. In some embodiments, the oxidizing gas consists essentially of one or more of O 2 or O 3. When used in this way, the term "consisting essentially of" means that the oxidizing component of the oxidizing gas is greater than or equal to about 95%, 98%, or 99% of the claimed species. The oxidizing gas may include inert gas, diluent gas, or carrier gas. For example, the oxidizing gas may be co-flowed or diluted with one or more of Ar, He, or N 2.

一些實施例的金屬氧化物25包含氧化鎢(WOx )。在一些實施例中,金屬氧化物25是金屬20的衍生物,其可包括或可不包括氧。金屬膜的合適衍生物包括(但不限於)氮化物、硼化物、碳化物、氧氮化物、氧硼化物、氧碳化物、碳氮化物、硼碳化物、硼氮化物、硼碳氮化物、硼氧碳氮化物、氧碳氮化物、硼氧碳化物和硼氧氮化物。熟悉本領域者將理解,沉積的金屬膜與金屬膜可能具有非化學計量的原子。例如,指定為WO的膜可具有不同量的鎢和氧。WO膜可為(例如)90原子%的鎢。使用WO來描述氧化鎢膜是指該膜包含鎢和氧原子,並且不應被視為將膜限制為特定的組成。在一些實施例中,膜基本上由指定的原子組成。例如,基本上由WO組成的膜是指該膜的組成大於或等於約95%、98%或99%的鎢和氧原子。The metal oxide 25 of some embodiments includes tungsten oxide (WO x ). In some embodiments, metal oxide 25 is a derivative of metal 20, which may or may not include oxygen. Suitable derivatives of metal films include (but are not limited to) nitrides, borides, carbides, oxynitrides, oxyborides, oxycarbides, carbonitrides, boron carbides, boron nitrides, boron carbonitrides, Boron oxycarbonitride, oxycarbonitride, borooxycarbide and boron oxynitride. Those skilled in the art will understand that the deposited metal film and the metal film may have non-stoichiometric atoms. For example, a film designated as WO may have different amounts of tungsten and oxygen. The WO film may be, for example, 90 atomic% tungsten. The use of WO to describe a tungsten oxide film means that the film contains tungsten and oxygen atoms, and should not be regarded as limiting the film to a specific composition. In some embodiments, the film consists essentially of designated atoms. For example, a film substantially composed of WO means that the composition of the film is greater than or equal to about 95%, 98%, or 99% of tungsten and oxygen atoms.

在第3A和3B圖所示的處理中,氧化處理在高溫下發生。如這方面所使用的,術語「高溫」是指大於或等於400℃、450℃、500℃、550℃、600℃、650℃、700℃、750℃、800℃或850℃的溫度。在一些實施例中,氧化處理的溫度在約400℃至約950℃的範圍中,或在約450℃至約900℃的範圍中,或在約500℃至約850℃的範圍中。In the treatment shown in Figures 3A and 3B, the oxidation treatment takes place at a high temperature. As used in this regard, the term "high temperature" refers to a temperature greater than or equal to 400°C, 450°C, 500°C, 550°C, 600°C, 650°C, 700°C, 750°C, 800°C, or 850°C. In some embodiments, the temperature of the oxidation treatment is in the range of about 400°C to about 950°C, or in the range of about 450°C to about 900°C, or in the range of about 500°C to about 850°C.

氧化處理期間的壓力可在約0.1Torr至約760Torr的範圍中。處理時間(曝露時間)可在約0.1秒至12小時的範圍中。氧化處理期間的溫度會影響壓力和處理時間。The pressure during the oxidation treatment may be in the range of about 0.1 Torr to about 760 Torr. The treatment time (exposure time) can be in the range of about 0.1 seconds to 12 hours. The temperature during the oxidation treatment affects the pressure and treatment time.

在一些實施例中,覆蓋層22的金屬20被氧化以在堆疊12的頂部13和側面15上形成金屬氧化物25,同時將金屬20留在間隙16中以形成字線19。在一些實施例中,間隙16中的基本上全部金屬20在氧化之後保留。以這種方式使用時,術語「基本上全部」是指金屬20被氧化到堆疊12的側面15的±1Å內。In some embodiments, the metal 20 of the capping layer 22 is oxidized to form a metal oxide 25 on the top 13 and side 15 of the stack 12 while leaving the metal 20 in the gap 16 to form the word line 19. In some embodiments, substantially all of the metal 20 in the gap 16 remains after oxidation. When used in this manner, the term “substantially all” means that the metal 20 is oxidized to within ±1 Å of the side 15 of the stack 12.

參照第3B圖,從堆疊12的頂部13和側面15蝕刻由覆蓋層22形成的金屬氧化物25,以將金屬20留在間隙14中作為字線19。一些實施例的蝕刻處理是選擇性蝕刻處理,其將在基本上不影響金屬20的情況下移除金屬氧化物25。Referring to FIG. 3B, the metal oxide 25 formed of the cover layer 22 is etched from the top 13 and the side 15 of the stack 12 to leave the metal 20 in the gap 14 as a word line 19. The etching process of some embodiments is a selective etching process, which will remove the metal oxide 25 without substantially affecting the metal 20.

在一些實施例中,蝕刻劑包含金屬鹵化物蝕刻劑。一些實施例的蝕刻劑基本上由金屬鹵化物蝕刻劑組成。以這種方式使用時,術語「基本上由金屬鹵化物蝕刻劑組成」是指指定的金屬鹵化物蝕刻劑物種構成總金屬鹵化物蝕刻劑物種的95%、98%或99%(不包括惰性氣體、稀釋氣體或載氣)。金屬鹵化物蝕刻劑可具有與金屬氧化物25相同的金屬物種或不同的金屬物種。在一些實施例中,金屬鹵化物蝕刻劑包含與金屬氧化物25相同的金屬物種。In some embodiments, the etchant includes a metal halide etchant. The etchant of some embodiments consists essentially of a metal halide etchant. When used in this way, the term "consisting essentially of metal halide etchant" means that the specified metal halide etchant species constitute 95%, 98%, or 99% of the total metal halide etchant species (excluding inert Gas, diluent gas or carrier gas). The metal halide etchant may have the same metal species as the metal oxide 25 or a different metal species. In some embodiments, the metal halide etchant includes the same metal species as the metal oxide 25.

在一些實施例中,金屬鹵化物蝕刻劑包含基本上由氯組成的鹵素原子。以這種方式使用時,術語「基本上由氯組成」是指在原子基礎上氯構成金屬鹵化物蝕刻劑中的鹵素原子的大於或等於約95%、98%或99%。在一些實施例中,金屬鹵化物蝕刻劑包含基本上由氟組成的鹵素原子。以這種方式使用時,術語「基本上由氟組成」是指在原子基礎上氟構成金屬鹵化物蝕刻劑中的鹵素原子的大於或等於約95%、98%或99%。In some embodiments, the metal halide etchant contains halogen atoms consisting essentially of chlorine. When used in this manner, the term "consisting essentially of chlorine" means that chlorine constitutes greater than or equal to about 95%, 98%, or 99% of the halogen atoms in the metal halide etchant on an atomic basis. In some embodiments, the metal halide etchant contains halogen atoms consisting essentially of fluorine. When used in this manner, the term "consisting essentially of fluorine" means that fluorine constitutes greater than or equal to about 95%, 98%, or 99% of the halogen atoms in the metal halide etchant on an atomic basis.

在一些實施例中,金屬鹵化物蝕刻劑包含WF6 、WCl5 、WCl6 或鹵氧化鎢的一種或多種。在一些實施例中,金屬鹵化物蝕刻劑基本上由WF6 、WCl5 或WCl6 的一種或多種組成。以這種方式使用時,術語「基本上由……組成」是指所宣稱物種在莫耳基礎上構成大於或等於金屬鹵化物的約95%、98%或99%。In some embodiments, the metal halide etchant includes one or more of WF 6 , WCl 5 , WCl 6 or tungsten oxyhalide. In some embodiments, the metal halide etchant consists essentially of one or more of WF 6 , WCl 5 or WCl 6. When used in this manner, the term "consisting essentially of" means that the claimed species constitutes greater than or equal to about 95%, 98%, or 99% of the metal halide on a molar basis.

一些實施例的蝕刻溫度低於氧化期間的溫度。在一些實施例中,蝕刻溫度在約300℃至約600℃的範圍中,或在約400℃至約500℃的範圍中。在一些實施例中,蝕刻溫度小於或等於約600℃、550℃、500℃、450℃、400℃或350℃。在一些實施例中,蝕刻期間的溫度比氧化期間的溫度低了大於或等於約50℃、75℃、100℃、125℃或150℃。在一些實施例中,氧化和蝕刻均在大於或等於約300℃的溫度下發生。The etching temperature of some embodiments is lower than the temperature during oxidation. In some embodiments, the etching temperature is in the range of about 300°C to about 600°C, or in the range of about 400°C to about 500°C. In some embodiments, the etching temperature is less than or equal to about 600°C, 550°C, 500°C, 450°C, 400°C, or 350°C. In some embodiments, the temperature during etching is greater than or equal to about 50°C, 75°C, 100°C, 125°C, or 150°C lower than the temperature during oxidation. In some embodiments, both oxidation and etching occur at a temperature greater than or equal to about 300°C.

在蝕刻金屬氧化物25之後,移除金屬覆蓋層22,並且作為字線19保留在間隙14中的金屬20與堆疊12的側面15基本齊平。以這種方式使用時,術語「基本齊平」表示間隙16內的字線19在堆疊12的側面15的±1Å內。After the metal oxide 25 is etched, the metal cap layer 22 is removed, and the metal 20 remaining in the gap 14 as the word line 19 is substantially flush with the side surface 15 of the stack 12. When used in this way, the term “substantially flush” means that the word line 19 in the gap 16 is within ±1 Å of the side 15 of the stack 12.

第3A和3B圖所示的實施例顯示了高溫氧化-低溫蝕刻處理。第4A至第4D圖所示的實施例顯示了低溫氧化和蝕刻處理。在處理之間的一些差異包括(但不限於)較低溫度的氧化和較慢的覆蓋層移除。The examples shown in Figures 3A and 3B show high-temperature oxidation-low-temperature etching treatments. The examples shown in Figs. 4A to 4D show low-temperature oxidation and etching treatments. Some of the differences between treatments include (but are not limited to) lower temperature oxidation and slower cover removal.

在堆疊12具有形成有覆蓋層22的金屬20之後(如第2圖所示),可藉由原子層蝕刻型處理來執行覆蓋層的移除。原子層蝕刻處理可包括多個重複處理,重複處理會改質待蝕刻的表面並接著揮發或移除經改質的表面,從而曝露下面的新表面。After the stack 12 has the metal 20 formed with the cover layer 22 (as shown in FIG. 2), the removal of the cover layer can be performed by an atomic layer etching type process. The atomic layer etching process may include multiple repeated processes, which will modify the surface to be etched and then volatilize or remove the modified surface, thereby exposing the new surface below.

參考第4A圖,覆蓋層22被氧化以在覆蓋層22的表面上形成金屬氧化物25。氧化處理可使用與第3A圖所示的實施例相同的反應物和參數,其中進行了一些改變,以允許進行原子層蝕刻(ALE)處理。一些實施例的氧化處理在約300℃至約500℃的溫度下發生。在一些實施例中,氧化在小於或等於約500℃、450℃、400℃或350℃的溫度下發生。低溫氧化處理期間的壓力可在約0.1Torr至約760Torr的範圍中。處理或曝光時間可在約0.001秒至約60秒的範圍中。在原子層蝕刻處理中,每個氧化和蝕刻處理都是自我限制性的,因為一旦與活性表面位點發生反應,處理就會停止。例如,一旦金屬20的所有活性表面位點曝露於氧化劑並與氧化劑反應以形成金屬氧化物25膜,就不容易發生進一步的氧化。類似地,一旦蝕刻劑已經移除氧化物膜以曝露下面的新鮮金屬20,則蝕刻劑就沒有進一步的氧化物要移除。Referring to FIG. 4A, the cover layer 22 is oxidized to form a metal oxide 25 on the surface of the cover layer 22. The oxidation treatment can use the same reactants and parameters as the embodiment shown in Figure 3A, with some changes to allow atomic layer etching (ALE) treatment. The oxidation treatment of some embodiments occurs at a temperature of about 300°C to about 500°C. In some embodiments, oxidation occurs at a temperature less than or equal to about 500°C, 450°C, 400°C, or 350°C. The pressure during the low-temperature oxidation treatment may be in the range of about 0.1 Torr to about 760 Torr. The treatment or exposure time can be in the range of about 0.001 seconds to about 60 seconds. In the atomic layer etching process, each oxidation and etching process is self-limiting, because once it reacts with the active surface site, the process stops. For example, once all the active surface sites of the metal 20 are exposed to the oxidant and react with the oxidant to form a metal oxide 25 film, further oxidation will not easily occur. Similarly, once the etchant has removed the oxide film to expose the fresh metal 20 below, the etchant has no further oxide to remove.

參照第4B圖,在金屬20上形成金屬氧化物25之後,將堆疊12曝露於蝕刻劑。蝕刻劑和蝕刻條件可與關於第3B圖顯示和描述的相同。金屬20上的金屬氧化物25層比第3A和3B圖所示的實施例薄,使得蝕刻處理將花費更少的時間。在一些實施例中,蝕刻劑處理時間在約0.1秒至約60秒的範圍中。Referring to FIG. 4B, after the metal oxide 25 is formed on the metal 20, the stack 12 is exposed to an etchant. The etchant and etching conditions may be the same as shown and described with respect to FIG. 3B. The metal oxide 25 layer on the metal 20 is thinner than the embodiment shown in FIGS. 3A and 3B, so that the etching process will take less time. In some embodiments, the etchant processing time is in the range of about 0.1 seconds to about 60 seconds.

在一些實施例中,在氧化和蝕刻處理期間的溫度發生在小於或等於約400℃的溫度下。第4B圖中所示的蝕刻處理的溫度可與第4A圖的氧化處理相同,使得含有堆疊12的基板可從處理腔室的一個處理區域快速移動至處理腔室的另一個處理區域,以將基板順序地曝露於氧化和蝕刻條件。In some embodiments, the temperature during the oxidation and etching process occurs at a temperature less than or equal to about 400°C. The temperature of the etching process shown in Figure 4B can be the same as that of the oxidation process in Figure 4A, so that the substrate containing the stack 12 can be quickly moved from one processing area of the processing chamber to another processing area of the processing chamber to reduce The substrate is sequentially exposed to oxidation and etching conditions.

這種類型的ALE處理可被稱為空間ALE,其中各種反應性氣體(如,氧化劑和蝕刻劑)流入處理腔室的單獨區域中,且基板在區域之間移動。不同的處理區域由氣幕隔開,氣幕包含一種或多種淨化氣流及/或真空流,以防止氧化劑和蝕刻劑在氣相中混合。ALE處理也可藉由時域處理執行,其中處理腔室填充有氧化劑,被淨化以移除多餘的氧化劑和反應產物或副產物,被填充蝕刻劑,並接著淨化以移除多餘的蝕刻劑和反應產品或副產品。在時域處理中,基板可保持靜止。This type of ALE processing may be referred to as spatial ALE, in which various reactive gases (eg, oxidizer and etchant) flow into separate areas of the processing chamber, and the substrate moves between the areas. The different processing areas are separated by gas curtains, which contain one or more purifying gas flows and/or vacuum flows to prevent the oxidant and etchant from mixing in the gas phase. ALE processing can also be performed by time-domain processing, in which the processing chamber is filled with an oxidant, purified to remove excess oxidant and reaction products or by-products, filled with etchant, and then purified to remove excess etchant and Reaction products or by-products. In time domain processing, the substrate can remain stationary.

第4C和4D圖分別顯示了重複曝露於氧化劑以形成金屬氧化物25和蝕刻劑以移除金屬氧化物。雖然處理顯示為使用兩個循環,但是熟悉本領域者將理解,這僅是一種表示,並且可使用兩個以上的循環來移除覆蓋層22並將金屬20作為字線19留在間隙16中。Figures 4C and 4D respectively show repeated exposure to an oxidizer to form a metal oxide 25 and an etchant to remove the metal oxide. Although the process is shown as using two cycles, those skilled in the art will understand that this is only a representation, and more than two cycles can be used to remove the cover layer 22 and leave the metal 20 as the word line 19 in the gap 16 .

在一些實施例中,在沉積金屬20之前,在氧化物層14上形成阻障層。阻障層可為任何合適的阻障材料。在一些實施例中,阻障層包含氮化鈦。在一些實施例中,阻障層基本上由氮化鈦組成。以這種方式使用時,術語「基本上由氮化鈦組成」是指阻障層的組成在原子基礎上大於或等於約95%、98%或99%的鈦和氮原子。阻障層的厚度可為任何合適的厚度。在一些實施例中,阻障層的厚度在約20Å至約50Å的範圍中。In some embodiments, a barrier layer is formed on the oxide layer 14 before the metal 20 is deposited. The barrier layer can be any suitable barrier material. In some embodiments, the barrier layer includes titanium nitride. In some embodiments, the barrier layer consists essentially of titanium nitride. When used in this manner, the term "consisting essentially of titanium nitride" means that the composition of the barrier layer is greater than or equal to about 95%, 98%, or 99% of titanium and nitrogen atoms on an atomic basis. The thickness of the barrier layer can be any suitable thickness. In some embodiments, the thickness of the barrier layer is in the range of about 20 Å to about 50 Å.

第5A-5D圖顯示了具有特徵110的基板100的局部橫截面圖,並且詳細顯示了根據本揭露書的一個或多個實施例的原子層蝕刻處理。圖式顯示了用於說明目的的具有單個特徵的基板;然而,熟悉本領域者將理解,可有多於一個特徵。特徵110的形狀可為任何合適的形狀,包括(但不限於)溝槽和圓柱形通孔。就此而言,術語「特徵」是指任何故意的表面不規則性。特徵的合適示例包括(但不限於)具有頂部、兩個側壁和底部的溝槽,具有頂部和兩個側壁的峰。特徵可具有任何合適的深寬比(特徵的深度與特徵的寬度之比例)。在一些實施例中,深寬比大於或等於約5:1、10:1、15:1、20:1、25:1、30:1、35:1或40:1。Figures 5A-5D show partial cross-sectional views of the substrate 100 with the features 110, and show in detail the atomic layer etching process according to one or more embodiments of the present disclosure. The drawings show a substrate with a single feature for illustration purposes; however, those skilled in the art will understand that there may be more than one feature. The shape of the feature 110 can be any suitable shape, including but not limited to grooves and cylindrical through holes. In this regard, the term "feature" refers to any intentional surface irregularities. Suitable examples of features include, but are not limited to, a trench with a top, two sidewalls, and a bottom, and a peak with a top and two sidewalls. Features can have any suitable aspect ratio (the ratio of the depth of the feature to the width of the feature). In some embodiments, the aspect ratio is greater than or equal to about 5:1, 10:1, 15:1, 20:1, 25:1, 30:1, 35:1, or 40:1.

基板100具有基板表面120。至少一個特徵110在基板表面120中形成開口。至少一個特徵110從基板表面120延伸一段特徵深度Df 至底表面112。至少一個特徵110具有界定至少一個特徵110的寬度W的第一側壁114和第二側壁116。由側壁114、116和底部112形成的開口區域也稱為間隙。在一個或多個實施例中,寬度W沿著至少一個特徵110的深度D1是均質的。在其他實施例中,在至少一個特徵110的頂部處的寬度(W)大於在至少一個特徵110的底表面112處的寬度(W)。The substrate 100 has a substrate surface 120. At least one feature 110 forms an opening in the substrate surface 120. At least one feature 110 extends from the substrate surface 120 to a feature depth D f to the bottom surface 112. The at least one feature 110 has a first side wall 114 and a second side wall 116 that define the width W of the at least one feature 110. The opening area formed by the side walls 114, 116 and the bottom 112 is also called a gap. In one or more embodiments, the width W is homogeneous along the depth D1 of the at least one feature 110. In other embodiments, the width (W) at the top of the at least one feature 110 is greater than the width (W) at the bottom surface 112 of the at least one feature 110.

在一個或多個實施例中,基板100是膜堆疊,包含沉積在半導體基板102上的氮化物材料104和氧化物材料106的複數個交替層。In one or more embodiments, the substrate 100 is a film stack including a plurality of alternating layers of nitride material 104 and oxide material 106 deposited on the semiconductor substrate 102.

半導體基板102可為任何合適的基板材料。在一個或多個實施例中,半導體基板102包含半導體材料,如,矽(Si)、碳(C)、鍺(Ge)、矽鍺(SiGe)、砷化鎵(GaAs)、磷酸銦(InP)、砷化銦鎵(InGaAs)、砷化鋁銦(InAlAs)、鍺(Ge)、矽鍺(SiGe)、硒化銅銦鎵(CIGS)、其他半導體材料或其任意組合。在一個或多個實施例中,半導體基板102包含矽(Si)、鍺(Ge)、鎵(Ga)、砷(As)、銦(In)、磷(P)、銅(Cu)或硒(Se)的一種或多種。儘管於此描述了可形成基板102的材料的一些示例,但是可用作可構建無源和有源電子裝置(如、電晶體、記憶體、電容、電感、電阻、開關、積體電路、放大器、光電裝置或任何其他電子裝置)的基礎的任何材料可落在本揭露書的精神和範圍內。The semiconductor substrate 102 can be any suitable substrate material. In one or more embodiments, the semiconductor substrate 102 includes semiconductor materials, such as silicon (Si), carbon (C), germanium (Ge), silicon germanium (SiGe), gallium arsenide (GaAs), indium phosphate (InP ), indium gallium arsenide (InGaAs), aluminum indium arsenide (InAlAs), germanium (Ge), silicon germanium (SiGe), copper indium gallium selenide (CIGS), other semiconductor materials or any combination thereof. In one or more embodiments, the semiconductor substrate 102 includes silicon (Si), germanium (Ge), gallium (Ga), arsenic (As), indium (In), phosphorus (P), copper (Cu), or selenium ( One or more of Se). Although some examples of materials that can form the substrate 102 are described here, they can be used to construct passive and active electronic devices (eg, transistors, memory, capacitors, inductors, resistors, switches, integrated circuits, amplifiers). , Photoelectric devices or any other electronic devices) can fall within the spirit and scope of this disclosure.

在一個或多個實施例中,至少一個特徵110包含記憶體孔或字線狹縫。因此,在一個或多個實施例中,基板100包含記憶體裝置或邏輯裝置,如,NAND、V-NAND、DRAM或類似者。In one or more embodiments, at least one feature 110 includes a memory hole or word line slit. Therefore, in one or more embodiments, the substrate 100 includes a memory device or a logic device, such as NAND, V-NAND, DRAM, or the like.

如於此所使用,術語「3D NAND」是指一種電子(固態)非揮發性電腦儲存記憶體,其中記憶體單元以多層的方式堆疊。3D NAND記憶體通常包括複數個記憶體單元,複數個記憶體單元包括浮閘電晶體。傳統上,3D NAND記憶體單元包括圍繞位線以三維佈置的複數個NAND記憶體結構。As used herein, the term "3D NAND" refers to an electronic (solid-state) non-volatile computer storage memory in which memory cells are stacked in multiple layers. A 3D NAND memory usually includes a plurality of memory cells, and the plurality of memory cells include floating gate transistors. Traditionally, a 3D NAND memory cell includes a plurality of NAND memory structures arranged in three dimensions around a bit line.

如於此所使用,術語「動態隨機存取記憶體」或「DRAM」是指藉由在電容上儲存電荷(亦即,二進制的一)或無電荷(亦即,二進制的零)的封包而儲存數據位的記憶體單元。電荷經由存取電晶體而在電容上進行閘控,並藉由打開同一電晶體並查看電晶體輸出上的互連線上傾倒電荷封包而產生的電壓擾動來感應。因此,單個DRAM單元由一個電晶體和一個電容構成。As used herein, the term "Dynamic Random Access Memory" or "DRAM" refers to packets that store charge (ie, binary one) or no charge (ie, binary zero) on a capacitor. A memory unit that stores data bits. The charge is gated on the capacitor through the access transistor, and is induced by turning on the same transistor and looking at the voltage disturbance caused by the dumping of the charge packet on the interconnection line on the output of the transistor. Therefore, a single DRAM cell consists of a transistor and a capacitor.

參照第5B圖,在至少一個特徵110中沉積金屬層124。在一個或多個實施例中,金屬層124包含鎢(W)、鈦(Ti)、鉭(Ta)、鎳(Ni)、鈷(Co)或鉬(Mo)的一種或多種。在一個或多個實施例中,金屬層124包含鎢(W)的一種或多種。在一個或多個實施例中,金屬層124沉積有覆蓋層126。在一些實施例中,在沉積金屬層124之前,保形襯裡122被沉積在至少一個特徵110中。保形襯裡122可包含熟悉本領域者已知的任何合適的材料。在一個或多個實施例中,保形襯裡122包含氮化鈦(TiN)或氮化鉭(TaN)的一種或多種。Referring to FIG. 5B, a metal layer 124 is deposited in at least one feature 110. In one or more embodiments, the metal layer 124 includes one or more of tungsten (W), titanium (Ti), tantalum (Ta), nickel (Ni), cobalt (Co), or molybdenum (Mo). In one or more embodiments, the metal layer 124 includes one or more of tungsten (W). In one or more embodiments, the metal layer 124 is deposited with a capping layer 126. In some embodiments, the conformal liner 122 is deposited in the at least one feature 110 before the metal layer 124 is deposited. The conformal liner 122 may comprise any suitable material known to those skilled in the art. In one or more embodiments, the conformal liner 122 includes one or more of titanium nitride (TiN) or tantalum nitride (TaN).

參照第5C圖,在已經沉積了具有覆蓋層126(及任選地保形襯裡122)的金屬層124之後,可藉由原子層蝕刻型處理來執行覆蓋層126的移除。原子層蝕刻處理可包括多個重複處理,重複處理會改質待蝕刻的表面,並接著揮發或移除經改質的表面,從而曝露下面的新表面。Referring to FIG. 5C, after the metal layer 124 with the capping layer 126 (and optionally the conformal liner 122) has been deposited, the removal of the capping layer 126 can be performed by an atomic layer etching type process. The atomic layer etching process may include multiple repeated processes, which will modify the surface to be etched, and then volatilize or remove the modified surface, thereby exposing the new surface below.

參照第5C圖,覆蓋層126被氧化以在覆蓋層126的表面上形成金屬氧化物層128。在一個或多個實施例中,金屬層122被氧化成金屬氧化物層128至約覆蓋層126的厚度的深度。基本上所有的覆蓋層126都可在一步驟的氧化處理中被氧化。覆蓋層126的氧化可受到例如氧化氣流、氧化氣體分壓、晶圓溫度和處理時間的影響,以形成金屬覆蓋層126的高度保形的氧化。Referring to FIG. 5C, the capping layer 126 is oxidized to form a metal oxide layer 128 on the surface of the capping layer 126. In one or more embodiments, the metal layer 122 is oxidized to a depth of the metal oxide layer 128 to about the thickness of the cover layer 126. Almost all of the covering layer 126 can be oxidized in one step of oxidation treatment. The oxidation of the cover layer 126 may be affected by, for example, the oxidation gas flow, the partial pressure of the oxidation gas, the wafer temperature and the processing time to form a highly conformal oxidation of the metal cover layer 126.

在一個或多個實施例中,氧化氣體是可與已經沉積的金屬層122反應的任何合適的氧化氣體。合適的氧化氣體包括(但不限於)O2 、O3 、H2 O、H2 O2 、NO、NO2 或其組合。在一些實施例中,氧化氣體包含O2 或O3 的一種或多種。在一些實施例中,氧化氣體基本上由O2 或O3 中的一種或多種組成。以這種方式使用時,術語「基本上由……組成」是指氧化氣體的氧化成分大於或等於所宣稱物種的約95%、98%或99%。氧化氣體可包括惰性氣體、稀釋氣體或載氣。例如,氧化氣體可與Ar、He或N2 的一種或多種同流或稀釋。In one or more embodiments, the oxidizing gas is any suitable oxidizing gas that can react with the metal layer 122 that has already been deposited. Suitable oxidizing gases include (but are not limited to) O 2 , O 3 , H 2 O, H 2 O 2 , NO, NO 2 or combinations thereof. In some embodiments, the oxidizing gas includes one or more of O 2 or O 3. In some embodiments, the oxidizing gas consists essentially of one or more of O 2 or O 3. When used in this way, the term "consisting essentially of" means that the oxidizing component of the oxidizing gas is greater than or equal to about 95%, 98%, or 99% of the claimed species. The oxidizing gas may include inert gas, diluent gas, or carrier gas. For example, the oxidizing gas may be co-current or diluted with one or more of Ar, He, or N 2.

一些實施例的金屬氧化物層128包含氧化鎢(WOx )。在一些實施例中,金屬氧化物層128是金屬層122的衍生物,其可包括或可不包括氧。金屬層122的合適衍生物包括(但不限於)氮化物、硼化物、碳化物、氧氮化物、氧硼化物、氧碳化物、碳氮化物、硼碳化物、硼氮化物、硼碳氮化物、硼氧碳氮化物、氧碳氮化物、硼氧碳化物和硼氧氮化物。熟悉本領域者將理解,所沉積的金屬層122與金屬膜可能具有非化學計量的原子。例如,指定為WO的金屬層122可具有不同量的鎢和氧。WO膜可為(例如)90原子%的鎢。使用WO來描述氧化鎢膜是指該膜包含鎢和氧原子,並且不應被視為將膜限制為特定的組成。在一些實施例中,膜基本上由指定的原子組成。例如,基本上由WO組成的膜是指該膜的組成大於或等於約95%、98%或99%的鎢和氧原子。The metal oxide layer 128 of some embodiments includes tungsten oxide (WO x ). In some embodiments, the metal oxide layer 128 is a derivative of the metal layer 122, which may or may not include oxygen. Suitable derivatives of the metal layer 122 include (but are not limited to) nitrides, borides, carbides, oxynitrides, oxyborides, oxycarbides, carbonitrides, boron carbides, boron nitrides, boron carbonitrides , Boron oxycarbonitride, oxycarbonitride, borooxycarbide and boron oxynitride. Those skilled in the art will understand that the deposited metal layer 122 and metal film may have non-stoichiometric atoms. For example, the metal layer 122 designated as WO may have different amounts of tungsten and oxygen. The WO film may be, for example, 90 atomic% tungsten. The use of WO to describe a tungsten oxide film means that the film contains tungsten and oxygen atoms, and should not be regarded as limiting the film to a specific composition. In some embodiments, the film consists essentially of designated atoms. For example, a film substantially composed of WO means that the composition of the film is greater than or equal to about 95%, 98%, or 99% of tungsten and oxygen atoms.

在第5A至5D圖所示的處理中,氧化處理在高溫下發生,使得該氧化是熱氧化或快速熱氧化或尖峰退火處理。就此而言,術語「高溫」是指大於或等於約400℃、450℃、500℃、550℃、600℃、650℃、700℃、750℃、800℃或850℃的溫度。在一些實施例中,氧化處理的溫度在約400℃至約950℃的範圍中,或在約450℃至約900℃的範圍中,或在約500℃至約850℃的範圍中。In the treatment shown in FIGS. 5A to 5D, the oxidation treatment occurs at a high temperature, so that the oxidation is thermal oxidation or rapid thermal oxidation or spike annealing treatment. In this regard, the term "high temperature" refers to a temperature greater than or equal to about 400°C, 450°C, 500°C, 550°C, 600°C, 650°C, 700°C, 750°C, 800°C, or 850°C. In some embodiments, the temperature of the oxidation treatment is in the range of about 400°C to about 950°C, or in the range of about 450°C to about 900°C, or in the range of about 500°C to about 850°C.

在一個或多個實施例中,在氧化處理期間的壓力在約0.1Torr至約760Torr的範圍中。處理時間(曝露時間)可在約0.1秒至12小時的範圍中。氧化處理期間的溫度會影響壓力和處理時間。In one or more embodiments, the pressure during the oxidation treatment is in the range of about 0.1 Torr to about 760 Torr. The treatment time (exposure time) can be in the range of about 0.1 seconds to 12 hours. The temperature during the oxidation treatment affects the pressure and treatment time.

在一些實施例中,覆蓋層126的金屬層124被氧化以在至少一個特徵110的頂部130和側面132上形成金屬氧化物層128,同時將金屬層124保留在至少一個特徵110中。在一些實施例中,至少一個特徵110中的基本上全部金屬層124在氧化之後保留。以這種方式使用時,術語「基本上全部」是指金屬層124被氧化到至少一個特徵110的側面132的±1Å以內。In some embodiments, the metal layer 124 of the capping layer 126 is oxidized to form a metal oxide layer 128 on the top 130 and sides 132 of the at least one feature 110 while retaining the metal layer 124 in the at least one feature 110. In some embodiments, substantially all of the metal layer 124 in at least one feature 110 remains after oxidation. When used in this manner, the term “substantially all” means that the metal layer 124 is oxidized to within ±1 Å of the side 132 of at least one feature 110.

參照第5D圖,從頂部130和側面132蝕刻由覆蓋層126形成的金屬氧化物層128以留下金屬層124。一些實施例的蝕刻處理是選擇性蝕刻處理,其將移除金屬氧化物層128而基本上不影響金屬層124。Referring to FIG. 5D, the metal oxide layer 128 formed by the capping layer 126 is etched from the top 130 and the side 132 to leave the metal layer 124. The etching process of some embodiments is a selective etching process, which will remove the metal oxide layer 128 without substantially affecting the metal layer 124.

在一些實施例中,蝕刻劑包含金屬鹵化物蝕刻劑。一些實施例的蝕刻劑基本上由金屬鹵化物蝕刻劑組成。以這種方式使用時,術語「基本上由金屬鹵化物蝕刻劑組成」是指指定的金屬鹵化物蝕刻劑物種構成總金屬鹵化物蝕刻劑物種的95%、98%或99%(不包括惰性氣體、稀釋氣體或載氣)。金屬鹵化物蝕刻劑可具有與金屬氧化物層128相同的金屬物種或不同的金屬物種。在一些實施例中,金屬鹵化物蝕刻劑包含與金屬氧化物層128相同的金屬物種。In some embodiments, the etchant includes a metal halide etchant. The etchant of some embodiments consists essentially of a metal halide etchant. When used in this way, the term "consisting essentially of metal halide etchant" means that the specified metal halide etchant species constitute 95%, 98%, or 99% of the total metal halide etchant species (excluding inert Gas, diluent gas or carrier gas). The metal halide etchant may have the same metal species as the metal oxide layer 128 or a different metal species. In some embodiments, the metal halide etchant includes the same metal species as the metal oxide layer 128.

在一些實施例中,金屬鹵化物蝕刻劑包含基本上由氯組成的鹵素原子。在其他實施例中,金屬鹵化物蝕刻劑包含基本上由氟組成的鹵素原子。以這種方式使用時,術語「基本上由氟組成」是指在原子基礎上氟構成金屬鹵化物蝕刻劑中的鹵素原子的大於或等於約95%、98%或99%。In some embodiments, the metal halide etchant contains halogen atoms consisting essentially of chlorine. In other embodiments, the metal halide etchant contains halogen atoms consisting essentially of fluorine. When used in this manner, the term "consisting essentially of fluorine" means that fluorine constitutes greater than or equal to about 95%, 98%, or 99% of the halogen atoms in the metal halide etchant on an atomic basis.

在一些實施例中,金屬鹵化物蝕刻劑包含WF6 、WCl5 、WCl6 或鹵氧化鎢的一種或多種。在一些實施例中,金屬鹵化物蝕刻劑基本上由WF6 、WCl5 、WCl6 或鹵氧化鎢的一種或多種組成。以這種方式使用時,術語「基本上由……組成」是指所宣稱物種在莫耳基礎上構成大於或等於金屬鹵化物的約95%、98%或99%。In some embodiments, the metal halide etchant includes one or more of WF 6 , WCl 5 , WCl 6 or tungsten oxyhalide. In some embodiments, the metal halide etchant consists essentially of one or more of WF 6 , WCl 5 , WCl 6 or tungsten oxyhalide. When used in this manner, the term "consisting essentially of" means that the claimed species constitutes greater than or equal to about 95%, 98%, or 99% of the metal halide on a molar basis.

一些實施例的蝕刻溫度低於氧化期間的溫度。在一些實施例中,蝕刻溫度在約100℃至約600℃的範圍中,或在約100℃至約500℃的範圍中。在一些實施例中,蝕刻溫度小於或等於約600℃、550℃、500℃、450℃、400℃或350℃。在一些實施例中,蝕刻期間的溫度比氧化期間的溫度低了大於或等於約50℃、75℃、100℃、125℃或150℃。在一些實施例中,蝕刻在約300℃下發生。在一些實施例中,氧化和蝕刻均在大於或等於約400℃的溫度下發生。The etching temperature of some embodiments is lower than the temperature during oxidation. In some embodiments, the etching temperature is in the range of about 100°C to about 600°C, or in the range of about 100°C to about 500°C. In some embodiments, the etching temperature is less than or equal to about 600°C, 550°C, 500°C, 450°C, 400°C, or 350°C. In some embodiments, the temperature during etching is greater than or equal to about 50°C, 75°C, 100°C, 125°C, or 150°C lower than the temperature during oxidation. In some embodiments, etching occurs at about 300°C. In some embodiments, both oxidation and etching occur at a temperature greater than or equal to about 400°C.

一些實施例的氧化處理在約300℃至約500℃的溫度下發生。在一些實施例中,氧化在小於或等於約500℃、450℃、400℃或350℃的溫度下發生。低溫氧化處理期間的壓力可在約0.1Torr至約760Torr的範圍中。處理或曝光時間可在約0.001秒至約60秒的範圍中。在原子層蝕刻處理中,每個氧化和蝕刻處理都是自我限制性的,因為一旦與活性表面位點發生反應,處理就會停止。例如,一旦金屬層124的所有活性表面位點曝露於氧化劑並與氧化劑反應以形成金屬氧化物層128,就不容易發生進一步的氧化。類似地,一旦蝕刻劑已經移除金屬氧化物層128以曝露下面的新鮮金屬層124,則蝕刻劑就沒有進一步的氧化物要移除。The oxidation treatment of some embodiments occurs at a temperature of about 300°C to about 500°C. In some embodiments, oxidation occurs at a temperature less than or equal to about 500°C, 450°C, 400°C, or 350°C. The pressure during the low-temperature oxidation treatment may be in the range of about 0.1 Torr to about 760 Torr. The treatment or exposure time can be in the range of about 0.001 seconds to about 60 seconds. In the atomic layer etching process, each oxidation and etching process is self-limiting, because once it reacts with the active surface site, the process stops. For example, once all active surface sites of the metal layer 124 are exposed to the oxidant and react with the oxidant to form the metal oxide layer 128, further oxidation will not easily occur. Similarly, once the etchant has removed the metal oxide layer 128 to expose the underlying fresh metal layer 124, the etchant has no further oxide to remove.

參照第5D圖,在金屬層124上形成金屬氧化物層128之後,將基板102曝露於蝕刻劑。蝕刻劑和蝕刻條件可與以上顯示和描述的相同。在一些實施例中,蝕刻劑處理時間在約0.1秒至約60秒的範圍中。Referring to FIG. 5D, after the metal oxide layer 128 is formed on the metal layer 124, the substrate 102 is exposed to an etchant. The etchant and etching conditions may be the same as shown and described above. In some embodiments, the etchant processing time is in the range of about 0.1 seconds to about 60 seconds.

在一個或多個實施例中,這種類型的ALE處理可被稱為空間ALE,其中各種反應性氣體(如,氧化劑和蝕刻劑)流入處理腔室的單獨區域中,且基板在區域之間移動。不同的處理區域由氣幕隔開,氣幕包含一種或多種淨化氣流及/或真空流,以防止氧化劑和蝕刻劑在氣相中混合。ALE處理也可藉由時域處理執行,其中處理腔室填充有氧化劑,被淨化以移除多餘的氧化劑和反應產物或副產物,被填充蝕刻劑,並接著淨化以移除多餘的蝕刻劑和反應產品或副產品。在時域處理中,基板可保持靜止In one or more embodiments, this type of ALE processing may be referred to as spatial ALE, in which various reactive gases (eg, oxidizer and etchant) flow into separate areas of the processing chamber, and the substrate is between the areas mobile. The different processing areas are separated by gas curtains, which contain one or more purifying gas flows and/or vacuum flows to prevent the oxidant and etchant from mixing in the gas phase. ALE processing can also be performed by time-domain processing, in which the processing chamber is filled with an oxidant, purified to remove excess oxidant and reaction products or by-products, filled with etchant, and then purified to remove excess etchant and Reaction products or by-products. In time domain processing, the substrate can remain stationary

在蝕刻金屬氧化物128之後,重複該處理–將金屬層124氧化以形成金屬氧化物層128,接著將其蝕刻以移除氧化物層。儘管該處理顯示為使用單個循環,但是熟悉本領域者將理解,這僅是一種表示,並且可使用多於兩個的循環來移除金屬層124。在一個或多個實施例中,處理為重複n個處理循環。在一個或多個實施例中,n為約2至約2000的範圍中的數目。在其他實施例中,n為大於約10、大於約25、大於約50、大於約75或大於約100的數目。After etching the metal oxide 128, the process is repeated-the metal layer 124 is oxidized to form the metal oxide layer 128, which is then etched to remove the oxide layer. Although this process is shown as using a single cycle, those skilled in the art will understand that this is only a representation, and more than two cycles may be used to remove the metal layer 124. In one or more embodiments, the processing is repeated n processing cycles. In one or more embodiments, n is a number in the range of about 2 to about 2,000. In other embodiments, n is a number greater than about 10, greater than about 25, greater than about 50, greater than about 75, or greater than about 100.

如第5D圖所示,處理以逐層的方法完成,直到從至少一個特徵110選擇性地移除金屬層124為止。在一些實施例中,如圖所示,保形襯裡122保留下來。在未顯示的其他實施例中,保形襯裡122被蝕刻使得其從至少一個特徵部分地或完全地移除。在一個或多個實施例中,金屬層124被選擇性地移除,使得介電材料(如,氧化矽,氮化矽層104、106)不受影響。As shown in FIG. 5D, the processing is completed in a layer-by-layer method until the metal layer 124 is selectively removed from the at least one feature 110. In some embodiments, as shown, the conformal liner 122 remains. In other embodiments not shown, the conformal liner 122 is etched such that it is partially or completely removed from at least one feature. In one or more embodiments, the metal layer 124 is selectively removed so that the dielectric material (eg, silicon oxide, silicon nitride layers 104, 106) is not affected.

在整個說明書中,對「一個實施例」、「某些實施例」、「一個或多個實施例」或「一實施例」的引用意味著結合該實施例描述的特定特徵、結構、材料或特性被包括在本揭露書的至少一個實施例中。因此,在整個說明書中各處出現的短語諸如「在一個或多個實施例中」、「在某些實施例中」、「在一個實施例中」或「在一實施例中」不一定是指本揭露書的相同實施例。此外,在一個或多個實施例中,可以任何合適的方式組合特定的特徵、結構、材料或特性。Throughout the specification, references to "one embodiment," "certain embodiments," "one or more embodiments," or "an embodiment" mean a specific feature, structure, material, or The characteristics are included in at least one embodiment of this disclosure. Therefore, phrases such as "in one or more embodiments", "in certain embodiments", "in one embodiment" or "in an embodiment" appearing in various places throughout the specification are not necessarily Refers to the same embodiment of this disclosure. In addition, in one or more embodiments, specific features, structures, materials, or characteristics may be combined in any suitable manner.

儘管於此已經參考特定實施例描述了本揭露書,但是應當理解,這些實施例僅是本揭露書的原理和應用的說明。對於熟悉本領域者將顯而易見的是,在不背離本揭露書的精神和範圍的情況下,可對本揭露書的方法和設備進行各種修改和變化。因此,意圖是本揭露書包括在附隨的申請專利範圍及其等效元件的範圍內的修改和變化。Although the disclosure has been described herein with reference to specific embodiments, it should be understood that these embodiments are merely illustrative of the principles and applications of the disclosure. It will be obvious to those familiar with the art that various modifications and changes can be made to the method and equipment of this disclosure without departing from the spirit and scope of this disclosure. Therefore, it is intended that this disclosure includes modifications and changes within the scope of the accompanying patent application and its equivalent elements.

10:基板 11:記憶體串 12:堆疊 13:頂部 14:氧化物層/間隙 15:側面 16:間隙 19:字線 20:金屬 22:覆蓋層 25:金屬氧化物 100:基板 102:基板 104:氮化物材料/氮化矽層 106:氧化物材料/氧化矽層 110:特徵 112:底表面/底部 114:第一側壁/側壁 116:第二側壁/側壁 120:基板表面 122:保形襯裡/金屬層 124:金屬層 126:覆蓋層 128:金屬氧化物層10: substrate 11: memory string 12: Stack 13: top 14: oxide layer/gap 15: side 16: gap 19: word line 20: Metal 22: Overlay 25: metal oxide 100: substrate 102: substrate 104: Nitride material/silicon nitride layer 106: oxide material/silicon oxide layer 110: Features 112: bottom surface/bottom 114: first side wall/side wall 116: second side wall/side wall 120: substrate surface 122: Conformal lining/metal layer 124: Metal layer 126: Overlay 128: metal oxide layer

因此,為了可詳細地理解本揭露書的上述特徵的方式,可藉由參考實施例來獲得上面簡要概述的本揭露書的更詳細描述,其中一些實施例顯示在附隨的圖式中。附隨的圖式僅顯示了本揭露書的典型實施例,且因此不應被認為是限制性的,因為本揭露書可允許其他等效的實施例。Therefore, in order to understand the above-mentioned features of the disclosure in detail, a more detailed description of the disclosure briefly outlined above can be obtained by referring to the embodiments, some of which are shown in the accompanying drawings. The accompanying drawings only show typical embodiments of this disclosure, and therefore should not be considered restrictive, as this disclosure may allow other equivalent embodiments.

第1圖顯示根據本揭露書的一個或多個實施例的其中將形成字線的氧化物層的堆疊;Figure 1 shows a stack of oxide layers in which word lines will be formed according to one or more embodiments of the present disclosure;

第2圖顯示了形成在第1圖的氧化物層的堆疊上的金屬膜;Figure 2 shows a metal film formed on the stack of oxide layers of Figure 1;

第3A和3B圖顯示了根據本揭露書的一個或多個實施例的高溫氧化和蝕刻處理;Figures 3A and 3B show high-temperature oxidation and etching treatments according to one or more embodiments of this disclosure;

第4A至4D圖顯示了根據本揭露書的一個或多個實施例的低溫氧化和蝕刻處理;及Figures 4A to 4D show low-temperature oxidation and etching treatments according to one or more embodiments of the present disclosure; and

第5A至5D圖顯示了根據本揭露書的一個或多個實施例的基板特徵的橫截面圖。Figures 5A to 5D show cross-sectional views of substrate features according to one or more embodiments of the present disclosure.

國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無Domestic deposit information (please note in the order of deposit institution, date and number) no Foreign hosting information (please note in the order of hosting country, institution, date, and number) no

100:基板 100: substrate

102:基板 102: substrate

104:氮化物材料/氮化矽層 104: Nitride material/silicon nitride layer

106:氧化物材料/氧化矽層 106: oxide material/silicon oxide layer

122:保形襯裡/金屬層 122: Conformal lining/metal layer

124:金屬層 124: Metal layer

126:覆蓋層 126: Overlay

128:金屬氧化物層 128: metal oxide layer

Claims (18)

一種處理方法,包含以下步驟: 在一基板上的至少一個特徵中沉積一保形襯裡,其中該保形襯裡包含氮化鈦(TiN)或氮化鉭(TaN)的一種或多種; 在該至少一個特徵中的該保形襯裡上沉積一金屬層,該金屬層包含一金屬; 將該金屬氧化至一第一深度以在該金屬層上形成一金屬氧化物層;及 蝕刻該金屬氧化物層以選擇性地移除該金屬氧化物層。A processing method including the following steps: Depositing a conformal liner in at least one feature on a substrate, wherein the conformal liner includes one or more of titanium nitride (TiN) or tantalum nitride (TaN); Depositing a metal layer on the conformal liner in the at least one feature, the metal layer including a metal; Oxidizing the metal to a first depth to form a metal oxide layer on the metal layer; and The metal oxide layer is etched to selectively remove the metal oxide layer. 如請求項1所述之方法,其中該金屬包含鎢(W)、鈦(Ti)、鉭(Ta)、鎳(Ni)、鈷(Co)或鉬(Mo)的一種或多種。The method according to claim 1, wherein the metal includes one or more of tungsten (W), titanium (Ti), tantalum (Ta), nickel (Ni), cobalt (Co), or molybdenum (Mo). 如請求項2所述之方法,其中該金屬包含鎢(W)。The method according to claim 2, wherein the metal includes tungsten (W). 如請求項1所述之方法,其中該金屬氧化物層包括氧化鎢(WO)。The method of claim 1, wherein the metal oxide layer includes tungsten oxide (WO). 如請求項1所述之方法,其中氧化該金屬在大於或等於400℃的一溫度下發生。The method of claim 1, wherein the oxidation of the metal occurs at a temperature greater than or equal to 400°C. 如請求項1所述之方法,其中蝕刻該金屬氧化物層在約100℃至約500℃的一範圍中的一溫度下發生。The method of claim 1, wherein etching the metal oxide layer occurs at a temperature in a range of about 100°C to about 500°C. 如請求項1所述之方法,其中蝕刻該金屬氧化物包含以下步驟:將該金屬氧化物曝露於一金屬鹵化物蝕刻劑。The method according to claim 1, wherein etching the metal oxide comprises the following steps: exposing the metal oxide to a metal halide etchant. 如請求項7所述之方法,其中該金屬鹵化物蝕刻劑包含WF6 、WCl5 、WCl6 或鹵氧化鎢的一種或多種。The method according to claim 7, wherein the metal halide etchant comprises one or more of WF 6 , WCl 5 , WCl 6 or tungsten oxyhalide. 一種處理方法,包含以下步驟: 在一基板表面上沉積一金屬層,該基板表面上具有至少一個特徵,該至少一個特徵將一特徵深度從該基板表面延伸至一底表面,該至少一個特徵具有由一第一側壁和一第二側壁界定的一寬度,其中該金屬層沉積在該基板表面、該至少一個特徵的該第一側壁、該第二側壁和該底表面上;及 執行一處理循環,包含以下步驟:將該金屬氧化至一第一深度以在該金屬層上形成一金屬氧化物層;及蝕刻該金屬氧化物層以選擇性地移除該金屬氧化物層。A processing method including the following steps: A metal layer is deposited on a substrate surface, the substrate surface has at least one feature, the at least one feature extends a feature depth from the substrate surface to a bottom surface, and the at least one feature has a first sidewall and a second A width defined by two sidewalls, wherein the metal layer is deposited on the substrate surface, the first sidewall, the second sidewall, and the bottom surface of the at least one feature; and Performing a processing cycle includes the following steps: oxidizing the metal to a first depth to form a metal oxide layer on the metal layer; and etching the metal oxide layer to selectively remove the metal oxide layer. 如請求項9所述之方法,其中該金屬包含鎢(W),且該金屬氧化物層包含氧化鎢(WO)。The method according to claim 9, wherein the metal includes tungsten (W), and the metal oxide layer includes tungsten oxide (WO). 如請求項9所述之方法,進一步包含以下步驟:在沉積該金屬層之前在該至少一個特徵中沉積一保形襯裡,其中該保形襯裡包含氮化鈦(TiN)或氮化鉭(TaN)的一種或多種。The method according to claim 9, further comprising the step of depositing a conformal lining in the at least one feature before depositing the metal layer, wherein the conformal lining comprises titanium nitride (TiN) or tantalum nitride (TaN) ) One or more. 如請求項9所述之方法,其中氧化該金屬在大於或等於400℃的一溫度下發生。The method of claim 9, wherein the oxidation of the metal occurs at a temperature greater than or equal to 400°C. 如請求項9所述之方法,其中蝕刻該金屬氧化物層在約100℃至約500℃的一範圍中的一溫度下發生。The method of claim 9, wherein etching the metal oxide layer occurs at a temperature in a range of about 100°C to about 500°C. 如請求項9所述之方法,其中蝕刻該金屬氧化物包含以下步驟:將該金屬氧化物曝露於一金屬鹵化物蝕刻劑,該金屬鹵化物蝕刻劑包含WF6 、WCl5 、WCl6 或鹵氧化鎢的一種或多種。The method according to claim 9, wherein etching the metal oxide comprises the following steps: exposing the metal oxide to a metal halide etchant, the metal halide etchant comprising WF 6 , WCl 5 , WCl 6 or halogen One or more types of tungsten oxide. 如請求項9所述之方法,進一步包含以下步驟:將該處理循環重複n次。The method according to claim 9, further comprising the following steps: repeat the processing cycle n times. 如請求項9所述之方法,其中氧化該金屬層包含以下步驟:使該金屬層的一表面曝露於氧氣(O2 )。The method according to claim 9, wherein oxidizing the metal layer comprises the following steps: exposing a surface of the metal layer to oxygen (O 2 ). 如請求項9所述之方法,其中該基板包含複數個交替的氧化物層和氮化物層。The method of claim 9, wherein the substrate includes a plurality of alternating oxide layers and nitride layers. 一種處理一基板的方法,該方法包含以下步驟: 在一基板上形成一膜堆疊,該膜堆疊包含複數個氧化物材料和氮化物材料的交替層,且該膜堆疊具有一堆疊厚度; 形成從該膜堆疊表面的一頂部到一底表面延伸一深度的一開口,該開口具有由一第一側壁和一第二側壁界定的一寬度; 任選地在該膜堆疊表面上及在該開口的該第一側壁、該第二側壁和該底表面上形成一阻障層,該阻障層包含一厚度在約20Å至約50Å的範圍中的TiN; 在該膜堆疊上沉積一金屬層,使得該金屬層填充該開口並以一金屬層厚度覆蓋該膜堆疊的該頂部;及 重複地氧化該金屬層的該表面以形成一金屬氧化物層,並從該至少一個特徵蝕刻該金屬氧化物層,直到移除該金屬層,氧化該表面包含以下步驟:曝露於O2 ,且蝕刻該金屬層氧化物包含以下步驟:曝露於一鹵化物蝕刻劑。A method of processing a substrate, the method comprising the following steps: forming a film stack on a substrate, the film stack including a plurality of alternating layers of oxide materials and nitride materials, and the film stack having a stack thickness; An opening extending a depth from a top to a bottom surface of the film stack surface, the opening having a width defined by a first side wall and a second side wall; optionally on the film stack surface and on the opening A barrier layer is formed on the first sidewall, the second sidewall, and the bottom surface, and the barrier layer includes TiN with a thickness in the range of about 20Å to about 50Å; and a metal layer is deposited on the film stack so that The metal layer fills the opening and covers the top of the film stack with a metal layer thickness; and repeatedly oxidizes the surface of the metal layer to form a metal oxide layer, and etches the metal oxide layer from the at least one feature Until the metal layer is removed, oxidizing the surface includes the following steps: exposing to O 2 , and etching the metal layer oxide includes the following steps: exposing to a halide etchant.
TW109128525A 2019-09-26 2020-08-21 Selective and self-limiting tungsten etch process TW202115827A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/583,749 2019-09-26
US16/583,749 US10950498B2 (en) 2017-05-31 2019-09-26 Selective and self-limiting tungsten etch process

Publications (1)

Publication Number Publication Date
TW202115827A true TW202115827A (en) 2021-04-16

Family

ID=75166445

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109128525A TW202115827A (en) 2019-09-26 2020-08-21 Selective and self-limiting tungsten etch process

Country Status (4)

Country Link
JP (1) JP7362911B2 (en)
KR (1) KR102590436B1 (en)
TW (1) TW202115827A (en)
WO (1) WO2021062145A1 (en)

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3176857B2 (en) * 1996-12-04 2001-06-18 芝浦メカトロニクス株式会社 Method for manufacturing semiconductor device
JP2004128395A (en) 2002-10-07 2004-04-22 Renesas Technology Corp Semiconductor device and method of manufacturing semiconductor device
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
KR102592325B1 (en) 2016-07-14 2023-10-20 삼성전자주식회사 Aluminum compound and methods of forming thin film and integrated circuit device
US20180033798A1 (en) * 2016-07-27 2018-02-01 Sandisk Technologies Llc Non-volatile memory with reduced variations in gate resistance
JP2019530242A (en) * 2016-09-30 2019-10-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Method for forming self-aligned vias
US10229826B2 (en) * 2016-10-21 2019-03-12 Lam Research Corporation Systems and methods for forming low resistivity metal contacts and interconnects by reducing and removing metallic oxide
WO2018156710A1 (en) 2017-02-22 2018-08-30 Applied Materials, Inc. Critical dimension control for self-aligned contact patterning
CN110678981B (en) * 2017-05-31 2023-05-23 应用材料公司 Method for word line separation in 3D-NAND device
CN111133579B (en) 2017-09-05 2023-09-01 应用材料公司 Bottom-up High Aspect Ratio Hole Formation in 3D Memory Structures
TWI778118B (en) * 2017-09-05 2022-09-21 美商應用材料股份有限公司 Self-aligned structures from sub-oxides
CN111566786B (en) * 2017-12-14 2024-03-15 应用材料公司 Method for etching metal oxide with less etching residue
TW201939628A (en) * 2018-03-02 2019-10-01 美商微材料有限責任公司 Methods for removing metal oxides

Also Published As

Publication number Publication date
WO2021062145A1 (en) 2021-04-01
JP2022548979A (en) 2022-11-22
KR20220066391A (en) 2022-05-24
KR102590436B1 (en) 2023-10-16
JP7362911B2 (en) 2023-10-17

Similar Documents

Publication Publication Date Title
TWI726210B (en) Methods for wordline separation in 3d-nand devices
CN111801439B (en) Deposition method
JP7393437B2 (en) Fully aligned subtractive process and its electronic devices
KR101631783B1 (en) Method of forming conformal metal silicide films
TW201545302A (en) Structure for integrated circuit and method for forming integrated circuit
US7709376B2 (en) Method for fabricating semiconductor device and semiconductor device
US10950498B2 (en) Selective and self-limiting tungsten etch process
US11081388B2 (en) Forming barrierless contact
US10475900B2 (en) Method for manufacturing a semiconductor device with a cobalt silicide film
TW202115827A (en) Selective and self-limiting tungsten etch process
US7306993B2 (en) Method for fabricating semiconductor device with recessed channel
TW202419664A (en) Tantalum doped ruthenium layers for interconnects
TW202331844A (en) Method for fabricating conductive feature and semiconductor device
WO2022235738A1 (en) Enhancing gapfill performance of dram word line
JP2008153407A (en) Semiconductor device and its manufacturing method