TW202101799A - Memory cell - Google Patents

Memory cell Download PDF

Info

Publication number
TW202101799A
TW202101799A TW109113392A TW109113392A TW202101799A TW 202101799 A TW202101799 A TW 202101799A TW 109113392 A TW109113392 A TW 109113392A TW 109113392 A TW109113392 A TW 109113392A TW 202101799 A TW202101799 A TW 202101799A
Authority
TW
Taiwan
Prior art keywords
layer
memory cell
memory
etch stop
pcm
Prior art date
Application number
TW109113392A
Other languages
Chinese (zh)
Inventor
林毓超
卡羅斯·H 迪亞茲
余紹銘
李東穎
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/737,886 external-priority patent/US11245071B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202101799A publication Critical patent/TW202101799A/en

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/20Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes
    • H10B63/24Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes of the Ovonic threshold switching type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/10Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/10Phase change RAM [PCRAM, PRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/063Shaping switching materials by etching of pre-deposited switching material layers, e.g. lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/066Shaping switching materials by filling of openings, e.g. damascene method
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • H10N70/8413Electrodes adapted for resistive heating
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8828Tellurides, e.g. GeSbTe

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)

Abstract

Provided are a memory cell and a method of forming the same. The memory cell includes a bottom electrode, an etching stop layer, a variable resistance layer, and a top electrode. The etching stop layer is disposed on the bottom electrode. The variable resistance layer is embedded in the etching stop layer and in contact with the bottom electrode. The top electrode is disposed on the variable resistance layer. A semiconductor device having the memory cell is also provided.

Description

記憶單元Memory unit

本發明實施例是有關於一種記憶單元。The embodiment of the present invention relates to a memory unit.

快閃記憶體是廣泛使用的非易失性記憶體類型。然而,預期快閃記憶體會遇到縮放困難。因此,正在研究非易失性記憶體的替代類型。這些非易失性記憶體的替代類型之一是相變記憶體(phase change memory;PCM)。PCM是採用PCM的相來表示資料單元的非易失性記憶體的類型。PCM具有快速讀取和寫入時間、非破壞性讀取以及高可縮放性。Flash memory is a widely used type of non-volatile memory. However, it is expected that flash memory will experience scaling difficulties. Therefore, alternative types of non-volatile memory are being studied. One of the alternative types of these non-volatile memories is phase change memory (PCM). PCM is a type of non-volatile memory that uses the phase of PCM to represent the data unit. PCM has fast read and write time, non-destructive read and high scalability.

本發明實施例的一種記憶單元包含底部電極、蝕刻停止層、可變電阻層以及頂部電極。所述蝕刻停止層安置在所述底部電極上。所述可變電阻層嵌入在所述蝕刻停止層中且與所述底部電極接觸。所述頂部電極安置在所述可變電阻層上。A memory cell according to an embodiment of the present invention includes a bottom electrode, an etching stop layer, a variable resistance layer, and a top electrode. The etch stop layer is disposed on the bottom electrode. The variable resistance layer is embedded in the etch stop layer and is in contact with the bottom electrode. The top electrode is disposed on the variable resistance layer.

本發明實施例的一種形成記憶單元的方法包含:在介電層中形成底部電極;在所述介電層上形成蝕刻停止層以覆蓋所述底部電極;在所述蝕刻停止層上形成堆疊結構,其中所述堆疊結構包括交替地堆疊的多個第一層和多個第二層;在所述堆疊結構中形成第一開口以暴露所述蝕刻停止層;使所述多個第一層從所述多個第一層的內側壁橫向凹進;通過使用所述堆疊結構作為罩幕,去除所述蝕刻停止層的一部分以形成暴露所述底部電極的第二開口;去除所述堆疊結構;在所述第二開口中形成第一可變電阻層;以及在所述第一可變電阻層上形成頂部電極。A method of forming a memory cell according to an embodiment of the present invention includes: forming a bottom electrode in a dielectric layer; forming an etch stop layer on the dielectric layer to cover the bottom electrode; and forming a stacked structure on the etch stop layer , Wherein the stacked structure includes a plurality of first layers and a plurality of second layers stacked alternately; a first opening is formed in the stacked structure to expose the etch stop layer; and the plurality of first layers are removed from The inner side walls of the plurality of first layers are recessed laterally; by using the stacked structure as a mask, removing a part of the etch stop layer to form a second opening exposing the bottom electrode; removing the stacked structure; A first variable resistance layer is formed in the second opening; and a top electrode is formed on the first variable resistance layer.

本發明實施例的一種具有記憶單元的半導體裝置包含基底、第一內連線結構、記憶單元以及第二內連線結構。所述第一內連線結構安置在所述基底上。所述記憶單元安置在所述第一內連線結構上。所述記憶單元包含底部電極、蝕刻停止層、可變電阻層以及頂部電極。所述底部電極電連接到所述第一內連線結構。所述蝕刻停止層安置在所述底部電極上。所述可變電阻層嵌入在所述蝕刻停止層中且與所述底部電極接觸。所述頂部電極安置在所述可變電阻層上。所述第二內連線結構安置在所述記憶單元上且電連接到所述頂部電極。A semiconductor device with a memory cell according to an embodiment of the present invention includes a substrate, a first interconnection structure, a memory cell, and a second interconnection structure. The first interconnection structure is arranged on the substrate. The memory unit is arranged on the first interconnection structure. The memory cell includes a bottom electrode, an etch stop layer, a variable resistance layer, and a top electrode. The bottom electrode is electrically connected to the first interconnection structure. The etch stop layer is disposed on the bottom electrode. The variable resistance layer is embedded in the etch stop layer and is in contact with the bottom electrode. The top electrode is disposed on the variable resistance layer. The second interconnection structure is disposed on the memory cell and electrically connected to the top electrode.

以下公開提供用於實施所提供主題的不同特徵的許多不同實施例或實例。下文描述元件和佈置的具體實例以簡化本公開。當然,這些僅是實例且並不意欲為限制性的。舉例來說,在以下描述中,第一特徵在第二特徵之上或第二特徵上形成可包含第一特徵與第二特徵直接接觸地形成的實施例,且還可包含可在第一特徵與第二特徵之間形成額外特徵以使得第一特徵與第二特徵可以不直接接觸的實施例。此外,本公開可以在各種實例中重複附圖標號和/或字母。這一重複是出於簡化和清晰的目的,且本身並不規定所論述的各種實施例和/或配置之間的關係。The following disclosure provides many different embodiments or examples for implementing different features of the provided subject matter. Specific examples of elements and arrangements are described below to simplify the present disclosure. Of course, these are only examples and are not intended to be limiting. For example, in the following description, the formation of the first feature on or on the second feature may include an embodiment in which the first feature is formed in direct contact with the second feature, and may also include An embodiment in which an additional feature is formed with the second feature so that the first feature and the second feature may not directly contact. In addition, the present disclosure may repeat reference numerals and/or letters in various examples. This repetition is for the purpose of simplification and clarity, and does not itself prescribe the relationship between the various embodiments and/or configurations discussed.

另外,為易於描述,本文中可使用諸如“在……之下(beneath)”、“在……下方(below)”、“下部(lower)”、“在……上方(above)”、“上部(upper)”以及類似物的空間相對術語來描述如各圖中所示出的一個元件或特徵與另一元件或特徵的關係。除圖中所描繪的定向外,空間相對術語意欲涵蓋裝置在使用或操作中的不同定向。設備可以其它方式定向(旋轉90度或處於其它定向),且本文中所使用的空間相對描述詞同樣可相應地進行解譯。In addition, for ease of description, examples such as “beneath”, “below”, “lower”, “above”, and “below The spatial relative terms of "upper" and the like describe the relationship between one element or feature and another element or feature as shown in each figure. In addition to the orientations depicted in the figures, the spatial relative terms are intended to cover different orientations of the device in use or operation. The device can be oriented in other ways (rotated by 90 degrees or in other orientations), and the spatial relative descriptors used in this article can also be interpreted accordingly.

本文中所論述的實施例可在具體上下文中論述,也就是形成記憶單元的方法,所述方法包含用可變電阻層填充蝕刻停止層的開口。在所述情況下,可變電阻層的側壁受蝕刻停止層保護而不受因乾式蝕刻製程引起的由電漿誘發的損壞。因此,可維持所沉積可變電阻層的原生性質和特性以增強記憶單元的性能和良率。The embodiments discussed herein can be discussed in a specific context, that is, a method of forming a memory cell, the method including filling the opening of the etch stop layer with a variable resistance layer. In this case, the sidewall of the variable resistance layer is protected by the etch stop layer from damage induced by the plasma caused by the dry etching process. Therefore, the native properties and characteristics of the deposited variable resistance layer can be maintained to enhance the performance and yield of the memory cell.

圖1A到圖1G是根據一個實施例的形成具有記憶單元的半導體裝置的方法的橫截面視圖。可將在下文實施例中所示出的記憶單元應用於(但不限於)相變隨機存取記憶(phase change random access memory;PCRAM)單元,下文稱為PCM單元。1A to 1G are cross-sectional views of a method of forming a semiconductor device with a memory cell according to an embodiment. The memory cell shown in the following embodiments can be applied to (but not limited to) a phase change random access memory (PCRAM) cell, which is hereinafter referred to as a PCM cell.

參考圖1A,形成具有記憶單元200的半導體裝置10(如圖1G中所繪示)的方法包含以下步驟。首先,設置圖1A中所示出的初始結構。初始結構包含第一內連線結構110、第一介電層202、第二介電層204以及底部電極206。1A, a method of forming a semiconductor device 10 (as shown in FIG. 1G) with a memory cell 200 includes the following steps. First, the initial structure shown in FIG. 1A is set. The initial structure includes a first interconnect structure 110, a first dielectric layer 202, a second dielectric layer 204, and a bottom electrode 206.

詳細地說,第一內連線結構110可包含絕緣層115和安置在絕緣層115中的導電層116。在一些實施例中,絕緣層115稱為金屬間介電(inter-metal dielectric;IMD)層,其可由介電材料製成,例如氧化矽、氮化矽、氮氧化矽、旋塗式介電材料或低k介電材料。應注意,低k介電材料通常是具有低於3.9的介電常數的介電材料。導電層116可以是導電線,且導電層116可包含常用導電材料,例如包含Al、AlCu、Cu、Ti、TiN、W以及類似物中的一種或多種的金屬或金屬合金。導電層116形成用以向隨後描述的PCM單元提供電流的電流驅動電路(未繪示)的一部分。In detail, the first interconnect structure 110 may include an insulating layer 115 and a conductive layer 116 disposed in the insulating layer 115. In some embodiments, the insulating layer 115 is called an inter-metal dielectric (IMD) layer, which can be made of a dielectric material, such as silicon oxide, silicon nitride, silicon oxynitride, spin-on dielectric Materials or low-k dielectric materials. It should be noted that low-k dielectric materials are generally dielectric materials having a dielectric constant lower than 3.9. The conductive layer 116 may be a conductive wire, and the conductive layer 116 may include commonly used conductive materials, such as metals or metal alloys containing one or more of Al, AlCu, Cu, Ti, TiN, W, and the like. The conductive layer 116 forms a part of a current driving circuit (not shown) for supplying current to the PCM cell described later.

如圖1A中所繪示,第一介電層202和第二介電層204依序堆疊在第一內連線結構110上以覆蓋導電層116的部分。底部電極206安置在第一介電層202和第二介電層204中以接觸導電層116。在一些實施例中,底部電極206的頂部表面通過第二介電層204暴露。在一些實施例中,第一介電層202與第二介電層204具有不同材料。舉例來說,第一介電層202包含碳化矽(SiC)層且第二介電層204包含富矽氧化物層。在一些替代實施例中,第一介電層202與第二介電層204具有不同蝕刻選擇性。在所述情況下,第一介電層202可稱為用以防止之下的導電層116受到由過蝕刻所導致的損壞的蝕刻停止層。As shown in FIG. 1A, the first dielectric layer 202 and the second dielectric layer 204 are sequentially stacked on the first interconnect structure 110 to cover the conductive layer 116. The bottom electrode 206 is disposed in the first dielectric layer 202 and the second dielectric layer 204 to contact the conductive layer 116. In some embodiments, the top surface of the bottom electrode 206 is exposed through the second dielectric layer 204. In some embodiments, the first dielectric layer 202 and the second dielectric layer 204 have different materials. For example, the first dielectric layer 202 includes a silicon carbide (SiC) layer and the second dielectric layer 204 includes a silicon-rich oxide layer. In some alternative embodiments, the first dielectric layer 202 and the second dielectric layer 204 have different etch selectivities. In this case, the first dielectric layer 202 may be referred to as an etch stop layer to prevent the underlying conductive layer 116 from being damaged by over-etching.

在一些實施例中,底部電極206通過包含以下步驟的單金屬鑲嵌製程來形成。首先,開口形成於第一介電層202和第二介電層204中以暴露導電層116的一部分。接著,用導電材料填充開口。在那之後,進行平坦化製程(例如,CMP製程)以去除過量的導電材料,由此形成底部電極206。在一些實施例中,底部電極206包含導電材料,例如Ti、Co、Cu、AlCu、W、TiN、TiW、TiAl、TiAlN、Ru、RuOx 或其組合。在一些替代實施例中,底部電極206稱為電耦合到導電層116或與所述導電層116接觸的加熱器。加熱器配置成產生與施加在加熱器上的電流成比例的熱。在所述情況下,加熱器可由氮化鈦(TiN)、碳化鈦(TiC)、氮化鎢(WN)、某一其它高電阻材料、Ru、RuOx 或其組合製成。此外,加熱器可在頂視圖中具有圓形、正方形或矩形輪廓。In some embodiments, the bottom electrode 206 is formed by a single damascene process including the following steps. First, openings are formed in the first dielectric layer 202 and the second dielectric layer 204 to expose a part of the conductive layer 116. Next, the opening is filled with a conductive material. After that, a planarization process (for example, a CMP process) is performed to remove excess conductive material, thereby forming the bottom electrode 206. In some embodiments, the bottom electrode 206 includes a conductive material, such as Ti, Co, Cu, AlCu, W, TiN, TiW, TiAl, TiAlN, Ru, RuO x, or a combination thereof. In some alternative embodiments, the bottom electrode 206 is referred to as a heater that is electrically coupled to or in contact with the conductive layer 116. The heater is configured to generate heat proportional to the current applied to the heater. In this case, the heater may be made of titanium nitride (TiN), titanium carbide (TiC), tungsten nitride (WN), some other high resistance material, Ru, RuO x, or a combination thereof. In addition, the heater may have a circular, square or rectangular profile in the top view.

參考圖1B,蝕刻停止層208形成於第二介電層204上以覆蓋底部電極206。在一些實施例中,蝕刻停止層208覆蓋和接觸底部電極206的頂部表面。在一些實施例中,蝕刻停止層208包含SiC、SiN、SiON、HfOx 、ZrOx 、LaOx 或其組合。蝕刻停止層208可通過任何合適的方法來形成,所述方法例如化學氣相沉積(chemical vapor deposition;CVD)。1B, an etch stop layer 208 is formed on the second dielectric layer 204 to cover the bottom electrode 206. In some embodiments, the etch stop layer 208 covers and contacts the top surface of the bottom electrode 206. In some embodiments, the etch stop layer 208 includes SiC, SiN, SiON, HfO x , ZrO x , LaO x or a combination thereof. The etch stop layer 208 may be formed by any suitable method, such as chemical vapor deposition (CVD).

接著,堆疊結構220通過任何合適的方法形成於蝕刻停止層208上,所述方法例如CVD。如圖1B中所繪示,堆疊結構220可包含交替地堆疊的多個第一層222a、第一層222b、第一層222c(統稱為“第一層222”)和多個第二層224a、第二層224b、第二層224c(統稱為“第二層224”)。儘管圖1B中僅示出三個第一層222和三個第二層224,但本公開的實施例不限於此。在其它實施例中,通過需要來調節第一層222和第二層224的數目,例如一個第一層、兩個第一層、四個第一層或更多個第一層。第二層的數目對應於第一層的數目。Next, the stacked structure 220 is formed on the etch stop layer 208 by any suitable method, such as CVD. As shown in FIG. 1B, the stacked structure 220 may include a plurality of first layers 222a, a first layer 222b, a first layer 222c (collectively referred to as a "first layer 222"), and a plurality of second layers 224a that are alternately stacked. , The second layer 224b, the second layer 224c (collectively referred to as the "second layer 224"). Although only three first layers 222 and three second layers 224 are shown in FIG. 1B, embodiments of the present disclosure are not limited thereto. In other embodiments, the number of the first layer 222 and the second layer 224 is adjusted as needed, for example, one first layer, two first layers, four first layers, or more first layers. The number of second layers corresponds to the number of first layers.

在一些實施例中,第一層222a、第一層222b以及第一層222c具有不同厚度。舉例來說,第一層222a具有第一厚度T1,第一厚度T1大於第一層222b的第二厚度T2,且第一層222c具有第二厚度T2,第二厚度T2小於或實質上等於第一層222b的第二厚度T2的第三厚度T3。亦即,T1>T2≧T3。第一厚度T1可以是300奈米到500奈米,第二厚度T2可以是200奈米到300奈米,且第三厚度T3可以是100奈米到200奈米。在一些替代實施例中,第二層224a、第二層224b以及第二層224c可具有實質上相同的厚度。然而,本公開的實施例不限於此,在其它實施例中,第二層224a、第二層224b以及第二層224c可具有不同厚度。在一些實施例中,第一層222與第二層224具有不同厚度。舉例來說,第一層222中的一個的厚度可大於第二層224中的一個的厚度。另一方面,第一層222中的一個的厚度可小於第二層224中的一個的厚度。In some embodiments, the first layer 222a, the first layer 222b, and the first layer 222c have different thicknesses. For example, the first layer 222a has a first thickness T1, the first thickness T1 is greater than the second thickness T2 of the first layer 222b, and the first layer 222c has a second thickness T2, and the second thickness T2 is less than or substantially equal to the first thickness T2. The second thickness T2 of the layer 222b is the third thickness T3. That is, T1>T2≧T3. The first thickness T1 may be 300 nm to 500 nm, the second thickness T2 may be 200 nm to 300 nm, and the third thickness T3 may be 100 nm to 200 nm. In some alternative embodiments, the second layer 224a, the second layer 224b, and the second layer 224c may have substantially the same thickness. However, the embodiments of the present disclosure are not limited thereto, and in other embodiments, the second layer 224a, the second layer 224b, and the second layer 224c may have different thicknesses. In some embodiments, the first layer 222 and the second layer 224 have different thicknesses. For example, the thickness of one of the first layers 222 may be greater than the thickness of one of the second layers 224. On the other hand, the thickness of one of the first layers 222 may be less than the thickness of one of the second layers 224.

第一層222與第二層224可具有不同材料。舉例來說,第一層222是氧化矽(SiO)層且第二層224是氮化矽(SiN)層。然而,本公開的實施例不限於此,在其它實施例中,第一層222和第二層224具有帶有不同蝕刻選擇性的材料。在一些實施例中,第一層222包含SiOx 、TEOS氧化物、熱氧化物或其組合。第二層224可包含SiNx 或Si3 N4The first layer 222 and the second layer 224 may have different materials. For example, the first layer 222 is a silicon oxide (SiO) layer and the second layer 224 is a silicon nitride (SiN) layer. However, the embodiments of the present disclosure are not limited thereto. In other embodiments, the first layer 222 and the second layer 224 have materials with different etching selectivities. In some embodiments, the first layer 222 includes SiO x , TEOS oxide, thermal oxide, or a combination thereof. The second layer 224 may include SiN x or Si 3 N 4 .

參考圖1B和圖1C,第一開口225形成於堆疊結構220中。在一些實施例中,光阻圖案形成於堆疊結構220上,且接著通過使用所述光阻圖案作為蝕刻罩幕來進行第一蝕刻製程以去除堆疊結構220的一部分,以便形成第一開口225。在一些實施例中,第一蝕刻製程包含乾式第一蝕刻製程,例如反應性離子蝕刻(reactive ion etching;RIE)製程。在所述情況下,如圖1C中所繪示,第一開口225穿透堆疊結構220且暴露蝕刻停止層208的頂部表面208t。在一些替代實施例中,蝕刻停止層208與堆疊結構220(包含第一層222和第二層224)具有不同蝕刻選擇性。也就是說,在第一蝕刻製程期間,堆疊結構220具有大於蝕刻停止層208的蝕刻速率的蝕刻速率。因此,未去除蝕刻停止層208或去除了很少的所述蝕刻停止層208,而去除了大部分堆疊結構220。另外,在一些實施例中,在形成第一開口225之後,第一層222的內側壁222s與第二層224的內側壁224s實質上對準。Referring to FIGS. 1B and 1C, the first opening 225 is formed in the stack structure 220. In some embodiments, a photoresist pattern is formed on the stack structure 220, and then a first etching process is performed by using the photoresist pattern as an etching mask to remove a part of the stack structure 220, so as to form the first opening 225. In some embodiments, the first etching process includes a dry first etching process, such as a reactive ion etching (RIE) process. In this case, as shown in FIG. 1C, the first opening 225 penetrates the stack structure 220 and exposes the top surface 208t of the etch stop layer 208. In some alternative embodiments, the etch stop layer 208 and the stacked structure 220 (including the first layer 222 and the second layer 224) have different etch selectivities. That is, during the first etching process, the stacked structure 220 has an etching rate greater than the etching rate of the etching stop layer 208. Therefore, the etch stop layer 208 is not removed or a small amount of the etch stop layer 208 is removed, and most of the stack structure 220 is removed. In addition, in some embodiments, after the first opening 225 is formed, the inner sidewall 222s of the first layer 222 and the inner sidewall 224s of the second layer 224 are substantially aligned.

參考圖1C和圖1D,通過使用第二蝕刻製程使第一層222穿過第一開口225橫向凹進。在一些實施例中,去除了通過第一開口225暴露的第一層222的部分,且因此如圖1D中所繪示,多個間隙G1、間隙G2以及間隙G3分別形成於蝕刻停止層208和第二層224中的相鄰兩個之間。在一些實施例中,第二蝕刻製程通過使用合適的蝕刻劑來包含濕式蝕刻製程,所述蝕刻劑例如稀HF溶液。稀HF溶液可包含約100:1到約500:1的稀釋比,例如100:1、200:1、300:1、400:1或500:1。也可取決於第一層222的材料來使用其它化學蝕刻劑。第二蝕刻製程可在室溫下進行30秒到3000秒。在所述情況下,最上部第一層222c與蝕刻劑接觸的時間比之下的第一層222b更長,且因此最上部第一層222c的去除量大於之下的第一層222b的去除量。類似地,第一層222b的去除量大於之下的第一層222a的去除量。因此,如圖1D中所繪示,間隙G3具有大於間隙G2的深度D2的深度D3,且間隙G1具有小於間隙G2的深度D2的深度D1。也就是說,深度D1、深度D2以及深度D3從下到上逐漸增大,也就是D1>D2>D3。本文中,深度D1、深度D2以及深度D3分別從一個第二層224的內側壁224s到相應第一層222的內側壁222s'測量。換句話說,距離(例如,深度D1、深度D2、深度D3)分別形成於一個第二層224的內側壁224s與相應第一層222的內側壁222s'之間,且因此第一層222的內側壁222s'未與第二層224的內側壁224s對準。另外,在一些替代實施例中,蝕刻停止層208與第一層222具有不同蝕刻選擇性。也就是說,在第二蝕刻製程期間,第一層222具有大於蝕刻停止層208的蝕刻速率的蝕刻速率。因此,未去除蝕刻停止層208或去除了很少的所述蝕刻停止層208,而去除了大部分第一層222。1C and 1D, the first layer 222 is recessed laterally through the first opening 225 by using a second etching process. In some embodiments, the portion of the first layer 222 exposed through the first opening 225 is removed, and therefore, as shown in FIG. 1D, a plurality of gaps G1, G2, and G3 are formed in the etch stop layer 208 and Between two adjacent ones of the second layer 224. In some embodiments, the second etching process includes a wet etching process by using a suitable etchant, such as a dilute HF solution. The dilute HF solution may include a dilution ratio of about 100:1 to about 500:1, such as 100:1, 200:1, 300:1, 400:1, or 500:1. Other chemical etchants may also be used depending on the material of the first layer 222. The second etching process can be performed at room temperature for 30 seconds to 3000 seconds. In this case, the uppermost first layer 222c is in contact with the etchant for a longer time than the lower first layer 222b, and therefore the removal amount of the uppermost first layer 222c is greater than the removal of the lower first layer 222b the amount. Similarly, the removal amount of the first layer 222b is greater than the removal amount of the underlying first layer 222a. Therefore, as shown in FIG. 1D, the gap G3 has a depth D3 greater than the depth D2 of the gap G2, and the gap G1 has a depth D1 less than the depth D2 of the gap G2. In other words, the depth D1, the depth D2, and the depth D3 gradually increase from bottom to top, that is, D1>D2>D3. Here, the depth D1, the depth D2, and the depth D3 are respectively measured from the inner sidewall 224s of one second layer 224 to the inner sidewall 222s' of the corresponding first layer 222. In other words, the distance (for example, the depth D1, the depth D2, and the depth D3) are respectively formed between the inner sidewall 224s of one second layer 224 and the inner sidewall 222s' of the corresponding first layer 222, and therefore the distance of the first layer 222 The inner sidewall 222s' is not aligned with the inner sidewall 224s of the second layer 224. In addition, in some alternative embodiments, the etch stop layer 208 and the first layer 222 have different etch selectivities. That is, during the second etching process, the first layer 222 has an etching rate greater than the etching rate of the etching stop layer 208. Therefore, the etch stop layer 208 is not removed or a small amount of the etch stop layer 208 is removed, and most of the first layer 222 is removed.

參考圖1D和圖1E,第二開口227通過去除蝕刻停止層208的一部分來形成。在一些實施例中,通過使用堆疊結構220作為罩幕,對蝕刻停止層208進行第三蝕刻製程以形成第二開口227。如圖1E中所繪示,第二開口227與第一開口225連通且安置在所述第一開口225下方。第二開口227暴露底部電極206的頂部表面206t和第二介電層204的一部分。在一些實施例中,第三蝕刻製程包含乾式蝕刻製程,例如反應性離子蝕刻(RIE)製程。第二開口227具有與間隙G1的側壁S1實質上對準的側壁227s。在其它實施例中,第二開口227稱為用於配置將要形成的記憶體元件210(如圖1F中所繪示)的容奈空間。1D and 1E, the second opening 227 is formed by removing a part of the etch stop layer 208. In some embodiments, the third etching process is performed on the etch stop layer 208 to form the second opening 227 by using the stack structure 220 as a mask. As shown in FIG. 1E, the second opening 227 communicates with the first opening 225 and is disposed under the first opening 225. The second opening 227 exposes the top surface 206 t of the bottom electrode 206 and a part of the second dielectric layer 204. In some embodiments, the third etching process includes a dry etching process, such as a reactive ion etching (RIE) process. The second opening 227 has a sidewall 227s substantially aligned with the sidewall S1 of the gap G1. In other embodiments, the second opening 227 is referred to as a containment space for disposing the memory element 210 (as shown in FIG. 1F) to be formed.

參考圖1F,填充材料213形成於圖1E中所示出的結構的部分上。在一些實施例中,填充材料213包含兩層結構,所述兩層結構具有第一填充層213a和第一填充層213a上的第二填充層213b。第一填充層213a可包含可變電阻層。第二填充層213b可包含導電層。在一些實施例中,填充材料213通過沉積方法來形成,例如物理氣相沉積(physical vapor deposition;PVD)、原子層沉積(atomic layer deposition;ALD)或類似物。在沉積製程期間,填充材料213的一部分填充在第二開口227中以形成第一圖案210,且填充材料213的其它部分形成於堆疊結構220的側壁上以形成多個第二圖案211。在一些實施例中,第一圖案210可具有與蝕刻停止層208的頂部表面208t實質上共面或低於所述頂部表面208t的頂部表面210t。在一些實施例中,由於間隙G1、間隙G2以及間隙G3足夠小且分別由相鄰第二層224包夾,所以填充材料213不容易填充在間隙G1、間隙G2以及間隙G3中。因此,如圖1F中所繪示,第二圖案211分別形成於第二層224的內側壁224s和堆疊結構220的最頂部表面(即,最上部第二層224c的頂部表面224t)上,而不填充在間隙G1、間隙G2以及間隙G3中。在一些實施例中,第二圖案211在第二層224c的內側壁224s上的厚度211t1小於第二圖案211在第二層224c的頂部表面224t上的厚度211t2。在一些實施例中,第二圖案211具有分別安置在第二層224a、第二層224b、第二層224c的內側壁224s上的相同厚度。在一些實施例中,由於第二圖案211與第一圖案210彼此分隔,所以第二圖案211和第一圖案210統稱為自動分隔圖案或自對準圖案。此外,所述圖案可在不經微影步驟和蝕刻步驟的情況下形成,由此節省製程成本。在第二圖案211彼此分隔的一些替代實施例中,第二圖案211的部分也可延伸到間隙G1、間隙G2以及間隙G3中以覆蓋第二層224的頂部表面和底部表面的部分。Referring to FIG. 1F, a filling material 213 is formed on the portion of the structure shown in FIG. 1E. In some embodiments, the filling material 213 includes a two-layer structure having a first filling layer 213a and a second filling layer 213b on the first filling layer 213a. The first filling layer 213a may include a variable resistance layer. The second filling layer 213b may include a conductive layer. In some embodiments, the filling material 213 is formed by a deposition method, such as physical vapor deposition (PVD), atomic layer deposition (ALD) or the like. During the deposition process, a part of the filling material 213 is filled in the second opening 227 to form the first pattern 210, and the other part of the filling material 213 is formed on the sidewall of the stacked structure 220 to form a plurality of second patterns 211. In some embodiments, the first pattern 210 may have a top surface 210t that is substantially coplanar or lower than the top surface 208t of the etch stop layer 208. In some embodiments, since the gap G1, the gap G2, and the gap G3 are small enough and are respectively sandwiched by the adjacent second layer 224, the filling material 213 is not easy to fill the gap G1, the gap G2, and the gap G3. Therefore, as shown in FIG. 1F, the second patterns 211 are respectively formed on the inner sidewalls 224s of the second layer 224 and the top surface of the stack structure 220 (ie, the top surface 224t of the uppermost second layer 224c), and It is not filled in the gap G1, the gap G2, and the gap G3. In some embodiments, the thickness 211t1 of the second pattern 211 on the inner sidewall 224s of the second layer 224c is smaller than the thickness 211t2 of the second pattern 211 on the top surface 224t of the second layer 224c. In some embodiments, the second pattern 211 has the same thickness respectively disposed on the inner sidewalls 224s of the second layer 224a, the second layer 224b, and the second layer 224c. In some embodiments, since the second pattern 211 and the first pattern 210 are separated from each other, the second pattern 211 and the first pattern 210 are collectively referred to as an automatic separation pattern or a self-aligned pattern. In addition, the pattern can be formed without the lithography step and the etching step, thereby saving process cost. In some alternative embodiments where the second patterns 211 are separated from each other, parts of the second patterns 211 may also extend into the gaps G1, G2, and G3 to cover parts of the top and bottom surfaces of the second layer 224.

參考圖1F和圖1G,進行剝離製程以去除堆疊結構220和堆疊結構220的第二層224上的第二圖案211。在所述情況下,暴露蝕刻停止層208。在一些實施例中,剝離製程包含通過使用合適的蝕刻劑的濕式蝕刻製程,所述蝕刻劑例如稀HF溶液。詳細地說,稀HF溶液可包含約100:1到約500:1的稀釋比,例如100:1、200:1、300:1、400:1或500:1。也可取決於第一層222的材料來使用其它化學蝕刻劑。剝離製程可在室溫下進行30秒到3000秒。在一些實施例中,由於填充材料213未覆蓋第一層222a的內側壁222s',所以在剝離製程期間容易去除第一層222a,由此去除整個堆疊結構220。1F and 1G, a lift-off process is performed to remove the second pattern 211 on the second layer 224 of the stacked structure 220 and the stacked structure 220. In this case, the etch stop layer 208 is exposed. In some embodiments, the lift-off process includes a wet etching process by using a suitable etchant, such as a dilute HF solution. In detail, the dilute HF solution may include a dilution ratio of about 100:1 to about 500:1, such as 100:1, 200:1, 300:1, 400:1, or 500:1. Other chemical etchants may also be used depending on the material of the first layer 222. The peeling process can be performed at room temperature for 30 seconds to 3000 seconds. In some embodiments, since the filling material 213 does not cover the inner sidewall 222s′ of the first layer 222a, the first layer 222a is easily removed during the peeling process, thereby removing the entire stack structure 220.

如圖1G中所繪示,在進行剝離製程之後完成具有記憶單元200的半導體裝置10。具體來說,記憶單元200可包含底部電極206、底部電極206上的蝕刻停止層208,以及嵌入在蝕刻停止層208中的第一圖案210。在一些實施例中,第一圖案210稱為記憶體元件(下文中稱為“記憶體元件210”),所述記憶體元件至少包含可變電阻層212和頂部電極214。儘管圖1G中所示出的記憶體元件210是兩層結構,但記憶體元件210可以是單層結構(例如,可變電阻層)或多層結構,例如三層結構。舉例來說,記憶體元件210是圖2A到圖2G的記憶體元件210a到記憶體元件210g中的一個,且其細節將在下文描述。As shown in FIG. 1G, the semiconductor device 10 with the memory cell 200 is completed after the peeling process is performed. Specifically, the memory cell 200 may include a bottom electrode 206, an etch stop layer 208 on the bottom electrode 206, and a first pattern 210 embedded in the etch stop layer 208. In some embodiments, the first pattern 210 is referred to as a memory element (hereinafter referred to as “memory element 210”), and the memory element includes at least a variable resistance layer 212 and a top electrode 214. Although the memory device 210 shown in FIG. 1G has a two-layer structure, the memory device 210 may have a single-layer structure (for example, a variable resistance layer) or a multilayer structure, such as a three-layer structure. For example, the memory device 210 is one of the memory device 210a to the memory device 210g of FIGS. 2A to 2G, and the details thereof will be described below.

在一些實施例中,如圖1G中所繪示,記憶體元件210包含可變電阻層212和可變電阻層212上的頂部電極214。可變電阻層212可具有低於蝕刻停止層208的頂部表面208t的頂部表面212t。頂部電極214具有與蝕刻停止層208的頂部表面208t實質上齊平或低於所述頂部表面208t的頂部表面214t。In some embodiments, as shown in FIG. 1G, the memory device 210 includes a variable resistance layer 212 and a top electrode 214 on the variable resistance layer 212. The variable resistance layer 212 may have a top surface 212t lower than the top surface 208t of the etch stop layer 208. The top electrode 214 has a top surface 214t that is substantially flush with or lower than the top surface 208t of the etch stop layer 208.

在一個實施例中,當記憶單元200是PCM單元時,可變電阻層212包含相變材料。相變材料可包含硫族化物材料,例如銦(In)-銻(Sb)-碲(Te)(indium-antimony-tellurium;IST)材料或鍺(Ge)-銻(Sb)-碲(Te)(germanium-antimony-tellurium;GST)材料。ISG材料可包含In2 Sb2 Te5 、In1 Sb2 Te4 、In1 Sb4 Te7 或類似物。GST材料可包含Ge8 Sb5 Te8 、Ge2 Sb2 Te5 、Ge1 Sb2 Te4 、Ge1 Sb4 Te7 、Ge4 Sb4 Te7 、Ge4 SbTe2 、Ge6 SbTe2 或類似物。如本文所使用的加連字號的化學組合物符號指示特定混合物或化合物中包含的元素,並且意欲表示涉及所指示元素的所有化學計算量。舉例來說,其它相變材料可包含Ge-Te、In-Se、Sb-Te、Ga-Sb、In-Sb、As-Te、Al-Te、Ge-Sb-Te、Te-Ge-As、In-Sb-Te、Te-Sn-Se、Ge-Se-Ga、Bi-Se-Sb、Ga-Se-Te、Sn-Sb-Te、In-Sb-Ge、Te-Ge-Sb-S、Te-Ge-Sn-O、Te-Ge-Sn-Au、Pd-Te-Ge-Sn、In-Se-Ti-Co、Ge-Sb-Te-Pd、Ge-Sb-Te-Co、Sb-Te-Bi-Se、Ag-In-Sb-Te、Ge-Sb-Se-Te、Ge-Sn-Sb-Te、Ge-Te-Sn-Ni、Ge-Te-Sn-Pd以及Ge-Te-Sn-Pt。其它電阻可變材料包含過渡金屬氧化物材料或包含兩種或大於兩種金屬的合金,所述兩種或大於兩種金屬例如過渡金屬、鹼土金屬和/或稀土金屬。實施例不限於與PCM單元的記憶元件相關聯的一種或多種特定可變電阻材料。在一些替代實施例中,可變電阻材料用於形成電阻式隨機存取記憶(resistive random access memory;RRAM)單元、磁阻式隨機存取記憶(magnetoresistive random access memory;MRAM)單元、鐵電隨機存取記憶(ferroelectric random access memory;FeRAM)單元或其組合的記憶元件。也就是說,可變電阻材料可包含二元金屬氧化物材料、龐磁阻材料和/或各種聚合物類電阻可變材料,或類似物。In one embodiment, when the memory cell 200 is a PCM cell, the variable resistance layer 212 includes a phase change material. The phase change material may include chalcogenide materials, such as indium (In)-antimony (Sb)-tellurium (Te) (indium-antimony-tellurium; IST) material or germanium (Ge)-antimony (Sb)-tellurium (Te) (Germanium-antimony-tellurium; GST) material. The ISG material may include In 2 Sb 2 Te 5 , In 1 Sb 2 Te 4 , In 1 Sb 4 Te 7 or the like. The GST material may include Ge 8 Sb 5 Te 8 , Ge 2 Sb 2 Te 5 , Ge 1 Sb 2 Te 4 , Ge 1 Sb 4 Te 7 , Ge 4 Sb 4 Te 7 , Ge 4 SbTe 2 , Ge 6 SbTe 2 or the like Things. The hyphenated chemical composition symbol as used herein indicates an element contained in a particular mixture or compound, and is intended to represent all stoichiometric quantities related to the indicated element. For example, other phase change materials may include Ge-Te, In-Se, Sb-Te, Ga-Sb, In-Sb, As-Te, Al-Te, Ge-Sb-Te, Te-Ge-As, In-Sb-Te, Te-Sn-Se, Ge-Se-Ga, Bi-Se-Sb, Ga-Se-Te, Sn-Sb-Te, In-Sb-Ge, Te-Ge-Sb-S, Te-Ge-Sn-O, Te-Ge-Sn-Au, Pd-Te-Ge-Sn, In-Se-Ti-Co, Ge-Sb-Te-Pd, Ge-Sb-Te-Co, Sb- Te-Bi-Se, Ag-In-Sb-Te, Ge-Sb-Se-Te, Ge-Sn-Sb-Te, Ge-Te-Sn-Ni, Ge-Te-Sn-Pd and Ge-Te- Sn-Pt. Other resistance variable materials include transition metal oxide materials or alloys including two or more metals such as transition metals, alkaline earth metals, and/or rare earth metals. The embodiments are not limited to one or more specific variable resistance materials associated with the memory elements of the PCM cell. In some alternative embodiments, variable resistance materials are used to form resistive random access memory (RRAM) cells, magnetoresistive random access memory (MRAM) cells, and ferroelectric random access memory (RRAM) cells. Access memory (ferroelectric random access memory; FeRAM) unit or a combination of memory elements. That is, the variable resistance material may include binary metal oxide materials, colossal magnetoresistance materials, and/or various polymer resistance variable materials, or the like.

在一些實施例中,頂部電極214包含導電材料,例如Ti、Co、Cu、AlCu、W、TiN、TiW、TiAl、TiAlN或其組合。頂部電極214與底部電極206可具有相同材料或不同材料。舉例來說,頂部電極214和底部電極206都由TiN製成。In some embodiments, the top electrode 214 includes a conductive material, such as Ti, Co, Cu, AlCu, W, TiN, TiW, TiAl, TiAlN, or a combination thereof. The top electrode 214 and the bottom electrode 206 may have the same material or different materials. For example, both the top electrode 214 and the bottom electrode 206 are made of TiN.

當可變電阻層212是相變材料層(下文稱為PCM層212)時,PCM層212具有表示資料位元的可變相。舉例來說,PCM層212具有可互換的結晶相和非晶相。結晶相和非晶相可分別表示二進位“1”和二進位“0”,或反之亦然。因此,PCM層212具有隨PCM層212的可變相改變的可變電阻。舉例來說,PCM層212在非晶相中具有高電阻且在結晶相中具有低電阻。When the variable resistance layer 212 is a phase change material layer (hereinafter referred to as the PCM layer 212), the PCM layer 212 has a variable phase representing a data bit. For example, the PCM layer 212 has an interchangeable crystalline phase and an amorphous phase. The crystalline phase and the amorphous phase may respectively represent binary "1" and binary "0", or vice versa. Therefore, the PCM layer 212 has a variable resistance that changes with the variable phase of the PCM layer 212. For example, the PCM layer 212 has high resistance in the amorphous phase and low resistance in the crystalline phase.

在PCM單元200的操作中,通過測量PCM單元200的電阻(即,從底部電極106到頂部電極214的電阻)來讀取PCM單元200的資料狀態。PCM層212的相表示PCM單元200的資料狀態、PCM層212的電阻或PCM單元200的電阻。另外,可通過改變PCM層212的相來設定和重置PCM單元200的資料狀態。In the operation of the PCM cell 200, the data state of the PCM cell 200 is read by measuring the resistance of the PCM cell 200 (ie, the resistance from the bottom electrode 106 to the top electrode 214). The phase of the PCM layer 212 represents the data state of the PCM cell 200, the resistance of the PCM layer 212, or the resistance of the PCM cell 200. In addition, the data state of the PCM cell 200 can be set and reset by changing the phase of the PCM layer 212.

在一些實施例中,通過加熱來改變PCM層212的相。舉例來說,底部電極(或加熱器)106將PCM層212加熱到誘發PCM層212的結晶的第一溫度,以便使PCM層212改變為結晶相(例如,以設定PCM單元200)。類似地,底部電極(或加熱器)106將PCM層212加熱到使PCM層212熔化的第二溫度,以便使PCM層212改變為非晶相(例如,以重置PCM單元200)。第一溫度低於第二溫度。在一些實施例中,第一溫度是100℃到200℃且第二溫度是500°C到800℃。In some embodiments, the phase of the PCM layer 212 is changed by heating. For example, the bottom electrode (or heater) 106 heats the PCM layer 212 to a first temperature that induces the crystallization of the PCM layer 212, so as to change the PCM layer 212 to a crystalline phase (for example, to set the PCM cell 200). Similarly, the bottom electrode (or heater) 106 heats the PCM layer 212 to a second temperature that melts the PCM layer 212 so as to change the PCM layer 212 to an amorphous phase (for example, to reset the PCM cell 200). The first temperature is lower than the second temperature. In some embodiments, the first temperature is 100°C to 200°C and the second temperature is 500°C to 800°C.

通過底部電極106產生的熱的量與施加於底部電極106的電流成比例改變。也就是說,將PCM層212加熱到高於當電流穿過所述PCM層212時的熔化溫度的溫度(即,第二溫度)。接著所述溫度快速降到結晶溫度之下。在所述情況下,PCM層212的接觸底部電極106一部分改變為具有高電阻率的非晶狀態,且因此PCM單元200的狀態改變為高電阻狀態。接著,可通過將PCM層212加熱到高於結晶溫度且低於熔化溫度的溫度(即,第一溫度)持續一定時段來將PCM層212的所述部分重置回到結晶狀態。The amount of heat generated by the bottom electrode 106 changes in proportion to the current applied to the bottom electrode 106. That is, the PCM layer 212 is heated to a temperature higher than the melting temperature when the current passes through the PCM layer 212 (ie, the second temperature). Then the temperature quickly drops below the crystallization temperature. In this case, a part of the contact bottom electrode 106 of the PCM layer 212 changes to an amorphous state having a high resistivity, and thus the state of the PCM cell 200 changes to a high resistance state. Then, the part of the PCM layer 212 may be reset back to the crystalline state by heating the PCM layer 212 to a temperature higher than the crystallization temperature and lower than the melting temperature (ie, the first temperature) for a certain period of time.

基於上文,眾所周知,PCM層212是用於操作PCM單元200的關鍵層。在本實施例中,PCM層212通過用相變材料填充在第二開口227中來形成。因此,PCM層212的側壁212s受蝕刻停止層208保護且PCM層212的頂部表面212t受頂部電極214保護。舉例來說,在依序蝕刻製程期間,PCM層212的側壁212s和頂部表面212t受保護而不受由電漿誘發的損壞。特定來說,PCM層212的側壁212s可與蝕刻停止層208(直接或實體)接觸,且因此不存在包夾在PCM層212與蝕刻停止層208之間的蝕刻殘留物。Based on the above, it is well known that the PCM layer 212 is a key layer for operating the PCM unit 200. In this embodiment, the PCM layer 212 is formed by filling the second opening 227 with a phase change material. Therefore, the sidewall 212s of the PCM layer 212 is protected by the etch stop layer 208 and the top surface 212t of the PCM layer 212 is protected by the top electrode 214. For example, during the sequential etching process, the sidewalls 212s and the top surface 212t of the PCM layer 212 are protected from damage induced by the plasma. In particular, the sidewall 212s of the PCM layer 212 may be in contact with the etch stop layer 208 (directly or physically), and therefore, there is no etching residue sandwiched between the PCM layer 212 and the etch stop layer 208.

在常規上,當通過蝕刻製程來使PCM層圖案化時,PCM層的性質歸因於製程偏差而不合需要地改變。舉例來說,經蝕刻的PCM層在中心部分和周邊部分處具有不同原子比,在經蝕刻的PCM層的側壁上剩下一些不合需要的蝕刻殘留物,或經蝕刻的PCM層的側壁不均勻且受損。換句話說,將PCM層的性質維持在初始設計是不容易的。相反,在一些實施例中,由於PCM層212在不經例如蝕刻製程的其它製程的情況下通過填充開口(例如,第二開口227)來形成,所以PCM層212可維持原生膜性質以符合初始設計。在一些實施例中,將PCM層212分成中心部分CP和包圍中心部分CP的周邊部分PP,且中心部分CP與周邊部分PP可具有相同原子比。本文中,術語“原子比”稱為一個種類的原子與另一種類的原子的比的測量值。舉例來說,當PCM層212由Ge2 Sb2 Te5 製成時,中心部分CP和周邊部分PP中的Ge、Sb以及Te的原子比都是如初始設計的2:2:5。換句話說,通過形成於開口中,PCM層212的性質未受製程偏差影響且可維持,由此增強記憶單元的性能和良率。Conventionally, when the PCM layer is patterned through an etching process, the properties of the PCM layer are undesirably changed due to process deviations. For example, the etched PCM layer has different atomic ratios at the central part and the peripheral part, and some undesirable etching residues remain on the sidewalls of the etched PCM layer, or the sidewalls of the etched PCM layer are uneven And damaged. In other words, it is not easy to maintain the properties of the PCM layer in the original design. On the contrary, in some embodiments, since the PCM layer 212 is formed by filling the opening (for example, the second opening 227) without going through other processes such as an etching process, the PCM layer 212 can maintain the native film properties to conform to the initial design. In some embodiments, the PCM layer 212 is divided into a central part CP and a peripheral part PP surrounding the central part CP, and the central part CP and the peripheral part PP may have the same atomic ratio. Herein, the term "atomic ratio" refers to a measurement of the ratio of one kind of atoms to another kind of atoms. For example, when the PCM layer 212 is made of Ge 2 Sb 2 Te 5 , the atomic ratios of Ge, Sb, and Te in the central part CP and the peripheral part PP are all 2:2:5 as originally designed. In other words, by being formed in the opening, the properties of the PCM layer 212 are not affected by process variations and can be maintained, thereby enhancing the performance and yield of the memory cell.

圖2A示出具有記憶體元件210a的記憶單元200a。記憶體元件210a類似於圖1G的記憶體元件210,也就是說,記憶體元件210a的結構、材料以及功能類似於記憶體元件210的結構、材料以及功能,且因此在本文中省略細節。記憶體元件210a與記憶體元件210之間的主要不同在於可變電阻層212a的頂部表面212t與蝕刻停止層208的頂部表面208t實質上共面。因此,頂部電極214的頂部表面214t高於蝕刻停止層208的頂部表面208t。FIG. 2A shows a memory cell 200a having a memory element 210a. The memory element 210a is similar to the memory element 210 of FIG. 1G, that is, the structure, material, and function of the memory element 210a are similar to the structure, material, and function of the memory element 210, and therefore the details are omitted herein. The main difference between the memory device 210a and the memory device 210 is that the top surface 212t of the variable resistance layer 212a and the top surface 208t of the etch stop layer 208 are substantially coplanar. Therefore, the top surface 214t of the top electrode 214 is higher than the top surface 208t of the etch stop layer 208.

圖2B示出具有記憶體元件210b的記憶單元200b。記憶單元200b的佈置和材料類似於記憶單元200的佈置和材料,且因此在本文中省略其細節。記憶單元200b與記憶單元200之間的主要不同在於記憶單元200b具有頂部電極214上的選擇器216。在一些實施例中,選擇器216包含雙向閾值開關(ovonic threshold switch;OTS)材料。OTS材料可包含對選擇器216上的所施加電壓作出回應的硫族化物材料。針對小於閾值電壓的所施加電壓,選擇器216保持在“斷開”狀態(例如,非導電狀態)下。或者,對選擇器216上的大於閾值電壓的所施加電壓作出回應,選擇器216進入“接通”狀態,例如導電狀態。也就是說,選擇器216稱為用於確定開啟或關閉記憶單元200b的開關。在一些替代實施例中,OTS材料的硫族化物材料不同於可變電阻層212的硫族化物材料。FIG. 2B shows a memory cell 200b with a memory element 210b. The arrangement and material of the memory unit 200b are similar to the arrangement and material of the memory unit 200, and therefore its details are omitted herein. The main difference between the memory cell 200 b and the memory cell 200 is that the memory cell 200 b has a selector 216 on the top electrode 214. In some embodiments, the selector 216 includes an ovonic threshold switch (OTS) material. The OTS material may include a chalcogenide material that responds to the voltage applied on the selector 216. For an applied voltage that is less than the threshold voltage, the selector 216 is maintained in an "off" state (for example, a non-conductive state). Or, in response to the applied voltage on the selector 216 that is greater than the threshold voltage, the selector 216 enters an "on" state, such as a conductive state. That is, the selector 216 is called a switch for determining whether to turn on or turn off the memory unit 200b. In some alternative embodiments, the chalcogenide material of the OTS material is different from the chalcogenide material of the variable resistance layer 212.

圖2C示出具有記憶體元件210c的記憶單元200c。記憶單元200c的佈置和材料類似於記憶單元200a的佈置和材料,且因此在本文中省略其細節。記憶單元200c與記憶單元200a之間的主要不同在於記憶單元200c具有頂部電極214上的選擇器216。在一些實施例中,選擇器216類似於圖2B的選擇器216,且因此在本文中省略其細節。FIG. 2C shows a memory cell 200c having a memory element 210c. The arrangement and material of the memory unit 200c are similar to the arrangement and material of the memory unit 200a, and therefore the details thereof are omitted herein. The main difference between the memory cell 200c and the memory cell 200a is that the memory cell 200c has a selector 216 on the top electrode 214. In some embodiments, the selector 216 is similar to the selector 216 of FIG. 2B, and therefore its details are omitted herein.

圖2D示出具有記憶體元件210d的記憶單元200d。記憶單元200d的佈置和材料類似於記憶單元200的佈置和材料,且因此在本文中省略其細節。記憶單元200d與記憶單元200之間的主要不同在於記憶單元200d的可變電阻層212b進一步延伸到第二介電層204中。在所述情況下,如圖2D中所繪示,可變電阻層212b的一部分嵌入在第二介電層204中且受所述第二介電層204保護。那是因為在圖1E中所示出的第三蝕刻製程期間第二開口227a向下延伸到第二介電層204中。換句話說,在第三蝕刻製程期間,蝕刻停止層208和第二介電層204的部分都去除了。因此,第二開口227a具有低於蝕刻停止層208的底部表面208bt的底部表面227bt,如圖2D中所繪示。因此,記憶體元件210d也具有低於蝕刻停止層208的底部表面208bt的底部表面210bt。FIG. 2D shows a memory cell 200d having a memory element 210d. The arrangement and material of the memory unit 200d are similar to the arrangement and material of the memory unit 200, and therefore the details thereof are omitted herein. The main difference between the memory cell 200 d and the memory cell 200 is that the variable resistance layer 212 b of the memory cell 200 d further extends into the second dielectric layer 204. In this case, as shown in FIG. 2D, a part of the variable resistance layer 212b is embedded in the second dielectric layer 204 and protected by the second dielectric layer 204. That is because the second opening 227a extends down into the second dielectric layer 204 during the third etching process shown in FIG. 1E. In other words, during the third etching process, the etching stop layer 208 and the second dielectric layer 204 are all removed. Therefore, the second opening 227a has a bottom surface 227bt lower than the bottom surface 208bt of the etch stop layer 208, as shown in FIG. 2D. Therefore, the memory device 210d also has a bottom surface 210bt lower than the bottom surface 208bt of the etch stop layer 208.

圖2E示出具有記憶體元件210e的記憶單元200e。記憶單元200e的佈置和材料類似於記憶單元200a的佈置和材料,且因此在本文中省略其細節。記憶單元200e與記憶單元200a之間的主要不同在於記憶單元200e的可變電阻層212c進一步延伸到第二介電層204中。因此,記憶體元件210e具有低於蝕刻停止層208的底部表面208bt的底部表面210bt。FIG. 2E shows a memory cell 200e having a memory element 210e. The arrangement and material of the memory unit 200e are similar to the arrangement and material of the memory unit 200a, and therefore the details thereof are omitted herein. The main difference between the memory cell 200e and the memory cell 200a is that the variable resistance layer 212c of the memory cell 200e further extends into the second dielectric layer 204. Therefore, the memory element 210e has a bottom surface 210bt lower than the bottom surface 208bt of the etch stop layer 208.

圖2F示出具有記憶體元件210f的記憶單元200f。記憶單元200f的佈置和材料類似於記憶單元200d的佈置和材料,且因此在本文中省略其細節。記憶單元200f與記憶單元200d之間的主要不同在於記憶單元200f具有頂部電極214上的選擇器216。在一些實施例中,選擇器216類似於圖2B的選擇器216,且因此在本文中省略其細節。FIG. 2F shows a memory cell 200f having a memory element 210f. The arrangement and material of the memory cell 200f are similar to the arrangement and material of the memory cell 200d, and thus the details thereof are omitted herein. The main difference between the memory cell 200f and the memory cell 200d is that the memory cell 200f has a selector 216 on the top electrode 214. In some embodiments, the selector 216 is similar to the selector 216 of FIG. 2B, and therefore its details are omitted herein.

圖2G示出具有記憶體元件210g的記憶單元200g。記憶單元200g的佈置和材料類似於記憶單元200e的佈置和材料,且因此在本文中省略其細節。記憶單元200g與記憶單元200e之間的主要不同在於記憶單元200g具有頂部電極214上的選擇器216。在一些實施例中,選擇器216類似於圖2B的選擇器216,且因此在本文中省略其細節。FIG. 2G shows a memory cell 200g with a memory element 210g. The arrangement and material of the memory unit 200g are similar to the arrangement and material of the memory unit 200e, and therefore the details thereof are omitted herein. The main difference between the memory cell 200 g and the memory cell 200 e is that the memory cell 200 g has a selector 216 on the top electrode 214. In some embodiments, the selector 216 is similar to the selector 216 of FIG. 2B, and therefore its details are omitted herein.

圖3是根據另一實施例的具有記憶單元的半導體裝置的橫截面視圖。將在下文實施例中所示出的記憶單元應用於(但不限於)PCM單元。結構、材料以及製程可類似於在圖1G中所繪示且參考圖1G所論述的結構、材料以及製程。因此不在本文中重複細節。FIG. 3 is a cross-sectional view of a semiconductor device having a memory cell according to another embodiment. The memory unit shown in the following embodiments is applied to (but not limited to) the PCM unit. The structure, materials, and manufacturing process may be similar to those depicted in FIG. 1G and discussed with reference to FIG. 1G. Therefore, the details are not repeated in this article.

參考圖3,半導體裝置20可包含基底100、裝置區102、第一內連線結構110、記憶單元200以及第二內連線結構120。在一些實施例中,基底100是半導體基底,例如塊狀半導體、絕緣體上半導體(semiconductor-on-insulator;SOI)基底或類似物。基底100可經(例如,用p型摻雜劑或n型摻雜劑)摻雜或未摻雜。基底100可以是晶圓,例如矽晶圓。一般來說,SOI基底是形成於絕緣體層上的一層半導體材料。絕緣體層是例如內埋氧化物(buried oxide;BOX)層、氧化矽層或類似物。絕緣體層設置在基底(通常是矽或玻璃基底)上。也可使用其它基底,例如多層或梯度基底。在一些實施例中,基底100包含:元件半導體,例如矽或鍺;化合物半導體,例如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦以及銻化銦;合金半導體,例如SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP以及GaInAsP,或其組合。3, the semiconductor device 20 may include a substrate 100, a device region 102, a first interconnect structure 110, a memory cell 200, and a second interconnect structure 120. In some embodiments, the substrate 100 is a semiconductor substrate, such as a bulk semiconductor, a semiconductor-on-insulator (SOI) substrate, or the like. The substrate 100 may be doped (for example, with a p-type dopant or an n-type dopant) or undoped. The substrate 100 may be a wafer, such as a silicon wafer. Generally speaking, an SOI substrate is a layer of semiconductor material formed on an insulator layer. The insulator layer is, for example, a buried oxide (BOX) layer, a silicon oxide layer, or the like. The insulator layer is provided on a substrate (usually a silicon or glass substrate). Other substrates can also be used, such as multilayer or gradient substrates. In some embodiments, the substrate 100 includes: device semiconductors, such as silicon or germanium; compound semiconductors, such as silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and indium antimonide; alloy semiconductors, such as SiGe , GaAsP, AlInAs, AlGaAs, GaInAs, GaInP and GaInAsP, or combinations thereof.

在一些實施例中,裝置區102在前段(front-end-of-line;FEOL)製程中安置在基底100上。裝置區102可包含廣泛多種裝置。在一些替代實施例中,裝置包含主動元件、被動元件或其組合。在一些其它實施例中,裝置包含積體電路裝置。裝置是例如電晶體、電容器、電阻器、二極體、光電二極體、熔絲裝置或其它類似裝置。在實施例中,裝置區102包含閘極結構、源極和汲極區,以及例如淺溝槽隔離(shallow trench isolation;STI)結構的隔離結構(未繪示)。在裝置區102中,各種N型金屬-氧化物半導體(N-type metal-oxide semiconductor;NMOS)和/或P型金屬-氧化物半導體(P-type metal-oxide semiconductor;PMOS)裝置(例如電晶體或記憶體以及類似物)可形成和內連以進行一個或多個功能。其它裝置(例如電容器、電阻器、二極體、光電二極體、熔絲以及類似物)也可形成於基底100之上。裝置的功能可包含記憶體、處理器、感測器、放大器、功率分佈、輸入/輸出電路或類似物。In some embodiments, the device area 102 is disposed on the substrate 100 in a front-end-of-line (FEOL) process. The device area 102 may include a wide variety of devices. In some alternative embodiments, the device includes active elements, passive elements, or combinations thereof. In some other embodiments, the device includes an integrated circuit device. The device is, for example, a transistor, a capacitor, a resistor, a diode, a photodiode, a fuse device, or other similar devices. In an embodiment, the device region 102 includes a gate structure, source and drain regions, and an isolation structure (not shown) such as a shallow trench isolation (STI) structure. In the device area 102, various N-type metal-oxide semiconductor (NMOS) and/or P-type metal-oxide semiconductor (PMOS) devices (such as electrical Crystals or memory and the like) can be formed and interconnected to perform one or more functions. Other devices (such as capacitors, resistors, diodes, photodiodes, fuses, and the like) can also be formed on the substrate 100. The function of the device may include memory, processor, sensor, amplifier, power distribution, input/output circuit, or the like.

如圖3中所繪示,第一內連線結構110安置在裝置區102上,且裝置區102安置在基底100與第一內連線結構110之間。除圖1G中所示出的絕緣層115和導電層116之外,第一內連線結構110進一步包含絕緣層111、絕緣層113、導通孔112以及導電層114。導通孔112安置在裝置區102上且電連接到所述裝置區102。導電層114安置在導通孔112上且電連接到所述導通孔112。絕緣層111、絕緣層113統稱為IMD層,所述IMD層橫向包裹導通孔112和導電層114。As shown in FIG. 3, the first interconnection structure 110 is disposed on the device area 102, and the device area 102 is disposed between the substrate 100 and the first interconnection structure 110. In addition to the insulating layer 115 and the conductive layer 116 shown in FIG. 1G, the first interconnect structure 110 further includes an insulating layer 111, an insulating layer 113, a via 112, and a conductive layer 114. The via 112 is disposed on the device area 102 and is electrically connected to the device area 102. The conductive layer 114 is disposed on the via hole 112 and electrically connected to the via hole 112. The insulating layer 111 and the insulating layer 113 are collectively referred to as an IMD layer, and the IMD layer wraps the via 112 and the conductive layer 114 laterally.

記憶單元200和第二內連線結構120依次堆疊在第一內連線結構110上。記憶單元200安置在第一內連線結構110與第二內連線結構120之間,且電連接所述第一內連線結構110和所述第二內連線結構120。具體來說,底部電極206與導電層116接觸且電連接到所述導電層116,且頂部電極214與導通孔122接觸且電連接到所述導通孔122。導電層116和導通孔122可提供電流以使記憶體元件210導電。在一個實施例中,記憶體元件210的寬度210w大於底部電極206的寬度206w。寬度210w與寬度206w的比可以是100比20。應注意,儘管在圖3中示出記憶單元200,但本公開的實施例不限於此。在其它實施例中,使用記憶單元200a到記憶單元200g中的一個來替代記憶單元200。The memory cell 200 and the second interconnection structure 120 are sequentially stacked on the first interconnection structure 110. The memory unit 200 is disposed between the first interconnect structure 110 and the second interconnect structure 120 and is electrically connected to the first interconnect structure 110 and the second interconnect structure 120. Specifically, the bottom electrode 206 is in contact with the conductive layer 116 and is electrically connected to the conductive layer 116, and the top electrode 214 is in contact with the via hole 122 and is electrically connected to the via hole 122. The conductive layer 116 and the via 122 can provide current to make the memory element 210 conductive. In one embodiment, the width 210w of the memory element 210 is greater than the width 206w of the bottom electrode 206. The ratio of the width 210w to the width 206w may be 100 to 20. It should be noted that although the memory unit 200 is shown in FIG. 3, embodiments of the present disclosure are not limited thereto. In other embodiments, one of the memory unit 200a to the memory unit 200g is used instead of the memory unit 200.

第二內連線結構120可包含第一絕緣層121、第二絕緣層123、導通孔122以及導電層124。第一絕緣層121安置在記憶單元200上以覆蓋記憶體元件210。導通孔122安置在第一絕緣層121中以電連接到記憶體元件210。第二絕緣層123安置在第一絕緣層121上。導電層124安置在第二絕緣層123中。導電層124與導通孔122接觸且電連接到所述導通孔122。在一些實施例中,絕緣層121和絕緣層123統稱為金屬間介電(IMD)層,所述金屬間介電層由介電材料製成,例如氧化矽、氮化矽、氮氧化矽、旋塗式介電材料或低k介電材料。IMD層與記憶體元件210的頂部表面210t(直接或實體)接觸。導電層124可以是導電線,且導電層124可包含金屬或金屬合金,所述金屬或金屬合金包含Al、AlCu、Cu、Ti、TiN、W或類似物中的一種或多種。導電層124是用以向記憶單元200提供電流的電流驅動電路(未繪示)的一部分。在一些實施例中,導通孔122和導電層124通過雙金屬鑲嵌製程來形成。也就是說,導通孔122和導電層124可同時形成。The second interconnect structure 120 may include a first insulating layer 121, a second insulating layer 123, a via 122 and a conductive layer 124. The first insulating layer 121 is disposed on the memory cell 200 to cover the memory element 210. The via 122 is disposed in the first insulating layer 121 to be electrically connected to the memory element 210. The second insulating layer 123 is disposed on the first insulating layer 121. The conductive layer 124 is disposed in the second insulating layer 123. The conductive layer 124 is in contact with the via hole 122 and is electrically connected to the via hole 122. In some embodiments, the insulating layer 121 and the insulating layer 123 are collectively referred to as an intermetal dielectric (IMD) layer, and the intermetal dielectric layer is made of a dielectric material, such as silicon oxide, silicon nitride, silicon oxynitride, Spin-on dielectric materials or low-k dielectric materials. The IMD layer is in contact (directly or physically) with the top surface 210t of the memory device 210. The conductive layer 124 may be a conductive wire, and the conductive layer 124 may include a metal or a metal alloy including one or more of Al, AlCu, Cu, Ti, TiN, W, or the like. The conductive layer 124 is a part of a current driving circuit (not shown) used to provide current to the memory cell 200. In some embodiments, the via 122 and the conductive layer 124 are formed by a dual damascene process. That is, the via hole 122 and the conductive layer 124 may be formed at the same time.

在一些實施例中,導電層114稱為金屬1(M1),導電層114稱為金屬n-1(Mn-1),且導電層124稱為金屬n(Mn)。記憶單元200可安置在Mn與Mn-1之間。也就是說,記憶單元200可安置在後段(back-end-of-line;BEOL)結構中的任何兩個相鄰導電層之間。舉例來說,記憶單元200安置在M2與M3之間、M3與M4之間等等。因此,記憶單元的製造製程可與半導體裝置的BEOL製程相容,由此簡化製程步驟且高效地提高集成密度。在一些替代實施例中,記憶單元200與Mn-1在相同高度。此外,一個或多個導電層可進一步安置在M1與Mn-1之間。In some embodiments, the conductive layer 114 is called metal 1 (M1), the conductive layer 114 is called metal n-1 (Mn-1), and the conductive layer 124 is called metal n (Mn). The memory cell 200 may be placed between Mn and Mn-1. That is, the memory cell 200 can be disposed between any two adjacent conductive layers in a back-end-of-line (BEOL) structure. For example, the memory unit 200 is placed between M2 and M3, between M3 and M4, and so on. Therefore, the manufacturing process of the memory cell is compatible with the BEOL process of the semiconductor device, thereby simplifying the process steps and efficiently increasing the integration density. In some alternative embodiments, the memory cell 200 and Mn-1 are at the same height. In addition, one or more conductive layers may be further disposed between M1 and Mn-1.

根據一些實施例,記憶單元包含底部電極、蝕刻停止層、可變電阻層以及頂部電極。所述蝕刻停止層安置在所述底部電極上。所述可變電阻層嵌入在所述蝕刻停止層中且與所述底部電極接觸。所述頂部電極安置在所述可變電阻層上。According to some embodiments, the memory cell includes a bottom electrode, an etch stop layer, a variable resistance layer, and a top electrode. The etch stop layer is disposed on the bottom electrode. The variable resistance layer is embedded in the etch stop layer and is in contact with the bottom electrode. The top electrode is disposed on the variable resistance layer.

在一些實施例中,所述頂部電極嵌入在所述蝕刻停止層中。In some embodiments, the top electrode is embedded in the etch stop layer.

在一些實施例中,所述頂部電極的側壁與所述可變電阻層的側壁對準。In some embodiments, the sidewall of the top electrode is aligned with the sidewall of the variable resistance layer.

在一些實施例中,所述可變電阻層的頂部表面低於所述蝕刻停止層的頂部表面或與所述蝕刻停止層的所述頂部表面實質上齊平。In some embodiments, the top surface of the variable resistance layer is lower than or substantially flush with the top surface of the etch stop layer.

在一些實施例中,所述記憶單元進一步包括橫向包裹所述底部電極的介電層,其中所述可變電阻層的一部分延伸到所述介電層中。In some embodiments, the memory cell further includes a dielectric layer laterally wrapping the bottom electrode, wherein a part of the variable resistance layer extends into the dielectric layer.

在一些實施例中,所述可變電阻層包括中心部分和周邊部分,所述中心部分與所述周邊部分具有相同原子比。In some embodiments, the variable resistance layer includes a central part and a peripheral part, and the central part and the peripheral part have the same atomic ratio.

在一些實施例中,所述可變電阻層包括鍺(Ge)-銻(Sb)-碲(Te)(GST)材料或銦(In)-銻(Sb)-碲(Te)(IST)材料。In some embodiments, the variable resistance layer includes germanium (Ge)-antimony (Sb)-tellurium (Te) (GST) material or indium (In)-antimony (Sb)-tellurium (Te) (IST) material .

在一些實施例中,所述記憶單元進一步包括安置在所述頂部電極上的選擇器。In some embodiments, the memory unit further includes a selector disposed on the top electrode.

在一些實施例中,所述選擇器包括雙向閾值開關(OTS)材料。In some embodiments, the selector includes a bidirectional threshold switch (OTS) material.

在一些實施例中,所述記憶單元包括相變隨機存取記憶(PCRAM)單元、電阻式隨機存取記憶(RRAM)單元、磁阻式隨機存取記憶(MRAM)單元、鐵電隨機存取記憶(FeRAM)單元或其組合。In some embodiments, the memory cell includes a phase change random access memory (PCRAM) cell, a resistive random access memory (RRAM) cell, a magnetoresistive random access memory (MRAM) cell, a ferroelectric random access Memory (FeRAM) unit or its combination.

根據一些實施例,一種形成記憶單元的方法包含:在介電層中形成底部電極;在所述介電層上形成蝕刻停止層以覆蓋所述底部電極;在所述蝕刻停止層上形成堆疊結構,其中所述堆疊結構包括交替地堆疊的多個第一層和多個第二層;在所述堆疊結構中形成第一開口以暴露所述蝕刻停止層;使所述多個第一層從所述多個第一層的內側壁橫向凹進;通過使用所述堆疊結構作為罩幕,去除所述蝕刻停止層的一部分以形成暴露所述底部電極的第二開口;去除所述堆疊結構;在所述第二開口中形成第一可變電阻層;以及在所述第一可變電阻層上形成頂部電極。According to some embodiments, a method of forming a memory cell includes: forming a bottom electrode in a dielectric layer; forming an etch stop layer on the dielectric layer to cover the bottom electrode; and forming a stack structure on the etch stop layer , Wherein the stacked structure includes a plurality of first layers and a plurality of second layers alternately stacked; a first opening is formed in the stacked structure to expose the etch stop layer; and the plurality of first layers The inner side walls of the plurality of first layers are recessed laterally; by using the stacked structure as a mask, removing a part of the etch stop layer to form a second opening exposing the bottom electrode; removing the stacked structure; A first variable resistance layer is formed in the second opening; and a top electrode is formed on the first variable resistance layer.

在一些實施例中,所述形成所述填充材料包括形成可變電阻材料和所述可變電阻材料上的頂部電極材料。In some embodiments, the forming the filling material includes forming a variable resistance material and a top electrode material on the variable resistance material.

在一些實施例中,所述多個第一層與所述多個第二層具有不同厚度。In some embodiments, the plurality of first layers and the plurality of second layers have different thicknesses.

在一些實施例中,所述多個第一層的厚度沿從所述底部電極到所述第一圖案的方向逐漸減小。In some embodiments, the thickness of the plurality of first layers gradually decreases in a direction from the bottom electrode to the first pattern.

在一些實施例中,在使所述多個第一層凹進之後,多個間隙分別形成於所述多個第二層之間,且所述多個間隙的深度沿從所述底部電極到所述第一圖案的方向逐漸增大。In some embodiments, after the plurality of first layers are recessed, a plurality of gaps are respectively formed between the plurality of second layers, and the depth of the plurality of gaps extends from the bottom electrode to The direction of the first pattern gradually increases.

在一些實施例中,所述多個第一層與所述多個第二層對於在所述使所述多個第一層凹進的步驟中使用的蝕刻劑具有不同蝕刻選擇性。In some embodiments, the plurality of first layers and the plurality of second layers have different etching selectivities for the etchant used in the step of recessing the plurality of first layers.

在一些實施例中,所述蝕刻停止層與多個第一層對於在所述去除所述蝕刻阻止層的所述部分的步驟中使用的蝕刻劑具有不同蝕刻選擇性。In some embodiments, the etch stop layer and the plurality of first layers have different etch selectivities for the etchant used in the step of removing the portion of the etch stop layer.

在一些實施例中,所述去除所述堆疊結構包括對所述堆疊結構進行剝離製程以暴露所述蝕刻停止層。In some embodiments, the removing the stacked structure includes performing a lift-off process on the stacked structure to expose the etch stop layer.

根據一些實施例,一種具有記憶單元的半導體裝置包含基底、第一內連線結構、記憶單元以及第二內連線結構。所述第一內連線結構安置在所述基底上。所述記憶單元安置在所述第一內連線結構上。所述記憶單元包含底部電極、蝕刻停止層、可變電阻層以及頂部電極。所述底部電極電連接到所述第一內連線結構。所述蝕刻停止層安置在所述底部電極上。所述可變電阻層嵌入在所述蝕刻停止層中且與所述底部電極接觸。所述頂部電極安置在所述可變電阻層上。所述第二內連線結構安置在所述記憶單元上且電連接到所述頂部電極。According to some embodiments, a semiconductor device with a memory cell includes a substrate, a first interconnection structure, a memory cell, and a second interconnection structure. The first interconnection structure is arranged on the substrate. The memory unit is arranged on the first interconnection structure. The memory cell includes a bottom electrode, an etch stop layer, a variable resistance layer, and a top electrode. The bottom electrode is electrically connected to the first interconnection structure. The etch stop layer is disposed on the bottom electrode. The variable resistance layer is embedded in the etch stop layer and is in contact with the bottom electrode. The top electrode is disposed on the variable resistance layer. The second interconnection structure is disposed on the memory cell and electrically connected to the top electrode.

在一些實施例中,所述具有記憶單元的半導體裝置進一步包括安置在所述頂部電極與所述第二內連線結構之間的選擇器。In some embodiments, the semiconductor device with a memory cell further includes a selector disposed between the top electrode and the second interconnection structure.

前文概述若干實施例的特徵以使本領域的技術人員可更好地理解本公開的各方面。本領域的技術人員應瞭解,其可以易於使用本公開作為設計或修改用於進行本文中所介紹的實施例的相同目的和/或實現相同優勢的其它製程和結構的基礎。本領域的技術人員還應認識到,這種等效構造並不脫離本公開的精神和範圍,且本領域的技術人員可在不脫離本公開的精神和範圍的情況下在本文中進行各種改變、替代以及更改。The foregoing summarizes the features of several embodiments so that those skilled in the art may better understand various aspects of the present disclosure. Those skilled in the art should understand that they can easily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purpose and/or achieving the same advantages of the embodiments described herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and those skilled in the art can make various changes herein without departing from the spirit and scope of the present disclosure. , Replacement and change.

10:半導體裝置 100:基底 102:裝置區 106、206:底部電極 110:第一內連線結構 111、113、115:絕緣層 112、122:導通孔 114、116、124:導電層 120:第二內連線結構 121:第一絕緣層 123:第二絕緣層 200、200a、200b、200c、200d、200e、200f、200g:記憶單元 202:第一介電層 204:第二介電層 206t、208t、210t、212t、214t、224t:頂部表面 206w、210w:寬度 208:蝕刻停止層 208bt、210bt、227bt:底部表面 210、210a、210b、210c、210d、210e、210f、210g:記憶體元件 211:第二圖案 211t1、211t2:厚度 212、212a、212b、212c:可變電阻層 212s、227s、S1:側壁 213:填充材料 213a:第一填充層 213b:第二填充層 214:頂部電極 216:選擇器 220:堆疊結構 222、222a、222b、222c:第一層 222s、222s'、224s:內側壁 224、224a、224b、224c:第二層 225:第一開口 227、227a:第二開口 CP:中心部分 D1、D2、D3:深度 G1、G2、G3:間隙 M1:金屬1 Mn:金屬n Mn-1:金屬n-1 PP:周邊部分 T1:第一厚度 T2:第二厚度 T3:第三厚度10: Semiconductor device 100: base 102: Device area 106, 206: bottom electrode 110: The first internal connection structure 111, 113, 115: insulating layer 112, 122: Via hole 114, 116, 124: conductive layer 120: The second internal connection structure 121: first insulating layer 123: second insulating layer 200, 200a, 200b, 200c, 200d, 200e, 200f, 200g: memory unit 202: first dielectric layer 204: second dielectric layer 206t, 208t, 210t, 212t, 214t, 224t: top surface 206w, 210w: width 208: Etch stop layer 208bt, 210bt, 227bt: bottom surface 210, 210a, 210b, 210c, 210d, 210e, 210f, 210g: memory components 211: The second pattern 211t1, 211t2: thickness 212, 212a, 212b, 212c: variable resistance layer 212s, 227s, S1: sidewall 213: filling material 213a: the first filling layer 213b: second filling layer 214: Top electrode 216: selector 220: Stacked structure 222, 222a, 222b, 222c: first layer 222s, 222s', 224s: inner wall 224, 224a, 224b, 224c: second layer 225: The first opening 227, 227a: second opening CP: Central part D1, D2, D3: depth G1, G2, G3: gap M1: Metal 1 Mn: metal n Mn-1: Metal n-1 PP: Peripheral part T1: first thickness T2: second thickness T3: third thickness

結合附圖閱讀以下具體實施方式會最好地理解本公開的各方面。應注意,根據業界中的標準慣例,各種特徵未按比例繪製。實際上,為了論述清楚起見,可任意增大或減小各個特徵的尺寸。 圖1A到圖1G是根據一個實施例的形成具有記憶單元的半導體裝置的方法的橫截面視圖。 圖2A到圖2G是繪示根據各種實施例的記憶單元的橫截面視圖。 圖3是根據另一實施例的具有記憶單元的半導體裝置的橫截面視圖。Various aspects of the present disclosure can be best understood by reading the following specific embodiments in conjunction with the accompanying drawings. It should be noted that according to standard practices in the industry, various features are not drawn to scale. In fact, for clarity of discussion, the size of each feature can be increased or decreased arbitrarily. 1A to 1G are cross-sectional views of a method of forming a semiconductor device with a memory cell according to an embodiment. 2A to 2G are cross-sectional views showing memory cells according to various embodiments. FIG. 3 is a cross-sectional view of a semiconductor device having a memory cell according to another embodiment.

10:半導體裝置 10: Semiconductor device

110:第一內連線結構 110: The first internal connection structure

115:絕緣層 115: insulating layer

116:導電層 116: conductive layer

200:記憶單元 200: memory unit

202:第一介電層 202: first dielectric layer

204:第二介電層 204: second dielectric layer

206:底部電極 206: bottom electrode

208:蝕刻停止層 208: Etch stop layer

208t、212t、214t:頂部表面 208t, 212t, 214t: top surface

210:記憶體元件 210: memory component

212:可變電阻層 212: Variable resistance layer

212s:側壁 212s: sidewall

214:頂部電極 214: Top electrode

227:第二開口 227: second opening

CP:中心部分 CP: Central part

PP:周邊部分 PP: Peripheral part

Claims (1)

一種記憶單元,包括: 底部電極; 蝕刻停止層,安置在所述底部電極上; 可變電阻層,嵌入在所述蝕刻停止層中且與所述底部電極接觸;以及 頂部電極,安置在所述可變電阻層上。A memory unit, including: Bottom electrode An etch stop layer, arranged on the bottom electrode; A variable resistance layer embedded in the etch stop layer and in contact with the bottom electrode; and The top electrode is arranged on the variable resistance layer.
TW109113392A 2019-06-25 2020-04-21 Memory cell TW202101799A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962866587P 2019-06-25 2019-06-25
US62/866,587 2019-06-25
US16/737,886 2020-01-08
US16/737,886 US11245071B2 (en) 2019-06-25 2020-01-08 Memory cell, method of forming the same, and semiconductor device having the same

Publications (1)

Publication Number Publication Date
TW202101799A true TW202101799A (en) 2021-01-01

Family

ID=74042785

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109113392A TW202101799A (en) 2019-06-25 2020-04-21 Memory cell

Country Status (1)

Country Link
TW (1) TW202101799A (en)

Similar Documents

Publication Publication Date Title
US11245071B2 (en) Memory cell, method of forming the same, and semiconductor device having the same
JP4955204B2 (en) Phase change memory element and method for forming the same
US11489113B2 (en) Semiconductor device, memory cell and method of forming the same
EP3955327A1 (en) Semiconductor device and memory cell
US20240284809A1 (en) Memory cell, semiconductor device having the same, and methods of manufacturing the same
US20240090354A1 (en) Memory cell, method of forming the same, and semiconductor device having the same
US20240196764A1 (en) Semiconductor device, memory cell and method of forming the same
US20220359616A1 (en) Memory device
US11158788B2 (en) Atomic layer deposition and physical vapor deposition bilayer for additive patterning
US10833267B2 (en) Structure and method to form phase change memory cell with self- align top electrode contact
TW202101799A (en) Memory cell
CN108123035B (en) Phase change memory
US11605779B2 (en) Memory cell, method of forming the same, and semiconductor die
US20220344583A1 (en) Memory cell, semiconductor device having the same, and methods of manufacturing the same
US10916584B2 (en) Semiconductor device including a data storage pattern and a method of manufacturing the same
US20230189672A1 (en) Pcm cell with nanoheater surrounded with airgaps
TW202310335A (en) Semiconductor device, memory cell and method of forming the same
TW202243204A (en) Memory device, method of forming the same, and semiconductor device having the same
KR20080099459A (en) Memory device and method of forming the same