TW202046425A - 透過用於半導體處理設備的加熱腔室殼體減少腔室壁上的凝結氣體 - Google Patents

透過用於半導體處理設備的加熱腔室殼體減少腔室壁上的凝結氣體 Download PDF

Info

Publication number
TW202046425A
TW202046425A TW108144839A TW108144839A TW202046425A TW 202046425 A TW202046425 A TW 202046425A TW 108144839 A TW108144839 A TW 108144839A TW 108144839 A TW108144839 A TW 108144839A TW 202046425 A TW202046425 A TW 202046425A
Authority
TW
Taiwan
Prior art keywords
chamber
workpiece
cleaning gas
vacuum
temperature
Prior art date
Application number
TW108144839A
Other languages
English (en)
Inventor
約翰 巴格特
Original Assignee
美商艾克塞利斯科技公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商艾克塞利斯科技公司 filed Critical 美商艾克塞利斯科技公司
Publication of TW202046425A publication Critical patent/TW202046425A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3171Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Automation & Control Theory (AREA)
  • Robotics (AREA)
  • Plasma & Fusion (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Furnace Details (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

一種工件處理系統具有腔室,該腔室備有界定圍成腔室容積的表面之一個或多個腔室壁。一個或多個腔室壁加熱器選擇性將腔室壁加熱到腔室壁溫度。在腔室內的工件支架選擇性支撐具有一個或多個材料之工件,一個或多個材料具有各自的凝結溫度,在高於各自的凝結溫度時,一個或多個材料各自處於氣態。加熱器裝置選擇性將工件加熱到預定溫度。控制器藉由控制加熱器裝置來將工件加熱到預定溫度,加熱一個或多個材料以在腔室容積內各自形成一個或多個除氣材料。控制器藉由控制腔室壁加熱器來進而控制腔室壁溫度,其中腔室壁溫度是高於除氣材料的凝結溫度,防止除氣材料凝結在腔室表面上。

Description

透過用於半導體處理設備的加熱腔室殼體減少腔室壁上的凝結氣體
本揭露內容通常關於工件處理系統及用於處理工件的方法,且更具體地相關在具有熱夾頭的腔室中降低除氣材料凝結的系統、裝置及方法。 [相關申請案之參照]
本申請案主張於西元2019年1月4日所提出且標題為“透過用於半導體處理設備的加熱腔室殼體減少腔室壁上的凝結氣體”之美國申請案第16/239,995號的裨益,其內容以參照方式而整體納入本文。
在半導體處理中,諸如離子佈植之許多操作可被實行在工件或半導體晶圓上。隨著離子佈植處理技術進步,在工件的各種離子佈植溫度可經實施以達成在工件中的各種佈植特性。舉例來說,在習知離子佈植處理中,通常考量三種溫度型態:冷佈植,其中工件的處理溫度維持在低於室溫的溫度;熱佈植,其中工件的處理溫度維持在典型範圍從100-600°C的高溫;以及所謂的準室溫佈植,其中工件的處理溫度維持在稍微高於室溫而低於高溫佈植所使用者的溫度,且準室溫佈植溫度典型範圍為從50-100°C。
舉例來說,熱佈植變得愈來愈普遍,藉此處理溫度典型經由專用的高溫靜電夾頭(ESC,electrostatic chuck)(亦稱為加熱夾頭)所達成。加熱夾頭將工件在佈植期間保持或夾持在其表面。舉例來說,習知的高溫ESC包含嵌入在夾持表面下方的一組加熱器以將ESC與工件加熱到處理溫度(例如:100°C -600°C),藉此氣體介面常規上提供從夾持表面到工件背面的熱介面。典型而言,高溫ESC是透過能量輻射到在背景的腔室表面而冷卻。
本揭露內容藉由提出一種用於減輕和腔室中的工件加熱有關聯的除氣材料凝結之系統、裝置、及方法以克服先前技術的限制。本揭露內容的各種觀點提供優於習知系統及方法的優點,特別有利為提供在利用熱夾頭之加熱離子佈植系統中。是以,以下提出揭露內容的簡化概論以提供此揭露內容的一些觀點之基本瞭解。此概論並非揭露內容的廣泛概觀。意圖既非判別本發明的關鍵或不可或缺的要素且亦非描繪本發明的範疇。其目的是以簡化形式來提出揭露內容的一些概念而作為稍後提出的更詳細說明之序言。
根據揭露內容的一個示範觀點,提出一種工件處理系統該工件處理系統具有腔室,該腔室具有界定通常圍成腔室容積的各自一個或多個表面之一個或多個腔室壁。一個或多個腔室壁加熱器是和一個或多個腔室壁有關聯。舉例來說,一個或多個腔室壁加熱器被配置以選擇性將一個或多個腔室壁加熱到腔室壁溫度。在一個實例中,一個或多個腔室壁加熱器包含熱燈、紅外線加熱器、與電阻式加熱器之一者或多者。
舉例來說,工件支架被定位在腔室內且配置以選擇性支撐在上面存在有一個或多個材料之工件。舉例來說,一個或多個材料各者具有相關聯的各自凝結溫度,當高於各自凝結溫度時,一個或多個材料各自處於氣態。舉例來說,加熱器裝置被進而提供且配置以選擇性將工件加熱到預定溫度。加熱器裝置可包含熱燈、紅外線加熱器、與電阻式加熱器之一者或多者。
根據本揭露內容,控制器被進一步提供且配置以經由加熱器裝置之控制來將工件加熱到預定溫度。是以,一個或多個材料被加熱以在腔室容積內各自形成一個或多個除氣材料。舉例來說,控制器被進一步配置以經由一個或多個腔室壁加熱器之控制來控制腔室壁溫度,其中腔室壁溫度是高於和一個或多個除氣材料有關聯的凝結溫度。如此,通常防止除氣材料之凝結在一個或多個腔室表面上。根據一個實例,腔室壁溫度是基於和一個或多個預定材料有關聯的除氣曲線而確定。
根據另一個實例,系統更包含可運作耦接到腔室且配置以提供在腔室容積與第一環境之間的選擇性流體連通之第一負載鎖閥。舉例來說,第一負載鎖閥被進一步配置以選擇性在腔室容積與第一環境之間通過工件。第二負載鎖閥可運作耦接到腔室且配置以提供在腔室容積與第二環境之間的選擇性流體連通。舉例來說,第二負載鎖閥被進一步配置以選擇性在腔室容積與第二環境之間通過工件。
在一個實例中,控制器被進一步配置以選擇性打開及閉合第一負載鎖閥,藉此選擇性將腔室容積與第一環境隔離。控制器可被進而配置以選擇性打開及閉合第二負載鎖閥,藉此選擇性將腔室容積與第二環境隔離。
在另一個示範觀點中,腔室包含和腔室容積為流體連通的真空埠與清洗氣體埠(purge gas port)。舉例來說,工件處理系統更包含真空源及配置以提供在真空源與真空埠之間的選擇性流體連通之真空閥。清洗氣體源具有與其有關聯的清洗氣體,且清洗氣體閥被配置以提供在清洗氣體源與清洗氣體埠之間的選擇性流體連通,其中真空閥與清洗氣體閥被配置以選擇性使清洗氣體從清洗氣體埠流通到真空埠。舉例來說,控制器可被進一步配置以控制真空閥與清洗氣體閥在工件加熱的同時以預定壓力來選擇性使清洗氣體從清洗氣體埠流通到真空埠。如此,除氣材料可通常從腔室容積所排出,藉此除氣材料之凝結通常為防止在一個或多個腔室表面上。
在一個實例中,第一環境包含在大氣壓力的大氣環境,且第二環境包含在真空壓力的真空環境。舉例來說,控制器可因此被配置以在第二負載鎖閥將腔室容積與第二環境隔離的同時而使清洗氣體從清洗氣體埠流通到真空埠。在另一個實例中,控制器可被配置以在第二負載鎖閥將腔室容積與第二環境隔離且第一負載鎖閥將腔室容積與第一環境隔離的同時而使清洗氣體從清洗氣體埠流通到真空埠。舉例來說,控制器可被進一步配置以在工件加熱的同時而打開清洗氣體閥與真空閥,藉此進而同時以預定壓力來使清洗氣體從清洗氣體埠流通到真空埠。
根據另一個實例,清洗氣體閥可包含清洗氣體調節器。真空閥可更包含真空調節器,其中清洗氣體調節器與真空調節器被配置以當清洗氣體從清洗氣體埠流通到真空埠而提供預定壓力。舉例來說,控制器可被進一步配置以控制清洗氣體調節器與真空調節器之一者或多者,藉此控制預定壓力。在另一個實例中,清洗氣體調節器與真空調節器之一者或多者包含手動調節器。
根據又一個實例,溫度測量裝置被進一步配置以確定工件的測量溫度。如此,控制器可被進而配置以至少部分基於工件的測量溫度來控制一個或多個腔室壁加熱器。
在再一個實例中,工件支架可包含具有配置以接觸工件背面的支撐表面之加熱台板。舉例來說,加熱台板可通常界定加熱器裝置。在另一個實例中,工件支架可包含配置以選擇性將工件升高及降低到與其有關聯的支撐表面上之一個或多個插銷。
根據揭露內容的還有一個示範觀點,提出一種負載鎖裝置具有腔室,該腔室具有界定通常圍成腔室容積的各自一個或多個表面之一個或多個腔室壁。舉例來說,腔室包含真空埠與清洗氣體埠,其中真空埠是和腔室容積與真空閥為流體連通,且其中清洗氣體埠是和腔室容積與清洗氣體閥為流體連通。舉例來說,真空埠與清洗氣體埠通常為彼此相對。
舉例來說,一個或多個腔室壁加熱器是和一個或多個腔室壁有關聯,其中一個或多個腔室壁加熱器被配置以選擇性將一個或多個腔室壁加熱到腔室壁溫度。舉例來說,工件支架被定位在腔室內且配置以選擇性支撐在上面存在有一個或多個材料之工件。舉例來說,一個或多個材料各者具有相關聯的各自凝結溫度,當高於各自凝結溫度時,一個或多個材料各自處於氣態。
加熱台板可進而和工件支架有關聯,其中加熱台板被配置以選擇性將工件加熱到預定溫度,其中加熱台板被定位在真空埠與清洗氣體埠之間。控制器可被進而提供且配置以經由加熱器裝置之控制來將工件加熱到預定溫度,藉此加熱一個或多個材料以各自形成在腔室容積內的一個或多個除氣材料。舉例來說,控制器被進而配置以經由一個或多個腔室壁加熱器之控制來控制腔室壁溫度,其中腔室壁溫度是高於和一個或多個除氣材料有關聯的凝結溫度,藉此防止除氣材料之凝結在一個或多個腔室表面上。控制器可被進一步配置以選擇性使所述清洗氣體流通過加熱台板。
根據揭露內容的再一個示範觀點,一種用於減輕工件除氣凝結的方法被提出。所述方法包含在具有通常界定腔室容積的一個或多個腔室表面之腔室中加熱工件,藉此產生除氣材料。所述方法更包含在工件加熱的同時而將一個或多個腔室表面加熱到預定壓力,其中預定壓力通常防止除氣材料凝結在一個或多個腔室表面上。在一個實例中,將一個或多個腔室表面加熱包含選擇性啟動和一個或多個腔室表面有關聯的一個或多個腔室壁加熱器。
以上概論僅為意圖以提供本揭露內容的一些實施例的一些特徵之簡略概觀,且其他實施例可包含除了上述者之外的附加及/或不同特徵。尤其,此概論並非理解為限制本申請案的範疇。因此,為了達成前述與相關目的,揭露內容包含在下文所描述且在申請專利範圍所特別指出的特徵。以下說明與隨附圖式詳細陳述揭露內容的某些說明性實施例。然而,這些實施例指明揭露內容可被運用在其中的各種方式之少數者。本揭露內容之其他目的、優點與新穎特徵將當連同圖式所考量時從揭露內容的以下詳細說明而變得顯明。
本揭露內容通常針對於半導體處理系統及方法,且尤指用於離子佈植系統的腔室,其中所述腔室被配置以控制工件的溫度。舉例來說,腔室包含配置以減輕和工件加熱有關聯之來自工件的除氣材料凝結之負載鎖腔室。
是以,本揭露內容將參考圖式而描述,其中同樣參考標號可使用以指稱圖式中的同樣元件。應瞭解的是,這些觀點的描述僅為說明性質且不應以限制意義來解讀。在以下說明中,為了解說,諸多特定細節被陳述以提供本揭露內容的徹底瞭解。然而,將對於熟習此技術人士而言為明顯的是,本揭露內容可無需這些特定細節而實行。
加熱離子佈植處理可將工件加熱到在100°C-600°C或更高之範圍中的處理溫度。舉例來說,處理溫度可在佈植期間支撐工件的靜電夾頭所達成且維持。根據本揭露內容的各種觀點,圖1說明示範的離子佈植系統100。在本實例中的離子佈植系統100包含示範的離子佈植裝置101,然而,各種其他型式之基於真空的半導體處理系統亦為預期,諸如:電漿處理系統、或其他半導體處理系統。舉例來說,離子佈植裝置101包含終端102、束線組件104與末端站106。
通常而言,在終端102中的離子源108被耦接到電源供應器110以將摻雜劑氣體離子化成為複數個離子且形成離子束112。在本實例中的離子束112被指向通過質量分析裝置114,且從縫隙116出來而朝向末端站106。在末端站106,離子束112轟擊工件118 (例如:諸如矽晶圓的基板、顯示面板、等等),其為選擇性夾持或安裝到熱夾頭120。舉例來說,熱夾頭120可包含靜電夾頭(ESC)或機械夾鉗夾頭,其中熱夾頭被配置以選擇性控制工件118的溫度。一旦嵌入到工件118的晶格中,佈植離子改變工件的物理及/或化學性質。因為此,離子佈植被使用在半導體元件製造與金屬表面處理、以及在材料科學研究中的各種應用。
本揭露內容的離子束112可採取任何形式,諸如:筆狀或光點狀射束、帶狀射束、掃描射束、或離子被指引朝向末端站106之任何其他形式,且所有上述形式被預期為歸屬於本揭露內容的範疇內。
根據一個示範觀點,末端站106包含處理腔室122,諸如真空腔室124,其中處理環境126是和處理腔室有關聯。處理環境126通常存在於處理腔室122內,且在一個實例中,包含由耦接到處理腔室且配置以實質將處理腔室排出之真空源128 (例如:真空泵)所產生的真空。
在一個實例中,離子佈植裝置101被配置以提供高溫離子佈植,其中工件118被加熱到處理溫度(例如:大約100-600°C或更高)。因此,在本實例中,熱夾頭120包含加熱夾頭130,其中加熱夾頭被配置以在工件暴露到離子束112之前、期間及/或之後而當進一步加熱在處理腔室122內的工件118時來支撐且維持工件118。
舉例來說,加熱夾頭130包含配置以將工件118加熱到顯著為高於周圍或外部環境132 (亦稱為“大氣”環境)的周圍或大氣溫度之處理溫度的靜電夾頭(ESC)。加熱系統134可被進而提供,其中加熱系統被配置以將加熱夾頭130加熱,且接著將存在於其上的工件118加熱到期望的處理溫度。舉例來說,加熱系統134被配置以經由配置在加熱夾頭130內的一個或多個加熱器136而選擇性將工件118加熱。在一個替代者中,加熱系統134包含輻射熱源,諸如:配置以選擇性將工件加熱之一個或多個鹵素燈、發光二極體與紅外線熱裝置。
針對於一些高溫佈植,工件118可允許在處理環境126的真空內以“保溫(soak)”在加熱夾頭130上而直到期望溫度達到為止。替代而言,為了增加通過離子佈植系統100的循環時間,工件118可在運作耦接到處理室122的一個或多個腔室138A、138B (例如:一個或多個負載鎖腔室)中被預熱。
視工具架構、處理、期望產量或其他因素而定,舉例來說,工件118可經由配置在腔室138內的預熱裝置152而被預熱到最初溫度。在一個實例中,最初溫度是等於或低於處理溫度,因此允許在真空腔室124內的加熱夾頭130之最終熱等化。上述方案允許工件118在轉移到處理室122的期間而喪失一些熱,其中最終加熱到處理溫度是在加熱夾頭130所實行。替代而言,工件118可經由預熱裝置152預熱到最初溫度,其中最初溫度是高於處理溫度。是以,最初溫度可被最佳化,俾使在轉移到處理室122的期間之工件118冷卻致使工件被夾持到加熱夾頭130上時處於期望的處理溫度。
為了準確控制及/或加速熱響應且致能用於熱轉移的附加機構,工件118的背面與加熱夾頭130成為傳導連通。此傳導連通是透過在加熱夾頭130與工件118之間的壓力控制氣體介面(亦稱為“背面氣體”)所達成。舉例來說,背面氣體的壓力通常為由加熱夾頭130的靜電力量所限制,且可通常保持在5-20托(Torr)的範圍中。在一個實例中,背面氣體介面厚度(例如:在工件118與加熱夾頭130之間的距離)被控制在微米等級(典型為5-20 μm),如此,在此壓力型態下的分子平均自由路徑針對介面厚度變得足夠大以促使系統成為過渡分子氣體型態。
根據揭露內容的另一個觀點,腔室138B包含配置以當工件118在離子佈植期間為佈植具有離子後被配置在腔室138B內而使工件冷卻之冷卻裝置160。舉例來說,冷卻裝置160可包含冷凝工件支架162,其中冷凝工件支架被配置以經由熱傳導來主動冷卻存在於其上的工件118。舉例來說,冷凝工件支架162包含具有通過貫穿其中的一個或多個冷卻通道之冷板,其中通過冷板的冷卻流體實質冷卻位在於冷板表面上的工件118。冷凝工件支架162可包含其他的冷卻機構,諸如帕耳帖(Peltier)冷卻器或一般技術人士所習知的其他冷卻機構。
根據另一個示範觀點,控制器170被進而提供且配置以選擇性啟動加熱系統134、預熱裝置152、與冷卻裝置之一者或多者來選擇性各自加熱或冷卻存在於其上的工件118。舉例來說,控制器170可被配置以經由預熱裝置152在腔室138A中來將工件118加熱、經由加熱夾頭130與加熱系統134在處理腔室122中來將工件加熱到預定溫度、經由離子佈植裝置101來將離子佈植到工件中、經由冷卻裝置160在腔室138B中來將工件冷卻、且經由泵與通風孔172、各自腔室138A、138B的各自的大氣門174A、174B與真空門176A、176B、及工件轉移裝置178A、178B之控制來選擇性將工件轉移在大氣環境132與處理環境126之間。
在一個實例中,工件118可被進而遞送往返處理腔室122,俾使工件是經由工件轉移裝置178A而轉移在選擇的前開式統合容器(FOUP,front opening unified pod) 180A、180B與腔室138A、138B之間,且經由經由工件轉移裝置178B而進一步轉移在腔室138A、138B與加熱夾頭130之間。舉例來說,控制器170被進一步配置以經由工件轉移裝置178A、178B之控制來選擇性將工件轉移在FOUP 180A、180B、腔室138A、138B、與加熱夾頭130之間。
本揭露內容理解的是,在傳遞到處理腔室122之前,工件118可能已經接受先前處理,藉此工件可包含可能已經沉積或用其他方式形成在工件上的一個或多個材料(例如:光阻層或其他材料)。在工件118於腔室138A中而藉由預熱裝置152之加熱期間,舉例來說,除氣可發生,藉此形成、沉積、或用其他方式存在於工件上的材料可從固態而轉變為各種氣體。缺乏在本揭露內容所提供的對策,上述氣體可具有傾向以凝結且積聚在腔室壁182及/或可能實質較工件118的溫度為冷之腔室138A內的其他構件上。再者,缺乏對策,凝結材料之所述積聚可造成成本高的生產停機時間、產品汙染與提高的粒子能階。
針對於大多數材料,諸如可形成在工件118上的材料,較高溫度可導致較大程度的除氣。舉例來說,各個材料可具有和其關聯之各自的蒸氣對(vs.)溫度曲線,藉此除氣(界定除氣材料)的量是隨著材料溫度升高而增加。當除氣材料和相對較冷的表面成為接觸,除氣材料將在當表面溫度下降為低於蒸氣對溫度曲線而傾向於凝結在表面上,因此在所述表面上為返回到固體狀態。
當工件118之上述加熱發生在外殼之內,諸如:在腔室138A內的預熱站152,除氣材料被通常散佈在密封腔室內。在習知外殼內,舉例來說,除氣材料可凝結在一個或多個表面上(例如:在室溫之外殼的鋁壁)且可導致材料之積聚或塗覆在外殼表面上。隨著更多材料凝結,材料之塗覆傾向於建立,因此來自表面的材料之剝落或脫落可導致在工件上、或在系統別處的粒子汙染。結果,諸如封殼壁部的刮削或其他清除之頻繁的預防維護可能導致喪失生產力及/或高成本且困難的清除程序。
本揭露內容預期通常防止或減輕材料凝結在腔室壁182上,藉此減小預防維護之頻率,且提高系統100的生產力。舉例來說,如在圖2所示,負載鎖裝置200被提出,其中諸如圖1的腔室138A之腔室202被提供。舉例來說,圖2的腔室202具有通常圍成腔室容積206之一個或多個表面204。舉例來說,一個或多個表面204是由通常圍成腔室容積206之一個或多個腔室壁207所界定。舉例來說,腔室202包含真空埠208與清洗氣體埠210,其中真空埠與清洗氣體埠是和腔室容積206為流體連通。
根據一個實例,工件支架211被定位在腔室200內且配置以選擇性將工件212支撐在腔室內。舉例來說,加熱器裝置214被進而提供且配置以選擇性將工件212加熱到預定溫度。在一個實例中,工件支架211包含具有配置以接觸工件212之背面220的支撐表面218之加熱台板216,如在圖3所示。在一個實例中,加熱台板216通常界定加熱器裝置214。舉例來說,加熱器裝置214可包含嵌入在加熱台板216內的一個或多個電阻式加熱器元件222,其中一個或多個電阻式加熱器元件被配置以經由透過加熱台板之傳導來選擇性將工件212加熱。在其他實例中,加熱器裝置214可替代或附加包含一個或多個輻射元件224,諸如:熱燈、紅外線加熱器、或其他加熱器元件。應指出的是,在一些實例中,一個或多個輻射元件224可被省略,藉此加熱台板216為僅有的加熱器裝置214。在另一個實例中,工件支架211可包含一個或多個插銷226,如在圖3所示,藉此一個或多個插銷被配置以選擇性將工件212升高及降低到支撐表面218之上。
根據本揭露內容,理解的是,將工件212加熱可產生在腔室容積206內的除氣材料,如上所述。是以,本揭露內容有利提供真空源228 (例如:真空泵),其中真空閥230被配置以提供在真空源與真空埠208之間的選擇性流體連通。再者,具有清洗氣體(例如:諸如氮氣的惰性氣體)的清洗氣體源232被進一步提供,藉此清洗氣體閥234被配置以提供在清洗氣體源與清洗氣體埠210之間的選擇性流體連通。
根據一個實例,控制器(例如:圖1的控制器170)被進一步配置以控制真空閥230與清洗氣體閥234而在工件212藉由加熱器裝置214所加熱的同時以預定壓力來選擇性使清洗氣體從清洗氣體埠210流通到真空埠208。是以,和工件212之加熱有關聯的除氣材料可有利為從腔室容積206所排出,因此通常防止或者減輕除氣材料之凝結在一個或多個腔室表面204。較佳而言,真空埠208與清洗氣體埠210通常為相關於腔室202而定位相對彼此,諸如:被定位在相對的腔室壁236A、236B上,藉此流動(由箭頭238所示)通常在工件212之上通過,因此透過真空埠208來將除氣材料有利地排出。
在一個實例中,腔室202通常由真空源228所排出而且清洗氣體從清洗氣體源232被同時引入到腔室中,其中預定壓力有利地維持在腔室容積206內。舉例來說,預定壓力大約為大氣壓力,藉此有利的熱轉移可達成以供工件212之預熱,因此提供適當的工件產量。再者,在腔室202之排出的同時來引入清洗氣體通常稀釋且實質排出來自腔室容積206的除氣材料,因此通常防止除氣材料之凝結及/或積聚在一個或多個腔室表面204。
根據另一個實例,如在圖2所示,腔室202包含第一負載鎖閥240,其可運作耦接到腔室且配置以提供在腔室容積206與第一環境242 (諸如:圖1的大氣環境132)之間的選擇性流體連通。舉例來說,圖2的第一負載鎖閥240進一步配置以選擇性在腔室容積206與第一環境242之間通過工件212,如上所論述。舉例來說,第二負載鎖閥244進一步可運作耦接到腔室202且配置以提供在腔室容積206與第二環境246 (例如:諸如圖1的處理環境126的真空環境)之間的選擇性流體連通。舉例來說,圖2的第二負載鎖閥244進一步配置以選擇性在腔室容積206與第二環境246之間通過工件212。
舉例來說,圖1的控制器170被進一步配置以選擇性打開及閉合圖2的第一負載鎖閥240,藉此選擇性將腔室容積206與第一環境242隔離。在再一個實例中,圖1的控制器170被進一步配置以選擇性打開及閉合第二負載鎖閥244,藉此選擇性將腔室容積206與第二環境246隔離。舉例來說,圖1的控制器170可被進一步配置以在第二負載鎖閥244將腔室容積206與第二環境246隔離及第一負載鎖閥240將腔室容積與第一環境242隔離之一者或多者的同時而使清洗氣體從圖2的清洗氣體埠210流通到真空埠208。圖1的控制器170可被進而配置以在工件212藉由加熱器裝置214所加熱的同時而打開圖2的清洗氣體閥234與真空閥230,藉此進而同時以預定壓力來使清洗氣體從清洗氣體埠210流通到真空埠208。
根據另一個實例,清洗氣體閥234可更包含清洗氣體調節器248。附加或選用而言,真空閥230可包含真空調節器250。如此,舉例來說,清洗氣體調節器248與真空調節器250可被配置以當清洗氣體是從清洗氣體埠210流通到真空埠208而提供預定壓力。根據另一個實例,圖1的控制器170可被進而配置以控制圖2的清洗氣體調節器248與真空調節器250之一者或多者,藉此控制預定壓力。替代而言,清洗氣體調節器248與真空調節器250之一者或多者可包含手動調節器,藉此與其有關聯的壓力可被手動控制。
根據又一個實例,溫度測量裝置252可被提供且配置以確定或界定工件212的測量溫度。是以,圖1的控制器170可被進而配置以至少部分基於工件212的測量溫度來控制圖2的真空閥230與清洗氣體閥234。在一個實例中,初始在室溫的工件212被放置在腔室202之中,藉此工件在腔室內被加熱而直到測量溫度匹配期望的預熱溫度為止。
在又一個實例中,圖1的控制器170被進而配置以至少部分為基於諸如“保溫時間”的預定時間來控制真空閥230與清洗氣體閥234,在保溫期間,工件212是由加熱器裝置214所加熱。
是以,本揭露內容有利提供有效率的解決方式以使得和工件212之加熱有關聯的除氣材料凝結為最小化。舉例來說,在預定時間期間(例如:10秒),工件212被加熱且除氣氣體通常用清洗氣體來稀釋及經由真空源228 (例如:粗泵)所提供的真空壓力從腔室202排出。舉例來說,本揭露內容預期來自清洗氣體源232的清洗氣體之流通238和由真空源228所提供的真空壓力為平衡。舉例來說,二個真空型態可由真空源228所進一步提供,藉此可達到快速真空與緩慢真空。
舉例來說,緩慢(粗略)真空可由真空源228所提供,藉此緩慢真空被配置以平衡(例如:通常等化)和清洗氣體源232有關聯的清洗氣體壓力以及和真空源228有關聯的真空壓力。舉例來說,清洗氣體調節器248可被控制以維持在腔室202內的通常固定壓力(例如:大氣壓力)。
在一個實例中,清洗氣體壓力大約為37.5磅/平方英寸(psi)以維持在腔室202內的大約大氣壓力(例如:大約750-760托)。是以,和真空閥230有關聯的緩慢粗閥254A被打開且從腔室202移除氣體材料,因此平衡壓力且通常防止除氣材料之凝結在一個或多個腔室表面204。在另一個實例中,工件212被放置在插銷226之上,藉此插銷將工件降低到圖1之預熱站152的加熱台板216上。隨著圖2的插銷226被降低,和真空閥230與清洗氣體閥234有關聯的緩慢粗閥254A被打開。是以,隨著工件212被加熱到預定溫度,發生清洗氣體的流動238與腔室容積206的排出。
當工件212達到預定溫度,工件備妥以從腔室202被轉移到圖1的處理腔室122。由於和處理腔室122有關聯的處理環境126通常為真空環境,為了將工件118轉移到處理腔室,和真空閥230有關聯的快速粗閥254B被打開,因此將腔室202排出到真空壓力(例如:大約10托)。由於工件212已經在預定溫度,和真空壓力有關聯的低熱轉移率通常不是問題。一旦達到真空壓力,第二負載鎖閥244被打開以將工件212暴露到真空環境246,且工件備妥以被轉移到圖1的處理腔室122中,藉此圖2的插銷226被升高以將工件從加熱台板216提起且圖1的工件轉移機械手178B取回工件且將工件轉移到ESC 130。
因此,在一個實例中,圖2的真空泵228通常將腔室202排出以供工件212之實質整體的加熱,諸如:在圖1的預熱站152之加熱期間。本揭露內容預期以各種壓力位準來引入清洗氣體,諸如:在工件212被加熱期間的部分時間之期間或同時。
舉例來說,為了在4-6秒內來粗略降低壓力以達到真空環境,維持粗略真空,因此真空泵228通常為當工件在負載鎖腔室中時而一直運作。舉例來說,惰性氣體清除的時機可在粗略真空的同時。本揭露內容維持真空閥230 (例如:粗泵閥)在預熱與粗略下降時間二者期間為在打開位置,因此通常從腔室202將除氣材料排出,而且經由清洗氣體之同時引入來維持用於工件212之有利加熱的預定壓力。
一旦工件從腔室202移除且放置在處理腔室中,隔離閥被閉合,粗泵閥被閉合,且負載鎖腔室被通氣(例如:經由打開清洗氣體閥或其他通氣至大氣)以使在負載鎖壓力內的壓力回到大氣壓力來等待另一個工件。
根據還有一個示範觀點,腔室壁207的一者或多者可由在圖4所示的一個或多個腔室壁加熱器260所加熱到預定腔室壁溫度,藉此預定腔室壁溫度是基於和工件212有關聯的一個或多個預定材料之除氣曲線所確定。舉例來說,一個或多個腔室壁加熱器260包含經配置以選擇性加熱一個或多個腔室表面204的熱燈、紅外線加熱器與電阻式加熱器之一者或多者。在一個實例中,一個或多個腔室壁加熱器260包含和腔室202為整合的一個或多個電阻式加熱器。
舉例來說,一個或多個預定材料是和在工件被放置在腔室202中之前於工件212所實行的一個或多個處理有關聯,藉此一個或多個預定材料通常在預定溫度呈現除氣。舉例來說,一個或多個材料可包含光阻材料或在放置於腔室202內之前形成、沉積或用別的方式出現在工件上的任何其他材料。
在揭露內容的另一個觀點中,圖5說明一種用於控制工件溫度而同時減輕和除氣材料有關聯的凝結之方法300。應指出的是,儘管示範方法是在本文說明且描述為一連串的動作或事件,將理解的是,本揭露內容不受限於所述動作或事件的圖示順序,由於一些步驟可能以不同順序發生且/或和除了根據揭露內容在本文所顯示及/或描述者外的其他步驟為同時發生。此外,並非所有圖示步驟可能必要以實施根據本揭露內容的方法。甚者,將理解的是,所述方法可關聯於本文所說明及描述的系統以及關聯於未舉例說明的其他系統來實施。
舉例來說,在圖5所示的方法300包含在動作302來加熱在腔室中的工件,藉以產生除氣材料。舉例來說,腔室的一個或多個腔室表面通常界定腔室容積,如上所述。在動作304,清洗氣體是在工件加熱的同時以預定壓力而流通在腔室容積內。再者,在動作306,清洗氣體是在清洗氣體流通的同時而從腔室容積排出,藉此維持預定壓力,且其中除氣材料通常從腔室容積排出。
根據另一個觀點,上述方法可使用電腦程式碼來實施在控制器、通用電腦或基於處理器的系統之一者或多者中。如在圖6所示,方塊圖是根據另一個實施例之基於處理器的系統400所提出。基於處理器的系統400是通用電腦平台且可被使用以實施本文所論述的處理。基於處理器的系統400可包括處理單元402,諸如:桌上型電腦、工作站、膝上型電腦或針對於特定應用所客製化的專用單元。基於處理器的系統400可配備有顯示器418與一個或多個輸入/輸出裝置420,諸如:滑鼠、鍵盤或列印機。處理單元402可包括中央處理單元(CPU,central processing unit) 404、記憶體406、大量儲存裝置408、視訊配接器412及連接到匯流排410之I/O介面414。
匯流排410可為任何型式的數個匯流排架構之一者或多者,包括:記憶體匯流排或記憶體控制器、週邊匯流排或視訊匯流排。CPU 404可包括任何型式的電子資料處理器,且記憶體406可包括任何型式的系統記憶體,諸如:靜態隨機存取記憶體(SRAM,static random access memory)、動態隨機存取記憶體(DRAM,dynamic random access memory)或唯讀記憶體(ROM,read-only memory)。
大量儲存裝置408可包括配置以儲存資料、程式與其他資訊且使得資料、程式與其他資訊經由匯流排410為可存取之任何型式的儲存裝置。大量儲存裝置408可包括例如硬碟機、磁碟機或光碟機之一者或多者。
視訊配接器412與I/O介面414提供介面以將外部輸入與輸出裝置耦接到處理單元402。輸入與輸出裝置的實例包括耦接到視訊配接器412之顯示器418以及耦接到I/O介面414之I/O裝置420,諸如:滑鼠、鍵盤、列印機與類似者。其他裝置可被耦接到處理單元402,且附加或較少的介面卡可被利用。舉例來說,串列介面卡(未顯示)可被使用以提供用於列印機的串列介面。處理單元402亦可包括網路介面416,其可為對於區域網路(LAN,local area network)/廣域網路(WAN,wide area network) 422之有線鏈接及/或無線鏈接。
應指出的是,基於處理器的系統400可包括其他構件。舉例來說,基於處理器的系統400可包括電源供應器、纜線、主機板、可移除儲存媒體與類似者。雖然未顯示,這些其他構件被視為基於處理器的系統400之部分者。
本揭露內容的實施例可實施在基於處理器的系統400,諸如:藉由CPU 404所執行的程式碼。根據上述實施例的各種方法可由程式碼所實施。是以,在此省略詳盡的論述。
再者,應指出的是,在圖式中的各種模組與裝置可經實施在圖6之一個或多個基於處理器的系統400且由系統400所控制。在不同模組與裝置之間的通訊可取決於模組為如何實施而變化。若模組被實施在一個基於處理器的系統400,資料可在由CPU 404針對於不同步驟的程式碼執行之間而儲存在記憶體406或大量儲存裝置408之中。資料可接著在各自步驟之執行期間而由CPU 404經由匯流排410存取記憶體406或大量儲存裝置408所提供。若模組被實施在不同基於處理器的系統400或若資料將從諸如單獨的資料庫之另一個儲存系統所提供,資料可透過I/O介面414或網路介面416而提供在系統400之間。同理,由裝置或階段所提供的資料可由I/O介面414或網路介面416而被輸入到一個或多個基於處理器的系統400。一般技術人士將易於瞭解預期在變化實施例的範疇內而實施系統及方法之其他變化與修改。
雖然揭露內容已經相關於某個較佳實施例而顯示且描述,顯然的是,等效的變更與修改將為熟習此技術者在此說明書與伴隨圖式之詳讀且瞭解時所思及。特別是,關於由上述構件(組件、裝置、電路、等等)所實行的各種功能,使用以描述這些構件之術語(包括對“機構(means)”之提及)意圖對應於(除非另為指明)實行所述構件的指定功能之任何構件(即:功能等效),即使與實行在揭露內容之本文所示的示範實施例中的功能之揭示結構非為結構等效。此外,儘管揭露內容的特定特徵可能關於數個實施例的僅有一者所揭示,上述特徵可能和其他實施例的一個或多個其他特徵結合,如可能針對於任何既定或特定應用為期望或有利。
100:離子佈植系統 101:離子佈植裝置 102:終端 104:束線組件 106:末端站 108:離子源 110:電源供應器 112:離子束 114:質量分析裝置 116:縫隙 118:工件 120:熱夾頭 122:處理腔室 124:真空腔室 126:處理環境 128:真空源 130:加熱夾頭 132:大氣環境 134:加熱系統 136:加熱器 138A、138B:腔室 152:預熱裝置 160:冷卻裝置 162:冷凝工件支架 170:控制器 172:泵與通風孔 174A、174B:大氣門 176A、176B:真空門 178A、178B:工件轉移裝置 180A、180B:前開式統合容器(FOUP) 182:腔室壁 200:負載鎖裝置 202:腔室 204:表面 206:腔室容積 207:腔室壁 208:真空埠 210:清洗氣體埠 211:工件支架 212:工件 214:加熱器裝置 216:加熱台板 218:支撐表面 220:背面 222:電阻式加熱器元件 224:輻射元件 226:插銷 228:真空源 230:真空閥 232:清洗氣體源 234:清洗氣體閥 236A:腔室壁 238:流動 238B:腔室壁 240:第一負載鎖閥 242:第一環境 244:第二負載鎖閥 246:第二環境 248:清洗氣體調節器 250:真空調節器 252:溫度測量裝置 254A:緩慢粗閥 254B:快速粗閥 260:腔室壁加熱器 300:方法 302、304、306:方法300的動作(步驟) 400:基於處理器的系統 402:處理單元 404:中央處理單元(CPU) 406:記憶體 408:大量儲存裝置 410:匯流排 412:視訊配接器 414:I/O介面 416:網路介面 418:顯示器 420:輸入/輸出裝置 422:區域網路(LAN)/廣域網路(WAN)
[圖1]說明根據本揭露內容的一個觀點之一種示範加熱離子佈植系統的方塊圖。 [圖2]是根據本揭露內容的一個觀點之一種示範腔室的示意代表圖。 [圖3]是根據本揭露內容的一個觀點之一種腔室的簡化側視圖。 [圖4]是根據本揭露內容的一個觀點之一種具有加熱壁的腔室的簡化側視圖。 [圖5]是根據本揭露內容的另一個示範觀點之一種用於減輕除氣凝結的示範方法的方塊圖。 [圖6]是說明根據另一個觀點之一種示範控制系統的方塊圖。
100:離子佈植系統
101:離子佈植裝置
102:終端
104:束線組件
106:末端站
108:離子源
110:電源供應器
112:離子束
114:質量分析裝置
116:縫隙
118:工件
120:熱夾頭
122:處理腔室
124:真空腔室
126:處理環境
128:真空源
130:加熱夾頭
132:大氣環境
134:加熱系統
136:加熱器
138A、138B:腔室
152:預熱裝置
160:冷卻裝置
162:冷凝工件支架
170:控制器
172:泵與通風孔
174A、174B:大氣門
176A、176B:真空門
178A、178B:工件轉移裝置
180A、180B:前開式統合容器(FOUP)
182:腔室壁

Claims (20)

  1. 一種工件處理系統,其包含: 腔室,其具有界定通常圍成腔室容積的各自一個或多個表面之一個或多個腔室壁; 一個或多個腔室壁加熱器,其和所述一個或多個腔室壁有關聯,其中所述一個或多個腔室壁加熱器被配置以選擇性將所述一個或多個腔室壁加熱到腔室壁溫度; 工件支架,其定位在所述腔室內且配置以選擇性支撐在工件,該工件上存在有一個或多個材料,其中所述一個或多個材料各者具有相關聯的各自凝結溫度,在高於所述各自凝結溫度時,所述一個或多個材料各自處於氣態; 加熱器裝置,其配置以選擇性將所述工件加熱到預定溫度;及 控制器,其配置以經由所述加熱器裝置之控制來將所述工件加熱到所述預定溫度,藉此加熱所述一個或多個材料以在所述腔室容積內各自形成一個或多個除氣材料,且其中所述控制器進一步配置以經由所述一個或多個腔室壁加熱器之控制來控制所述腔室壁溫度,其中所述腔室壁溫度是高於和所述一個或多個除氣材料有關聯的凝結溫度,藉此防止所述除氣材料凝結在所述一個或多個表面上。
  2. 如請求項1之工件處理系統,其中所述腔室壁溫度是基於和所述一個或多個材料有關聯的除氣曲線而確定。
  3. 如請求項1之工件處理系統,其中所述一個或多個腔室壁加熱器包含一個或多個電阻式加熱器。
  4. 如請求項1之工件處理系統,其中所述一個或多個腔室壁加熱器包含熱燈、紅外線加熱器與電阻式加熱器之一者或多者。
  5. 如請求項1之工件處理系統,其更包含: 第一負載鎖閥,其可運作耦接到所述腔室且配置以提供在所述腔室容積與第一環境之間的選擇性流體連通,且其中所述第一負載鎖閥進一步配置以在所述腔室容積與所述第一環境之間選擇性通過所述工件;及 第二負載鎖閥,其可運作耦接到所述腔室且配置以提供在所述腔室容積與第二環境之間的選擇性流體連通,且其中所述第二負載鎖閥進一步配置以在所述腔室容積與所述第二環境之間選擇性通過所述工件。
  6. 如請求項5之工件處理系統,其中所述控制器進一步配置以選擇性打開及閉合所述第一負載鎖閥,藉此將所述腔室容積與所述第一環境選擇性隔離,且其中所述控制器進一步配置以選擇性打開及閉合所述第二負載鎖閥,藉此將所述腔室容積與所述第二環境選擇性隔離。
  7. 如請求項6之工件處理系統,其中所述腔室包含和所述腔室容積為流體連通的真空埠與清洗氣體埠,所述工件處理系統更包含: 真空源; 真空閥,其配置以提供在所述真空源與所述真空埠之間的選擇性流體連通; 清洗氣體源,其具有相關聯的清洗氣體;及 清洗氣體閥,其配置以提供在所述清洗氣體源與所述清洗氣體埠之間的選擇性流體連通,其中所述真空閥與所述清洗氣體閥配置以選擇性使所述清洗氣體從所述清洗氣體埠流通到所述真空埠,且其中所述控制器進一部配置以控制所述真空閥與所述清洗氣體閥,以在所述工件加熱的同時以預定壓力來選擇性使所述清洗氣體從所述清洗氣體埠流通到所述真空埠,藉此通常從所述腔室容積排出所述除氣材料且防止所述除氣材料凝結在所述一個或多個表面上。
  8. 如請求項7之工件處理系統,其中所述第一環境包含在大氣壓力的大氣環境,且其中所述第二環境包含在真空壓力的真空環境,且其中所述控制器被配置以在所述第二負載鎖閥將所述腔室容積與所述第二環境隔離的同時而使所述清洗氣體從所述清洗氣體埠流通到所述真空埠。
  9. 如請求項8之工件處理系統,其中所述控制器被配置以在所述第二負載鎖閥將所述腔室容積與所述第二環境隔離且所述第一負載鎖閥將所述腔室容積與所述第一環境隔離的同時而使所述清洗氣體從所述清洗氣體埠流通到所述真空埠。
  10. 如請求項7之工件處理系統,其中所述控制器被配置以在所述工件加熱的同時打開所述清洗氣體閥與所述真空閥,藉此進一步同時以所述預定壓力來使所述清洗氣體從所述清洗氣體埠流通到所述真空埠。
  11. 如請求項10之工件處理系統,其中所述清洗氣體閥包含清洗氣體調節器,且其中所述真空閥包含真空調節器,其中所述清洗氣體調節器與所述真空調節器被配置以當所述清洗氣體從所述清洗氣體埠流通到所述真空埠時提供所述預定壓力。
  12. 如請求項11之工件處理系統,其中所述控制器被進一步配置以控制所述清洗氣體調節器與所述真空調節器之一者或多者,藉此控制所述預定壓力。
  13. 如請求項11之工件處理系統,其中所述清洗氣體調節器與所述真空調節器之一者或多者包含手動調節器。
  14. 如請求項1之工件處理系統,其更包含經配置以確定所述工件的測量溫度之溫度測量裝置,其中所述控制器被進一步配置以至少部分基於所述工件的所述測量溫度來控制所述一個或多個腔室壁加熱器。
  15. 如請求項1之工件處理系統,其中所述工件支架包含加熱台板,該加熱台板具有配置以接觸所述工件背面的支撐表面,其中所述加熱台板通常界定所述加熱器裝置。
  16. 如請求項15之工件處理系統,其中所述工件支架包含一個或多個插銷,所述一個或多個插銷經配置以選擇性將所述工件升高及降低到與所述工件相關聯的支撐表面上。
  17. 如請求項1之工件處理系統,其中所述加熱器裝置包含熱燈、紅外線加熱器與電阻式加熱器之一者或多者。
  18. 一種負載鎖裝置,其包含: 腔室,其具有界定通常圍成腔室容積的各自一個或多個表面之一個或多個腔室壁,其中所述腔室包含真空埠、真空閥、清洗氣體埠與清洗氣體閥,其中所述真空埠是和所述腔室容積與所述真空閥為流體連通,且其中所述清洗氣體埠是和所述腔室容積與所述清洗氣體閥為流體連通,其中所述真空埠與所述清洗氣體埠通常彼此相對; 一個或多個腔室壁加熱器,其和所述一個或多個腔室壁有關聯,其中所述一個或多個腔室壁加熱器被配置以將所述一個或多個腔室壁選擇性加熱到腔室壁溫度; 工件支架,其定位在所述腔室內且配置以選擇性支撐工件,所述工件上存在有一個或多個材料,其中所述一個或多個材料各者具有相關聯的各自凝結溫度,在高於所述各自凝結溫度時,所述一個或多個材料各自處於氣態; 加熱台板,其和所述工件支架有關聯,其中所述加熱台板被配置以選擇性將所述工件加熱到預定溫度,其中所述加熱台板被定位在所述真空埠與所述清洗氣體埠之間;及 控制器,其配置以經由所述加熱台板之控制來將所述工件加熱到所述預定溫度,藉此加熱所述一個或多個材料以在所述腔室容積內各自形成一個或多個除氣材料,且其中所述控制器進一步配置以經由所述一個或多個腔室壁加熱器之控制來控制所述腔室壁溫度,其中所述腔室壁溫度是高於和所述一個或多個除氣材料有關聯的凝結溫度,藉此防止所述除氣材料凝結在所述一個或多個表面上,且其中所述控制器被進一步配置以經由所述真空閥與所述清洗氣體閥之一者或多者的控制來使所述清洗氣體選擇性流通過所述加熱台板。
  19. 一種用於減輕工件除氣凝結的方法,所述方法包含: 在具有通常界定腔室容積的一個或多個腔室表面之腔室中加熱所述工件,藉此產生除氣材料;且 在所述工件加熱的同時將所述一個或多個腔室表面加熱到預定壓力,其中所述預定壓力通常防止所述除氣材料凝結在所述一個或多個腔室表面上。
  20. 如請求項19之方法,其中將所述一個或多個腔室表面加熱包含選擇性啟動和所述一個或多個腔室表面有關聯的一個或多個腔室壁加熱器。
TW108144839A 2019-01-04 2019-12-09 透過用於半導體處理設備的加熱腔室殼體減少腔室壁上的凝結氣體 TW202046425A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/239,995 2019-01-04
US16/239,995 US10714317B1 (en) 2019-01-04 2019-01-04 Reduction of condensed gases on chamber walls via heated chamber housing for semiconductor processing equipment

Publications (1)

Publication Number Publication Date
TW202046425A true TW202046425A (zh) 2020-12-16

Family

ID=69024651

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108144839A TW202046425A (zh) 2019-01-04 2019-12-09 透過用於半導體處理設備的加熱腔室殼體減少腔室壁上的凝結氣體

Country Status (6)

Country Link
US (1) US10714317B1 (zh)
JP (1) JP7444890B2 (zh)
KR (1) KR20210106564A (zh)
CN (1) CN113491002B (zh)
TW (1) TW202046425A (zh)
WO (1) WO2020142155A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023140983A1 (en) * 2022-01-24 2023-07-27 Lam Research Corporation Multiple-zone gas box block surface heater

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5024747A (en) * 1979-12-21 1991-06-18 Varian Associates, Inc. Wafer coating system
JP3238427B2 (ja) * 1991-07-25 2001-12-17 東京エレクトロン株式会社 イオン注入装置内に被処理体を搬入搬出するための気密容器の排気方法
US5314541A (en) 1991-05-28 1994-05-24 Tokyo Electron Limited Reduced pressure processing system and reduced pressure processing method
JPH07106262A (ja) * 1993-09-30 1995-04-21 Tokyo Electron Ltd 熱処理装置
DE10008829B4 (de) 2000-02-25 2005-06-23 Steag Rtp Systems Gmbh Verfahren zum Entfernen von adsorbierten Molekülen aus einer Kammer
JP2003224079A (ja) 2002-01-31 2003-08-08 Shin Etsu Handotai Co Ltd 熱処理方法、熱処理装置およびシリコンエピタキシャルウェーハの製造方法
JP2005191494A (ja) * 2003-12-26 2005-07-14 Canon Inc 露光装置、デバイスの製造方法
US7173260B2 (en) * 2004-12-22 2007-02-06 Axcelis Technologies, Inc. Removing byproducts of physical and chemical reactions in an ion implanter
JP2009144211A (ja) * 2007-12-15 2009-07-02 Tokyo Electron Ltd 処理装置、その使用方法及び記憶媒体
US8323410B2 (en) 2008-07-31 2012-12-04 Tokyo Electron Limited High throughput chemical treatment system and method of operating
CN102105312B (zh) 2008-07-31 2014-06-11 东京毅力科创株式会社 用于化学处置和热处置的高产量处理系统及操作方法
KR101817185B1 (ko) * 2010-05-28 2018-01-10 액셀리스 테크놀러지스, 인크. 피가공재 상의 응축을 방지하기 위한 능동형 이슬점 감지 및 로드록 배기
US9711324B2 (en) 2012-05-31 2017-07-18 Axcelis Technologies, Inc. Inert atmospheric pressure pre-chill and post-heat
US9378992B2 (en) 2014-06-27 2016-06-28 Axcelis Technologies, Inc. High throughput heated ion implantation system and method
US9433973B1 (en) 2015-06-15 2016-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. UV curing apparatus
KR102104728B1 (ko) * 2015-09-30 2020-04-24 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체

Also Published As

Publication number Publication date
JP7444890B2 (ja) 2024-03-06
KR20210106564A (ko) 2021-08-30
CN113491002B (zh) 2024-06-11
US10714317B1 (en) 2020-07-14
JP2022518122A (ja) 2022-03-14
WO2020142155A1 (en) 2020-07-09
CN113491002A (zh) 2021-10-08
US20200219705A1 (en) 2020-07-09

Similar Documents

Publication Publication Date Title
US20210366746A1 (en) Active workpiece heating or cooling for an ion implantation system
TWI745376B (zh) 離子植入系統及用於維持離子植入系統中之溫度一致性之方法
US9378992B2 (en) High throughput heated ion implantation system and method
US9607803B2 (en) High throughput cooled ion implantation system and method
TW202046425A (zh) 透過用於半導體處理設備的加熱腔室殼體減少腔室壁上的凝結氣體
US11011397B2 (en) Wafer soak temperature readback and control via thermocouple embedded end effector for semiconductor processing equipment
US11901198B2 (en) Toxic outgas control post process
US11728187B2 (en) Method for decreasing cool down time with heated system for semiconductor manufacturing equipment
TWI844594B (zh) 用於半導體處理設備之藉由沖洗氣體稀釋及真空減少氣體凝結在腔壁上
JP7444891B2 (ja) 半導体処理装置のためのパージガス希釈および排気を介したチャンバ壁上での凝縮ガスの低減
US10227693B1 (en) Outgassing impact on process chamber reduction via chamber pump and purge