TW202046006A - Reducing roughness of extreme ultraviolet lithography resists - Google Patents

Reducing roughness of extreme ultraviolet lithography resists Download PDF

Info

Publication number
TW202046006A
TW202046006A TW109108753A TW109108753A TW202046006A TW 202046006 A TW202046006 A TW 202046006A TW 109108753 A TW109108753 A TW 109108753A TW 109108753 A TW109108753 A TW 109108753A TW 202046006 A TW202046006 A TW 202046006A
Authority
TW
Taiwan
Prior art keywords
substrate
processing method
substrate processing
photoresist
roughness
Prior art date
Application number
TW109108753A
Other languages
Chinese (zh)
Inventor
周翔
登輝 吳
木村啟惠
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202046006A publication Critical patent/TW202046006A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Abstract

Provided herein are methods and systems for reducing roughness of EUV resists and improving etched features. The methods may involve depositing a thin film on a patterned EUV resist having a stress level that is less compressive than a stress level of the patterned EUV resist. The resulting composite stress may reduce buckling and/or bulging of the patterned EUV resist.

Description

極紫外光微影光阻的粗糙度降低Reduced roughness of extreme ultraviolet light lithography photoresist

本發明關於極紫外光微影光阻的粗糙度降低。The present invention relates to the reduction of roughness of extreme ultraviolet light lithography photoresist.

在微米級及奈米級元件之製造中,例如在製造半導體元件之半導體處理中,薄膜之圖案化通常是關鍵的步驟。圖案化涉及微影。在習知的光微影技術中(例如,193 nm光微影),圖案係藉由以下方式印製:從光子源發射光子至遮罩上並且將圖案印至光敏感的光阻上,藉此在光阻中引起化學反應,其在顯影後去除光阻之某些部分以形成圖案。In the manufacture of micron-level and nano-level devices, such as semiconductor processing for manufacturing semiconductor devices, patterning of thin films is usually a critical step. Patterning involves lithography. In the conventional photolithography technology (for example, 193 nm photolithography), the pattern is printed in the following way: photons are emitted from the photon source onto the mask and the pattern is printed on the light-sensitive photoresist, by This causes a chemical reaction in the photoresist, which removes certain parts of the photoresist after development to form a pattern.

先進技術節點(如國際半導體技術發展藍圖所定義)包括22 nm、16 nm及其它的節點。在16 nm節點中,例如,在鑲嵌結構中之典型的介層窗或線之寬度通常不大於約30 nm。先進半導體積體電路(IC)及其它元件上之特徵部之縮放正驅使著微影技術改善解析度。Advanced technology nodes (as defined in the international semiconductor technology development blueprint) include 22 nm, 16 nm and other nodes. In the 16 nm node, for example, the width of a typical via or line in a damascene structure is usually no greater than about 30 nm. The scaling of features on advanced semiconductor integrated circuits (IC) and other components is driving lithography technology to improve resolution.

極紫外光(EUV)微影以不同的光源及光阻材料在30 nm等級上運作。 EUV微影可能在光阻中造成兩種粗糙度:由於二次電子之機率效應所引起之高頻粗糙度、以及由於光阻材料之尺寸、幾何形狀及機械性質之間之相互作用所引起之低頻粗糙度(亦稱為「扭曲」(wiggling))。兩種粗糙度都是不想要的。Extreme Ultraviolet (EUV) lithography works with different light sources and photoresist materials at the 30 nm level. EUV lithography may cause two types of roughness in the photoresist: high-frequency roughness caused by the probability effect of secondary electrons, and due to the interaction between the size, geometry and mechanical properties of the photoresist material Low-frequency roughness (also known as "wiggling"). Both roughnesses are undesirable.

本文中揭示用於降低EUV光阻之粗糙度之方法及設備。粗糙度係藉由減少光阻層之壓縮應力而降低。此可藉由沉積保形薄膜而達成,該保形薄膜之應力相較於光阻為較少壓縮的(less compressive)、或甚至是拉伸的。產生的複合應力減少了彎曲及∕或凸起,從而降低了低頻粗糙度。The method and equipment for reducing the roughness of EUV photoresist are disclosed herein. The roughness is reduced by reducing the compressive stress of the photoresist layer. This can be achieved by depositing a conformal film whose stress is less compressive or even stretched compared to photoresist. The resulting composite stress reduces bending and/or bumps, thereby reducing low-frequency roughness.

在本文中所揭示之實施例之一態樣中,提出一種降低EUV光阻之粗糙度之方法,該方法包含:提供一基板至一處理腔室,該基板包含一已圖案化的EUV光阻,該已圖案化的EUV光阻具有一第一應力位準(stress level);及沉積一保形薄膜在該已圖案化的EUV光阻上,該保形薄膜具有一第二應力位準,該第二應力位準相較於該第一應力位準為較少壓縮的,俾使該保形薄膜之該沉積所導致之該已圖案化的EUV光阻之一第三應力位準相較於該第一應力位準為較少壓縮的。In one aspect of the embodiments disclosed herein, a method for reducing the roughness of EUV photoresist is proposed. The method includes: providing a substrate to a processing chamber, the substrate comprising a patterned EUV photoresist , The patterned EUV photoresist has a first stress level; and depositing a conformal film on the patterned EUV photoresist, the conformal film having a second stress level, The second stress level is less compressed than the first stress level, so that the third stress level of the patterned EUV photoresist resulting from the deposition of the conformal film is compared It is less compressive at this first stress level.

在各種實行例中,該基板係包含一半成品半導體元件之一半導體晶圓。在一些實施例中,該保形薄膜具有不大於2 nm之厚度。在其它實施例中,該保形薄膜具有約1 nm之厚度。In various embodiments, the substrate is a semiconductor wafer that contains semi-finished semiconductor components. In some embodiments, the conformal film has a thickness not greater than 2 nm. In other embodiments, the conformal film has a thickness of about 1 nm.

在一些實行例中,該保形薄膜第二應力位準係拉伸的(tensile)。在其它實行例中,該保形薄膜第二應力位準係壓縮的(compressive)。In some implementations, the second stress level of the conformal film is tensile. In other embodiments, the second stress level of the conformal film is compressive.

在各種實施例中,該已圖案化的EUV光阻之特徵在於:在該沉積之後,線粗糙度係降低。在一些實施例中,該線粗糙度包含線邊緣粗糙度(LER)及線寬粗糙度(LWR)其中一或多者。在各種實行例中,該線粗糙度係一低頻線粗糙度。在一些實行例中,該低頻線粗糙度具有小於0.05 nm-1 之空間頻率。在一些實施例中,該線粗糙度係一高頻線粗糙度。在各種實施例中,該高頻線粗糙度具有大於0.05 nm-1 之空間頻率。In various embodiments, the patterned EUV photoresist is characterized in that the line roughness is reduced after the deposition. In some embodiments, the line roughness includes one or more of line edge roughness (LER) and line width roughness (LWR). In various embodiments, the line roughness is a low-frequency line roughness. In some implementations, the low frequency line roughness has a spatial frequency less than 0.05 nm -1 . In some embodiments, the line roughness is a high-frequency line roughness. In various embodiments, the high frequency line roughness has a spatial frequency greater than 0.05 nm -1 .

在一些實行例中,該保形薄膜包含一基於Si的介電質。在各種實行例中,該介電質係SiO2 。在某些實行例中,該保形薄膜係藉由ALD而沉積。在一些實行例中,該ALD包含電漿增強ALD,其中一循環包含使具有介於10W與2500W之間之功率、及介於25%與50%之間之工作週期之一氧電漿流動。In some implementations, the conformal film includes a Si-based dielectric. In various embodiments, the dielectric is SiO 2 . In some implementations, the conformal film is deposited by ALD. In some implementations, the ALD includes plasma-enhanced ALD, where one cycle includes the flow of oxygen plasma having a power between 10W and 2500W and a duty cycle between 25% and 50%.

在一些實施例中,該EUV光阻包含一化學放大光阻(CAR)、一有機金屬、或一有機金屬氧化物。在各種實施例中,該有機金屬氧化物係一有機錫氧化物。In some embodiments, the EUV photoresist includes a chemically amplified photoresist (CAR), an organic metal, or an organic metal oxide. In various embodiments, the organometal oxide is an organotin oxide.

在一些實行例中,該線邊緣粗糙度係降低從約0.1至1 nm4 (PSD)之幅度。在某些實行例中,該方法亦包含:在該保形薄膜之該沉積之後,在該處理腔室中蝕刻該基板層。In some implementations, the line edge roughness is reduced from about 0.1 to 1 nm 4 (PSD). In some embodiments, the method also includes: etching the substrate layer in the processing chamber after the deposition of the conformal film.

在本文中之實施例之另一態樣中,提出一種基板處理設備,該設備包含:一或更多處理腔室,每一處理腔室包含一基板支撐件;進入該等處理腔室之一或更多氣體入口及相關的流動控制硬體;一或更多基板搬運裝置;及一控制器,具有至少一處理器及一記憶體,其中該至少一處理器及該記憶體係彼此通訊連接,該至少一處理器係與該一或更多基板搬運裝置及該流動控制硬體至少操作地連接,該記憶體係儲存複數電腦可執行指令,該複數電腦可執行指令用於控制該至少一處理器以至少控制該一或更多基板搬運裝置及該流動控制硬體以:提供一基板至一處理腔室,該基板包含一已圖案化的EUV光阻在待蝕刻之一基板層上,該已圖案化的EUV光阻具有一第一應力位準;及沉積一保形薄膜在該已圖案化的EUV光阻上,該保形薄膜具有一第二應力位準,該第二應力位準相較於該第一應力位準為較少壓縮的,俾使該保形薄膜之該沉積所導致之該已圖案化的EUV光阻之一第三應力位準相較於該第一應力位準為較少壓縮的。In another aspect of the embodiments herein, a substrate processing apparatus is proposed. The apparatus includes: one or more processing chambers, each of which includes a substrate support; entering one of the processing chambers Or more gas inlets and related flow control hardware; one or more substrate handling devices; and a controller having at least one processor and a memory, wherein the at least one processor and the memory system are communicatively connected to each other, The at least one processor is at least operatively connected to the one or more substrate handling devices and the flow control hardware, the memory system stores a plurality of computer executable instructions, and the plurality of computer executable instructions is used to control the at least one processor To control at least the one or more substrate handling devices and the flow control hardware to: provide a substrate to a processing chamber, the substrate including a patterned EUV photoresist on a substrate layer to be etched, The patterned EUV photoresist has a first stress level; and depositing a conformal film on the patterned EUV photoresist, the conformal film having a second stress level, the second stress level It is less compressed than the first stress level, so that a third stress level of the patterned EUV photoresist resulting from the deposition of the conformal film is compared to the first stress level For less compressed.

以下將參考相關的圖式而詳細地說明所揭示實施例之這些及其它特徵。These and other features of the disclosed embodiments will be described in detail below with reference to related drawings.

在以下的敘述中,將提出數個特定細節以提供對所述實施例之徹底瞭解。本文中所揭示的實施例可在缺乏部分或全部這些特定細節之情況下實施。在其它情況下,不詳細說明習知的處理操作,以免不必要地模糊所揭示的實施例。雖然將利用特定的實施例來說明所揭示的實施例,但應當瞭解,其並非意圖限制所揭示的實施例。In the following description, several specific details will be presented to provide a thorough understanding of the embodiments. The embodiments disclosed herein can be implemented without some or all of these specific details. In other cases, the conventional processing operations are not described in detail, so as not to unnecessarily obscure the disclosed embodiments. Although specific embodiments will be used to illustrate the disclosed embodiments, it should be understood that they are not intended to limit the disclosed embodiments.

極紫外光(EUV)微影可用於30 nm及以下技術節點之半導體製造中。在越來越小的臨界尺寸下,降低(例如,最小化)光阻及產生的蝕刻之粗糙度可改善製程良率及元件性能。粗糙度可藉由光阻之線邊緣粗糙度(LER)及線寬粗糙度(LWR)以及所得到的蝕刻來測量。降低(例如,最小化)LER及LWR兩者可改善EUV微影蝕刻處理之結果。Extreme ultraviolet light (EUV) lithography can be used in semiconductor manufacturing at technology nodes of 30 nm and below. With smaller and smaller critical dimensions, reducing (for example, minimizing) the photoresist and the roughness of the resulting etching can improve the process yield and device performance. The roughness can be measured by the line edge roughness (LER) and line width roughness (LWR) of the photoresist and the resulting etching. Reducing (eg, minimizing) both LER and LWR can improve the results of the EUV lithography process.

粗糙度可具有高頻及低頻部分,且這些部分可使用功率譜密度(PSD)曲線來表示。圖4具有代表性的PSD曲線402。PSD曲線通常繪製在對數–對數圖上。橫軸表示粗糙度之空間頻率(其也是粗糙度之波長之倒數,即0.01 nm-1 = 100 nm),縱軸是PSD值,其與LER或LWR為線性相關。PSD曲線下之面積代表總變異數,在理想情況下,對於任何蝕刻處理,都應將其最小化。Roughness can have high-frequency and low-frequency parts, and these parts can be represented by a power spectral density (PSD) curve. Figure 4 has a representative PSD curve 402. The PSD curve is usually drawn on a logarithmic-logarithmic graph. The horizontal axis represents the spatial frequency of the roughness (which is also the reciprocal of the wavelength of the roughness, ie 0.01 nm -1 = 100 nm), and the vertical axis is the PSD value, which is linearly related to LER or LWR. The area under the PSD curve represents the total variance, which should be minimized for any etching process under ideal conditions.

EUV微影光阻後期曝光具有兩大類粗糙度:低頻及高頻。高頻粗糙度之特徵為光阻中之短變動,並且可能由多種因素造成,包括在EUV微影處理中固有發射之二次電子。這是在PSD曲線402右側之區域,在大約0.1 nm-1 或更高處。低頻粗糙度是在光阻中之較長的波長變動,並且顯示在PSD曲線402之左側部分,在大約0.01 nm-1 或更小處。低頻粗糙度之一個原因是光阻內之壓縮應力。光阻內之壓縮應力使其彎曲及∕或凸起,從而產生低頻粗糙度,有時稱為「擺動(wiggling)」。The post exposure of EUV lithography photoresist has two types of roughness: low frequency and high frequency. High-frequency roughness is characterized by short variations in the photoresist, and can be caused by a variety of factors, including secondary electrons that are inherently emitted during EUV lithography. This is the area on the right side of the PSD curve 402, at about 0.1 nm -1 or higher. The low frequency roughness is the longer wavelength variation in the photoresist, and is shown in the left part of the PSD curve 402, at approximately 0.01 nm -1 or less. One reason for the low frequency roughness is the compressive stress in the photoresist. The compressive stress in the photoresist causes it to bend and/or bulge, resulting in low-frequency roughness, sometimes called "wiggling".

降低光阻粗糙度之一些解決方案包括電漿處理、基於碳的沉積、基於矽氧化物的沉積、以及蝕刻副產物沉積。這些處理其中每一者具有各種的缺點。電漿處理可藉由使光阻回流而降低粗糙度,但是也降低光阻之高度及選擇性。基於碳的沉積可能造成在遮罩頂部處之堵塞,從而干擾蝕刻處理。習知的基於矽氧化物的沉積在高深寬比下是選擇性的,從而影響臨界尺寸並可能導致斷線或合併。蝕刻副產物可能降低蝕刻處理之選擇性並且妨礙光阻圖案之成功轉移。除了每一方案之各種缺點外,它們都只能應付高頻粗糙度。Some solutions to reduce the roughness of photoresist include plasma processing, carbon-based deposition, silicon oxide-based deposition, and etching by-product deposition. Each of these processes has various disadvantages. Plasma treatment can reduce the roughness by reflowing the photoresist, but it also reduces the height and selectivity of the photoresist. Carbon-based deposition may cause clogging at the top of the mask, thereby interfering with the etching process. The conventional silicon oxide-based deposition is selective at high aspect ratios, which affects the critical size and may cause disconnection or merging. The etching by-products may reduce the selectivity of the etching process and prevent the successful transfer of the photoresist pattern. In addition to the various shortcomings of each scheme, they can only deal with high-frequency roughness.

降低粗糙度之替代方案是減少光阻層內之壓縮應力。此可藉由沉積保形(conformal)薄膜來完成,且該保形薄膜之應力相較於光阻為較少壓縮的、或甚至是拉伸的。產生的複合應力減少了彎曲及∕或凸起,從而降低了低頻粗糙度。An alternative to reducing the roughness is to reduce the compressive stress in the photoresist layer. This can be accomplished by depositing a conformal film, and the stress of the conformal film is less compressive than photoresist, or even stretched. The resulting composite stress reduces bending and/or bumps, thereby reducing low-frequency roughness.

保形薄膜可藉由電漿增強原子層沉積(ALD)處理而沉積。藉由在ALD處理期間調製O2 電漿,可將保形薄膜之內應力改變成為較少壓縮∕較多拉伸。所得的複合光阻∕氧化物層之所得複合應力為較少壓縮,並且減少了彎曲及∕或凸起。在一些實施例中,保形薄膜可為1-2 nm厚,但仍然改善了低頻粗糙度。Conformal thin films can be deposited by plasma enhanced atomic layer deposition (ALD) processing. By modulating O 2 plasma during the ALD process, the internal stress of the conformal film can be changed to less compression/more tension. The resulting composite photoresist/oxide layer has less compression and reduced bending and/or bumps. In some embodiments, the conformal film can be 1-2 nm thick, but still improves the low frequency roughness.

根據所揭示的實施例,圖1提供了用於執行方法之操作之處理流程圖。圖1中之操作可在,例如,大約1 mTorr與大約100 Torr之間之腔室壓力下執行,例如,在大約1 mTorr與大約1 Torr之間。圖1所示之方法通常涉及在半導體基板上進行沉積。具體而言,在操作102中,將半導體基板提供至處理腔室,半導體基板係由複數不同的基板材料(包括已圖案化的EUV光阻層)所構成或包括其。According to the disclosed embodiment, FIG. 1 provides a process flow chart of operations for executing the method. The operation in FIG. 1 can be performed, for example, at a chamber pressure between about 1 mTorr and about 100 Torr, for example, between about 1 mTorr and about 1 Torr. The method shown in Figure 1 generally involves deposition on a semiconductor substrate. Specifically, in operation 102, the semiconductor substrate is provided to the processing chamber, and the semiconductor substrate is composed of or includes a plurality of different substrate materials (including a patterned EUV photoresist layer).

已圖案化的EUV光阻層可由各種材料所組成。在一些實施例中,已圖案化的EUV光阻層可由有機或無機的含金屬氧化物膜所組成,例如可從Inpria Corp.所獲得之有機錫氧化物、或是從Dow/Rohm、Fujifilm及Shin-Etsu Polymer所獲得之傳統的化學放大光阻。已圖案化的EUV光阻亦可包含化學放大光阻。已圖案化的EUV光阻層可為,例如,30-40 nm厚。The patterned EUV photoresist layer can be composed of various materials. In some embodiments, the patterned EUV photoresist layer can be composed of organic or inorganic metal-containing oxide films, such as organotin oxides available from Inpria Corp., or from Dow/Rohm, Fujifilm and Traditional chemically amplified photoresist obtained by Shin-Etsu Polymer. The patterned EUV photoresist may also include chemically amplified photoresist. The patterned EUV photoresist layer can be, for example, 30-40 nm thick.

參照在操作102中接收到半導體基板之腔室,該腔室可在多腔室設備或單腔室設備中。半導體基板可為矽晶圓,例如200 mm晶圓、300 mm晶圓或450 mm晶圓,包括具有一或更多材料層(例如,介電、導電或半導體材料)沉積在其上之晶圓。在一些實施例中,半導體基板包括矽(例如,非晶矽)之覆蓋層、或鍺之覆蓋層。With reference to the chamber that received the semiconductor substrate in operation 102, the chamber may be in a multi-chamber device or a single-chamber device. The semiconductor substrate can be a silicon wafer, such as a 200 mm wafer, a 300 mm wafer, or a 450 mm wafer, including a wafer having one or more material layers (for example, dielectric, conductive, or semiconductor materials) deposited thereon . In some embodiments, the semiconductor substrate includes a cover layer of silicon (for example, amorphous silicon) or a cover layer of germanium.

在一些實施例中,可將基板上之該等層加以圖案化。基板可具有「特徵部」,例如介層窗或接觸窗孔,其特徵可為狹小及∕或凹入的開口、特徵部內之填充物、或高深寬比其中之一或多者。特徵部可形成在上述層其中之一或多者中。特徵部之一範例為在半導體基板、或基板上之一層中之孔洞或介層窗。另一範例為在基板或層中之溝槽。在各種實施例中,特徵部可具有下層,例如阻障層或黏著層。下層之非限制性範例包括介電層及導電層,例如矽氧化物、矽氮化物、矽碳化物、金屬氧化物、金屬氮化物、金屬碳化物及金屬層。In some embodiments, the layers on the substrate can be patterned. The substrate may have "features", such as vias or contact holes, which may be narrow and/or recessed openings, fillings in the features, or high aspect ratios. The features may be formed in one or more of the above-mentioned layers. An example of a feature is a hole or via in a semiconductor substrate, or a layer on the substrate. Another example is a trench in a substrate or layer. In various embodiments, the feature may have a lower layer, such as a barrier layer or an adhesion layer. Non-limiting examples of lower layers include dielectric layers and conductive layers, such as silicon oxide, silicon nitride, silicon carbide, metal oxide, metal nitride, metal carbide, and metal layer.

在操作104,將保形薄膜沉積至半導體基板上。保形薄膜可包括多種材料。在一些實施例中,保形薄膜為矽氧化物。在其它實施例中,保形薄膜可為矽氮化物。保形薄膜亦可由基於碳的氧化物所組成。在各種實施例中,保形薄膜由在隨後的基板蝕刻期間不會被去除之材料所組成。保形薄膜可小於3 nm厚、小於2 nm厚、1-2 nm厚或約2 nm厚。該厚度不足以不利地影響所製造的特徵部之臨界尺寸。藉由改變沉積條件,保形薄膜可被設計成具有不同位準的內應力。在一些實施例中,保形薄膜具有內拉伸應力。在其它實施例中,相較於已圖案化的EUV光阻,保形薄膜具有較低的壓縮應力。In operation 104, a conformal thin film is deposited on the semiconductor substrate. The conformal film can include a variety of materials. In some embodiments, the conformal film is silicon oxide. In other embodiments, the conformal film may be silicon nitride. Conformal films can also be composed of carbon-based oxides. In various embodiments, the conformal film is composed of a material that will not be removed during subsequent substrate etching. The conformal film can be less than 3 nm thick, less than 2 nm thick, 1-2 nm thick, or about 2 nm thick. The thickness is not sufficient to adversely affect the critical dimensions of the manufactured features. By changing the deposition conditions, conformal films can be designed to have different levels of internal stress. In some embodiments, the conformal film has internal tensile stress. In other embodiments, the conformal film has a lower compressive stress than a patterned EUV photoresist.

在一些實施例中,保形薄膜可藉由電漿增強ALD來沉積。一般而言,ALD是使用序列自限制反應而沉積薄材料層之技術。可使用任何合適的技術來實施ALD。在各種實施例中,可利用電漿、或可利用熱來實施ALD。此外,操作104可以循環的方式來實施,亦即,在本文中稱為「ALD循環」。In some embodiments, conformal thin films can be deposited by plasma enhanced ALD. Generally speaking, ALD is a technique that uses sequential self-limiting reactions to deposit thin material layers. Any suitable technique can be used to implement ALD. In various embodiments, plasma may be used, or heat may be used to implement ALD. In addition, operation 104 may be implemented in a cyclic manner, that is, referred to as an "ALD cycle" herein.

參考圖2,顯示出藉由ALD在基板上沉積薄膜之示意圖。在各種實施例中,沉積含矽膜,例如矽氧化物(例如,SiO2 )、矽氮氧化物或矽氮化物。 ALD是使用序列自限制反應而沉積薄材料層之技術。可使用任何合適的技術來實施ALD。在各種實施例中,可利用電漿、或可利用熱、及可以循環的方式來實施ALD。Referring to FIG. 2, a schematic diagram of a thin film deposited on a substrate by ALD is shown. In various embodiments, a silicon-containing film is deposited, such as silicon oxide (eg, SiO 2 ), silicon oxynitride, or silicon nitride. ALD is a technique that uses sequential self-limiting reactions to deposit thin layers of materials. Any suitable technique can be used to implement ALD. In various embodiments, ALD can be implemented using plasma, or can use heat, and can be cyclically.

「ALD循環」之概念與本文中之各種實施例之討論相關。通常,一ALD循環為用於實施表面沉積反應一次之最小一組操作。例如,一循環之結果為,產生至少一部分含矽膜層在基板表面上,例如在操作104之半導體基板材料上。通常,一ALD循環包括,將至少一反應物輸送及吸附至基板表面之操作,接著使被吸附的反應物與一或更多反應物進行反應以形成部分膜層。循環可包括某些輔助操作,例如吹掃反應物或副產物其中一者、及∕或處理沉積之部分膜。通常,一循環包含獨特的一系列操作之一情況。做為一範例,ALD循環可包括下列操作:(i) 輸送∕吸附含矽前驅物、(ii) 從腔室吹淨(purge)含矽前驅物、(iii) 輸送第二反應物(例如氧化劑)及電漿、及 (iv) 從腔室吹淨電漿。The concept of "ALD cycle" is related to the discussion of various embodiments in this article. Generally, an ALD cycle is a minimum set of operations for performing the surface deposition reaction once. For example, as a result of one cycle, at least a portion of the silicon-containing film layer is generated on the surface of the substrate, such as the semiconductor substrate material in operation 104. Generally, an ALD cycle includes the operation of transporting and adsorbing at least one reactant to the surface of the substrate, and then reacting the adsorbed reactant with one or more reactants to form a partial film. Recycling may include certain auxiliary operations, such as purging one of the reactants or by-products, and/or processing part of the deposited film. Usually, a cycle contains one of a unique series of operations. As an example, an ALD cycle can include the following operations: (i) transport/adsorption of silicon-containing precursors, (ii) purge of silicon-containing precursors from the chamber, (iii) transport of second reactants (such as oxidants) ) And plasma, and (iv) blow off the plasma from the chamber.

根據本揭示內容,可在ALD循環之間之適當間隔處使用批量中間調節吹淨,以增加批量大小。根據各種實施例,可在整個批量中重複沉積∕批量中間調節吹淨循環,直到達到最大累積極限。According to the present disclosure, batch intermediate adjustment purge can be used at appropriate intervals between ALD cycles to increase the batch size. According to various embodiments, the deposition/batch mid-batch adjustment purge cycle can be repeated throughout the batch until the maximum accumulation limit is reached.

圖2顯示出用於沉積矽氧化物(SiO2 )之ALD循環之示例性示意圖。圖282a-282e顯示出一般的ALD循環。在282a中,提供矽基板,其包括許多矽原子。在282b中,將含矽前驅物或矽來源引入至基板,且一些矽原子會吸附在基板上。在282c中,將未吸附的含矽前驅物或矽來源從腔室中吹淨。在282d中,引入氧做為氧自由基,且吸附的矽與基板表面上之氧自由基進行反應以形成SiO2 膜。在282e中,將腔室吹淨並且去除副產物,留下SiO2 沉積層。Figure 2 shows an exemplary schematic diagram of an ALD cycle used to deposit silicon oxide (SiO 2 ). Figures 282a-282e show a typical ALD cycle. In 282a, a silicon substrate is provided, which includes many silicon atoms. In 282b, a silicon-containing precursor or silicon source is introduced to the substrate, and some silicon atoms will be adsorbed on the substrate. In 282c, the unadsorbed silicon-containing precursor or silicon source is purged from the chamber. In 282d, oxygen is introduced as oxygen radicals, and the adsorbed silicon reacts with the oxygen radicals on the surface of the substrate to form an SiO 2 film. In 282e, the chamber is blown out and the by-products are removed, leaving a SiO 2 deposited layer.

在一些實施例中,藉由ALD所沉積之膜可為高度保形的。膜之保形性(conformality)可藉由階梯覆蓋率來量測。階梯覆蓋率可藉由比較在特徵部底部、側壁或頂部上之沉積膜之平均厚度與在特徵部底部、側壁或頂部上之沉積膜之平均厚度而加以計算。例如,階梯覆蓋率之計算,可藉由將在側壁上之沉積膜之平均厚度除以在頂部之沉積膜之平均厚度、並且將結果乘以100,而得到百分比。In some embodiments, the film deposited by ALD can be highly conformal. The conformality of the film can be measured by the step coverage. The step coverage can be calculated by comparing the average thickness of the deposited film on the bottom, sidewall, or top of the feature with the average thickness of the deposited film on the bottom, sidewall, or top of the feature. For example, the step coverage can be calculated by dividing the average thickness of the deposited film on the sidewall by the average thickness of the deposited film on the top, and multiplying the result by 100 to obtain a percentage.

不像化學氣相沉積(CVD)技術,ALD處理係使用表面媒介沉積反應以逐層地沉積膜。在ALD處理之一範例中,使包含一群表面活性位置之基板表面暴露至第一前驅物(例如,含矽前驅物)之氣相分佈區域,第一前驅物以注入之方式被提供至容納基板之處理腔室。此第一前驅物之分子被吸附至基板表面上,包含第一前驅物之化學吸附的物種、及∕或物理吸附的分子。應當了解,當化合物被吸附至基板表面上時(如本文所述),吸附層可包含化合物、以及化合物之衍生物。例如,含矽前驅物之吸附層可包括含矽前驅物、以及含矽前驅物之衍生物。在某些實施例中,ALD前驅物之注入使基板表面部分地飽和。在一些實施例中,ALD循環之注入階段在前驅物接觸基板而平均地使表面飽和之前結束。通常,在此時,前驅物流動被關閉或轉向,且只有吹淨氣體流動。藉由在次飽和狀態下進行操作,ALD處理降低循環時間,並且增加產量。然而,因為前驅物吸附不是飽和受限的,所以吸附的前驅物之濃度可能在基板表面各處稍有變化。在次飽和狀態下操作之ALD處理之範例係提供於:申請日為2013年10月23日、且名稱為「SUB-SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION」之美國專利申請案第14/061,587號,其整體內容併入於本文中作為參考。在第一前驅物注入之後,接著排空反應器以移除維持於氣相之任何第一前驅物,以僅僅留下吸附的物種。將第二反應物(例如含氧或含氮氣體)導入至反應器,俾使該等分子之某些與吸附在表面上之第一前驅物進行反應。在某些處理中,第二前驅物立即與吸附的第一前驅物進行反應。在其它實施例中,第二前驅物僅在暫時地施加活化源之後才進行反應。接著可再度排空反應器,以移除未結合的第二前驅物分子。額外的ALD循環可用於增加膜厚。Unlike chemical vapor deposition (CVD) technology, ALD processing uses surface media deposition reactions to deposit films layer by layer. In an example of the ALD process, the surface of the substrate containing a group of surface active sites is exposed to the vapor distribution region of the first precursor (for example, a silicon-containing precursor), and the first precursor is provided to the receiving substrate by injection The processing chamber. The molecules of the first precursor are adsorbed on the surface of the substrate, including chemically adsorbed species and/or physically adsorbed molecules of the first precursor. It should be understood that when the compound is adsorbed onto the surface of the substrate (as described herein), the adsorption layer may include the compound, as well as derivatives of the compound. For example, the adsorption layer of the silicon-containing precursor may include a silicon-containing precursor and a derivative of the silicon-containing precursor. In some embodiments, the injection of the ALD precursor partially saturates the substrate surface. In some embodiments, the injection phase of the ALD cycle ends before the precursor contacts the substrate to evenly saturate the surface. Usually, at this time, the precursor flow is closed or diverted, and only the purge gas flows. By operating in a sub-saturated state, ALD processing reduces cycle time and increases throughput. However, because the adsorption of the precursor is not saturation-limited, the concentration of the adsorbed precursor may vary slightly across the surface of the substrate. An example of an ALD process operating in a sub-saturation state is provided in: US Patent Application No. 14/061,587 with the filing date of October 23, 2013 and the name "SUB-SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION" , Its entire content is incorporated into this article for reference. After the injection of the first precursor, the reactor is then emptied to remove any first precursor that remains in the gas phase, leaving only the adsorbed species. The second reactant (for example, oxygen-containing or nitrogen-containing gas) is introduced into the reactor to cause some of the molecules to react with the first precursor adsorbed on the surface. In some processes, the second precursor immediately reacts with the adsorbed first precursor. In other embodiments, the second precursor only reacts after the activation source is temporarily applied. The reactor can then be emptied again to remove unbound second precursor molecules. Additional ALD cycles can be used to increase the film thickness.

在一些實行例中,ALD方法包括電漿活化,例如當第二反應物被輸送至腔室時。如本文所述, 本文中所描述之ALD方法及設備可為保型膜沉積(CFD)方法,其大致上描述於:美國專利申請案第 13/084,399號(現為美國專利第 8,728,956號),申請日為 2011年4月11日、且名稱為「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」;以及美國專利申請案第13/084,305號,申請日為 2011年4月11日、且名稱為「SILICON NITRIDE FILMS AND METHODS」,其整體內容併入於本文中作為參考。ALD處理之額外範例係描述於Puurunen之「Surface chemistry of atomic layer deposition: for the trimethylaluminum/water process, 97 J. Applied Physics 12301 (2005)」中,其係併入於本文中作為參考,用於提供合適ALD處理之敘述。In some implementations, the ALD method includes plasma activation, such as when the second reactant is delivered to the chamber. As described herein, the ALD method and equipment described herein may be a conformal film deposition (CFD) method, which is roughly described in: US Patent Application No. 13/084,399 (now US Patent No. 8,728,956), The filing date is April 11, 2011, and the name is "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION"; and U.S. Patent Application No. 13/084,305, the filing date is April 11, 2011, and the name is "SILICON NITRIDE FILMS AND METHODS", the entire content of which is incorporated herein as a reference. Additional examples of ALD processing are described in Puurunen’s "Surface chemistry of atomic layer deposition: for the trimethylaluminum/water process, 97 J. Applied Physics 12301 (2005)", which is incorporated herein as a reference for providing Description of suitable ALD treatment.

在一些實施例中,載氣(例如N2 、Ar、Ne、He及其組合)可連續地流動。載氣可用做吹淨氣體。可提供惰性氣體,以輔助處理腔室之壓力及∕或溫度控制、液體反應物之蒸發、更快速地輸送反應物及∕或做為吹掃氣體用於從處理腔室及∕或處理腔室管道移除處理氣體。In some embodiments, the carrier gas (eg, N 2 , Ar, Ne, He, and combinations thereof) may flow continuously. The carrier gas can be used as a purge gas. Inert gas can be provided to assist the pressure and/or temperature control of the processing chamber, the evaporation of liquid reactants, to transport the reactants more quickly and/or as a purge gas to be used from the processing chamber and/or the processing chamber The pipeline removes processing gas.

在ALD循環之吸附操作中,可將基板暴露至膜前驅物,例如四氯化矽(SiCl4 )或氨基矽烷,以吸附至基板表面上。在一些實施例中,膜前驅物可為含矽前驅物。在一些實施例中,膜前驅物為雙(叔丁基-氨基)矽烷(BTBAS)。在一些實施例中,膜前驅物(例如,SiCl4 )可吸附至約60%之基板表面上。在各種實施例中,當膜前驅物流至腔室時,膜前驅物吸附至基板表面上之活性位置上,形成膜前驅物之薄層在表面上。在各種實施例中,此層可小於單層。In the adsorption operation of the ALD cycle, the substrate can be exposed to film precursors, such as silicon tetrachloride (SiCl 4 ) or aminosilane, to be adsorbed on the surface of the substrate. In some embodiments, the film precursor may be a silicon-containing precursor. In some embodiments, the film precursor is bis(tert-butyl-amino)silane (BTBAS). In some embodiments, the film precursor (for example, SiCl 4 ) can be adsorbed on about 60% of the substrate surface. In various embodiments, when the film precursor flows to the chamber, the film precursor is adsorbed on the active sites on the surface of the substrate, forming a thin layer of the film precursor on the surface. In various embodiments, this layer may be smaller than a single layer.

在吸附之後,可任選地吹淨腔室,以去除未吸附至基板表面上之氣相的過量前驅物。吹淨可涉及吹掃氣體,其可為使用在其它操作中之載氣或不同的氣體。在一些實施例中,吹淨可涉及排空腔室。After adsorption, the chamber can optionally be purged to remove excess precursors in the gas phase that are not adsorbed on the surface of the substrate. Purging may involve purging gas, which may be a carrier gas used in other operations or a different gas. In some embodiments, purging may involve emptying the chamber.

在ALD循環之第二反應物輸送操作中,可將基板暴露至第二反應物及任選的電漿。在各種實施例中,第二反應物為氧(O2 )或氮(N2 )或其組合。在沉積矽氧化物層之一些實施例中,使用氧做為第二反應物。在一些實施例中,第二反應物流動及電漿皆打開。在一些實施例中,在打開電漿之前便打開第二反應物流動,以例如使第二反應物流動穩定。In the second reactant delivery operation of the ALD cycle, the substrate can be exposed to the second reactant and optionally plasma. In various embodiments, the second reactant is oxygen (O 2 ) or nitrogen (N 2 ) or a combination thereof. In some embodiments where the silicon oxide layer is deposited, oxygen is used as the second reactant. In some embodiments, both the flow of the second reactant and the plasma are turned on. In some embodiments, the flow of the second reactant is turned on before the plasma is turned on, for example, to stabilize the flow of the second reactant.

在一些實施例中,任選的電漿為原位(in-situ)電漿,使得電漿直接形成在腔室中之基板表面上方。在各種實施例中,電漿可為感應耦合電漿或電容耦合電漿。感應耦合電漿可設定於在約50W與約2000W之間之電漿。在一些實施例中,可以根據工作週期(DC)來打開及關閉電漿,其中電漿功率係循環地打開及關閉。在一些實施例中,工作週期可在25%與50%之間變化,表示在25%與50%之間之操作時間打開電漿。在一些實施例中,可施加在約0V與約500V之間之偏壓。在輸送第二反應物期間,膜前驅物(例如SiCl4 )被關閉。可使基板暴露至第二反應物及任選的電漿之持續時間超過電漿與吸附在基板表面上之所有前驅物相互作用之時間,從而在基板表面上形成連續的膜。In some embodiments, the optional plasma is an in-situ plasma such that the plasma is formed directly above the surface of the substrate in the chamber. In various embodiments, the plasma may be inductively coupled plasma or capacitively coupled plasma. The inductively coupled plasma can be set between about 50W and about 2000W. In some embodiments, the plasma can be turned on and off according to the duty cycle (DC), where the plasma power is turned on and off cyclically. In some embodiments, the duty cycle can vary between 25% and 50%, meaning that the plasma is turned on between 25% and 50% of the operating time. In some embodiments, a bias voltage between about 0V and about 500V may be applied. During the delivery of the second reactant, the film precursor (eg SiCl 4 ) is turned off. The substrate can be exposed to the second reactant and optional plasma for a duration that exceeds the time for the plasma to interact with all the precursors adsorbed on the surface of the substrate, thereby forming a continuous film on the surface of the substrate.

在第二反應物輸送操作之後,可吹淨腔室,例如藉由引入載氣或惰性氣體。此操作之條件可為以上關於吹淨處理所述之任何條件。After the second reactant delivery operation, the chamber can be purged, for example, by introducing carrier gas or inert gas. The conditions for this operation can be any of the conditions described above with regard to the blowing process.

在各種實施例中,可重複ALD循環。例如,用於ALD之操作可執行大約5至大約70個週期。可包括任何合適數量的沉積循環,以沉積所需膜厚之沉積膜。在一些實施例中,每一ALD循環可沉積大約1 Å。取決於操作之暴露時間,每一循環可沉積厚度在大約0.05 Å與大約5 Å之間之膜,例如矽氧化物或矽氮氧化物膜。在一些實施例中,每分鐘可執行大約兩個至大約三個ALD循環。在一些實施例中,例如在入口之位置較接近基板之腔室中,每分鐘可執行超過大約三個循環。In various embodiments, the ALD cycle can be repeated. For example, the operation for ALD can be performed for about 5 to about 70 cycles. Any suitable number of deposition cycles may be included to deposit the deposited film of the desired film thickness. In some embodiments, about 1 Å can be deposited per ALD cycle. Depending on the exposure time of the operation, a film with a thickness between about 0.05 Å and about 5 Å can be deposited per cycle, such as silicon oxide or silicon oxynitride film. In some embodiments, about two to about three ALD cycles may be performed per minute. In some embodiments, for example, in a chamber where the entrance is closer to the substrate, more than about three cycles may be performed per minute.

返回圖1,在操作106,任選地蝕刻基板。在一些實施例中,如上所述,在EUV光阻圖案化之後,蝕刻在已圖案化的EUV光阻下方之基板。蝕刻可在EUV光阻圖案化之後立即進行,在同一腔室內,並且不破真空。已圖案化的EUV光阻及保形薄膜係做為基板之遮罩,使得由已圖案化的EUV光阻所覆蓋之區域不被蝕刻。保形薄膜可具有比基板材料較低的蝕刻速率,以確保其在整個蝕刻處理中留存在已圖案化的EUV光阻上。由於保形薄膜之更多拉伸應力,因此已圖案化的EUV光阻及保形薄膜層具有減少的LER及∕或LWR,這也減少了下方被蝕刻基板之LER及LWR。Returning to FIG. 1, at operation 106, the substrate is optionally etched. In some embodiments, as described above, after the EUV photoresist is patterned, the substrate under the patterned EUV photoresist is etched. The etching can be performed immediately after the EUV photoresist is patterned, in the same chamber, and does not break the vacuum. The patterned EUV photoresist and the conformal film are used as a mask for the substrate, so that the area covered by the patterned EUV photoresist is not etched. The conformal film may have a lower etching rate than the substrate material to ensure that it remains on the patterned EUV photoresist throughout the etching process. Due to the more tensile stress of the conformal film, the patterned EUV photoresist and conformal film layer have reduced LER and/or LWR, which also reduces the LER and LWR of the etched substrate below.

下表中提供了用於沉積如圖1所示之保形薄膜之示例處理條件:   第一反應物 第二反應物 壓力 1mT至100Torr 1mT至100Torr 功率 0W 10至2500W 偏壓 0V 0V至50V 溫度 -10C至200C -10C至200C 時間 0.5秒至4秒 0.5秒至4秒 流率 50sccm至5000sccm 50sccm至5000sccm The following table provides example processing conditions for depositing conformal films as shown in Figure 1: First reactant Second reactant pressure 1mT to 100Torr 1mT to 100Torr power 0W 10 to 2500W bias 0V 0V to 50V temperature -10C to 200C -10C to 200C time 0.5 seconds to 4 seconds 0.5 seconds to 4 seconds Flow rate 50sccm to 5000sccm 50sccm to 5000sccm

圖3A及3B是具有及不具有如本文所述之保形薄膜層之粗糙度之圖式。在圖3A中,光阻302a是可在半導體基板上顯影出之光阻之一部分之圖式。光阻302a具有內壓縮應力,其造成光阻之彎曲且因此增加了LER及LWR。光阻302a可由低頻粗糙度303-1及高頻粗糙度303-2來表示,其分別代表光阻302a之兩種粗糙度。低頻粗糙度303-1係部分地由光阻302a之壓縮應力所引起,該壓縮應力造成彎曲及∕或凸起。Figures 3A and 3B are graphs with and without the roughness of the conformal film layer as described herein. In FIG. 3A, the photoresist 302a is a pattern of a part of the photoresist that can be developed on a semiconductor substrate. The photoresist 302a has internal compressive stress, which causes bending of the photoresist and therefore increases LER and LWR. The photoresist 302a can be represented by a low-frequency roughness 303-1 and a high-frequency roughness 303-2, which respectively represent two roughnesses of the photoresist 302a. The low frequency roughness 303-1 is partly caused by the compressive stress of the photoresist 302a, which causes bending and/or bumps.

圖3B是根據本文所揭示的實施例之EUV光阻之圖式。光阻302b具有沉積在其上之保形薄膜305。保形薄膜305之特徵在於相較於光阻302b之拉伸應力或較少壓縮應力,且保形薄膜305之加入產生複合光阻306,其具有比光阻302b小之壓縮應力。由於減少的壓縮應力,所以複合光阻306具有降低的低頻粗糙度。FIG. 3B is a diagram of EUV photoresist according to the embodiment disclosed herein. The photoresist 302b has a conformal film 305 deposited thereon. The conformal film 305 is characterized by less tensile stress or less compressive stress than the photoresist 302b, and the addition of the conformal film 305 produces a composite photoresist 306, which has a smaller compressive stress than the photoresist 302b. Due to the reduced compressive stress, the composite photoresist 306 has reduced low frequency roughness.

在一些實施例中,保形薄膜305是使用電漿增強ALD所沉積之矽氧化物。電漿增強ALD處理之改變可沉積具有各種位準的內應力(從壓縮到拉伸)之薄膜。藉由沉積具有比光阻小的壓縮應力之薄膜,該薄膜抵消了光阻之壓縮應力並且減少了可能發生之彎曲及∕或凸起,導致較少的低頻粗糙度。在其它實施例中,可使用不同的介電材料,只要其具有比光阻小的壓縮應力即可。In some embodiments, the conformal film 305 is silicon oxide deposited by plasma enhanced ALD. The plasma-enhanced ALD process can be changed to deposit films with various levels of internal stress (from compression to tension). By depositing a film with a smaller compressive stress than the photoresist, the film cancels the compressive stress of the photoresist and reduces the possible bending and/or bumps, resulting in less low-frequency roughness. In other embodiments, different dielectric materials can be used as long as they have a compressive stress smaller than that of the photoresist.

根據本揭示內容之範例,圖4係顯示在不同的ALD條件下、沉積在EUV光阻上之保形薄膜之效果之曲線圖及圖表。曲線圖402顯示出四個不同沉積條件之功率譜密度(PSD)曲線。由於PSD值與線邊緣粗糙度(LER)直接相關,因此較低的PSD值也意味著較小的LER。線404係未經任何處理之EUV光阻之PSD。線405係在300W 50%DC下沉積由矽氧化物所組成之保形薄膜之後,EUV光阻之PSD。線406係在75W 3%DC下沉積由矽氧化物所組成之保形薄膜之後,EUV光阻之PSD。線407係在75W 50%DC下沉積由矽氧化物所組成之保形薄膜之後,EUV光阻之PSD。如曲線圖402所示,線404不具有保形薄膜並且具有最大的PSD值,然而線405-407顯示出改善的PSD值。下表顯示在此範例中在各保形薄膜之ALD循環中之反應物之處理條件。各保形薄膜之第一反應物之處理條件在所有保形薄膜上皆相同,然而第二反應物之處理條件有所不同。   第一反應物 (所有皆相同) 第二反應物 75W 50%DC 第二反應物 300W 50%DC 第二反應物 75W 3%DC 壓力 90mT 30mT 30mT 30mT 功率 0W 75W 50%DC 300W 50%DC 75W 3%DC 偏壓 0V 0V 0V 0V 溫度 60C 60C 60C 60C 時間 1秒 1.5秒 1.5秒 1.5秒 流率 50µL/min BTBAS, 500 sccm He 200sccm O2 , 1000sccm He, 1000sccm Ar 200sccm O2 , 1000sccm He, 1000sccm Ar 200sccm O2 , 1000sccm He, 1000sccm Ar 循環數 10 10 10 10 厚度 1.3nm 1.3nm 0.9nm According to an example of the present disclosure, FIG. 4 is a graph and chart showing the effect of the conformal film deposited on the EUV photoresist under different ALD conditions. Graph 402 shows power spectral density (PSD) curves for four different deposition conditions. Since the PSD value is directly related to the line edge roughness (LER), a lower PSD value also means a smaller LER. Line 404 is a PSD of EUV photoresist without any treatment. Line 405 is the PSD of EUV photoresist after a conformal film composed of silicon oxide is deposited at 300W 50%DC. Line 406 is a PSD of EUV photoresist after a conformal film composed of silicon oxide is deposited at 75W 3%DC. Line 407 is a PSD of EUV photoresist after a conformal film composed of silicon oxide is deposited at 75W 50%DC. As shown in graph 402, line 404 does not have a conformal film and has the largest PSD value, while lines 405-407 show improved PSD values. The following table shows the processing conditions of the reactants in the ALD cycle of each conformal film in this example. The processing conditions of the first reactant of each conformal film are the same on all conformal films, but the processing conditions of the second reactant are different. The first reactant (all the same) The second reactant 75W 50%DC The second reactant 300W 50%DC The second reactant 75W 3%DC pressure 90mT 30mT 30mT 30mT power 0W 75W 50%DC 300W 50%DC 75W 3%DC bias 0V 0V 0V 0V temperature 60C 60C 60C 60C time 1 second 1.5 seconds 1.5 seconds 1.5 seconds Flow rate 50µL/min BTBAS, 500 sccm He 200sccm O 2 , 1000sccm He, 1000sccm Ar 200sccm O 2 , 1000sccm He, 1000sccm Ar 200sccm O 2 , 1000sccm He, 1000sccm Ar Number of cycles 10 10 10 10 thickness no 1.3nm 1.3nm 0.9nm

圖表412提供了與曲線圖402有關之額外資訊。行414-417顯示在0.01 nm-1 之空間頻率下,在處理條件、薄膜內應力及PSD值之間之相關性。空間頻率為0.01 nm-1 之粗糙度被認為是低頻粗糙度,因此在該空間頻率下較低的PSD值通常與降低的低頻粗糙度相關。行414與線404相關,顯示出沒有任何保形薄膜之EUV光阻之數據,其PSD值為13.4 nm4 。行415與線405相關,顯示出在75W 50%DC下沉積在EUV光阻上之保形薄膜之數據,其內應力為+25MPa(正數為拉伸應力,而負數表示壓縮應力),且所得到的PSD值為5.4 nm4 ,比沒有保形薄膜之EUV光阻之PSD值改善60%。行416與線406相關,顯示出在300W 50%DC下沉積在EUV光阻上之保形薄膜之數據,其內應力為-25MPa,且所得到的PSD值為8.12 nm4 ,改善了40%。最後,行417與線407相關,顯示出在75W 3%DC下沉積在EUV光阻上之保形薄膜之數據,其內應力為-46MPa,且PSD值為11.5 nm4 ,比沒有保形薄膜之EUV光阻之原始PSD值改善了14%。Graph 412 provides additional information related to graph 402. Rows 414-417 show the correlation between processing conditions, film internal stress and PSD value at a spatial frequency of 0.01 nm -1 . Roughness with a spatial frequency of 0.01 nm -1 is considered low-frequency roughness, so a lower PSD value at this spatial frequency is usually associated with reduced low-frequency roughness. Row 414 is related to line 404, showing data for EUV photoresist without any conformal film, and its PSD value is 13.4 nm 4 . Line 415 is related to line 405, showing the data of the conformal film deposited on EUV photoresist at 75W 50%DC, the internal stress is +25MPa (positive number is tensile stress, and negative number is compressive stress), and the resulting The PSD value is 5.4 nm 4 , which is 60% better than the PSD value of EUV photoresist without conformal film. Row 416 is related to line 406, showing the data of the conformal film deposited on EUV photoresist at 300W 50%DC, the internal stress is -25MPa, and the PSD value obtained is 8.12 nm 4 , an improvement of 40%. Finally, line 417 is related to line 407, showing the data of the conformal film deposited on EUV photoresist at 75W 3%DC. The internal stress is -46MPa and the PSD value is 11.5 nm 4 , which is better than EUV without conformal film. The original PSD value of photoresist has been improved by 14%.

從曲線圖及圖表可清楚地看出,具有最大拉伸應力之保形薄膜之EUV光阻具有最低的PSD值,因此具有最低的LER。沒有任何保形薄膜或具有較少拉伸應力之保形薄膜之EUV光阻具有較大的PSD值,因此具有較大的LER。It can be clearly seen from the graphs and graphs that the EUV photoresist of the conformal film with the largest tensile stress has the lowest PSD value and therefore the lowest LER. EUV photoresist without any conformal film or conformal film with less tensile stress has a larger PSD value and therefore a larger LER.

圖5係EUV光阻之一種應用之圖式。堆疊502係一系列基板層,在頂部上具有EUV光阻503。該EUV光阻被圖案化,使得在蝕刻處理期間特徵部被形成在基板層中。特徵部被蝕刻至深度504,在此範例中深度504為大約185 nm,但特徵部深度可能更大或更小。當特徵部被蝕刻穿過該等層時,EUV光阻之粗糙度將影響被蝕刻層之粗糙度。影像506表示在基板中之被蝕刻特徵部之影像,其中每一特徵部具有變形。藉由改善EUV光阻之粗糙度,亦將改善被蝕刻特徵部之粗糙度。Figure 5 is a schematic diagram of an application of EUV photoresist. Stack 502 is a series of substrate layers with EUV photoresist 503 on top. The EUV photoresist is patterned so that features are formed in the substrate layer during the etching process. The feature is etched to a depth 504, which in this example is about 185 nm, but the feature depth may be larger or smaller. When features are etched through the layers, the roughness of the EUV photoresist will affect the roughness of the etched layer. Image 506 represents an image of etched features in the substrate, where each feature has a deformation. By improving the roughness of EUV photoresist, the roughness of etched features will also be improved.

圖6係顯示在不同的ALD條件下所沉積之膜對目標層之LER之影響之曲線圖及表格。曲線圖602顯示出在四個不同條件下之PSD曲線。由於PSD值與LER直接相關,因此較低的PSD值也意味著較小的LER。線604係在蝕刻基板之前、沒有保形薄膜之EUV光阻層的PSD。線605係在利用沒有保形薄膜之EUV光阻進行蝕刻之後之目標層之PSD。線606係在使用具有保形薄膜(由75W 50%DC之矽氧化物所組成)之EUV光阻進行蝕刻之後之目標層之PSD。線607係在使用具有保形薄膜(由75W 3%DC之矽氧化物所組成)之EUV光阻來蝕刻基板之後之目標層之PSD。如圖所示,線604不具有保形薄膜並且具有最大的PSD值,然而線605-607顯示出改善的PSD值。兩個保形薄膜係在與上方圖4所示之相同或類似的處理條件下沉積。Figure 6 is a graph and table showing the influence of the deposited film on the LER of the target layer under different ALD conditions. Graph 602 shows the PSD curve under four different conditions. Since the PSD value is directly related to the LER, a lower PSD value also means a smaller LER. Line 604 is the PSD without the EUV photoresist layer of conformal film before etching the substrate. Line 605 is the PSD of the target layer after etching with EUV photoresist without conformal film. Line 606 is the PSD of the target layer after etching using EUV photoresist with conformal film (made of 75W 50%DC silicon oxide). Line 607 is the PSD of the target layer after etching the substrate using EUV photoresist with conformal film (made of 75W 3%DC silicon oxide). As shown, line 604 does not have a conformal film and has the largest PSD value, while lines 605-607 show improved PSD values. The two conformal films were deposited under the same or similar processing conditions as shown in Figure 4 above.

圖表612提供了與曲線圖602有關之額外資訊。行614-617提供了應力、在0.01 nm-1 之空間頻率之PSD值、及在蝕刻前PSD值之改善百分比、及在蝕刻後PSD值之改善百分比。空間頻率為0.01 nm-1 之粗糙度被認為是低頻粗糙度,因此在此空間頻率下較低的PSD值通常與降低的低頻粗糙度相關。行614顯示在蝕刻之前且沒有保形薄膜之EUV光阻層之數據。行615顯示在使用沒有保形薄膜之EUV光阻層進行蝕刻之後之目標層之數據。行616顯示在保形薄膜(75W 50%DC)沉積在EUV光阻上之數據,其內應力為+ 25MPa(正數關聯於拉伸應力,而負數表示壓縮應力)。最後,行617顯示保形薄膜(75W 3%DC)沉積在EUV光阻上之數據,其內應力為-46MPa。Graph 612 provides additional information related to graph 602. Rows 614-617 provide the stress, the PSD value at a spatial frequency of 0.01 nm -1 , and the percentage improvement of the PSD value before etching, and the percentage improvement of the PSD value after etching. The roughness with a spatial frequency of 0.01 nm -1 is considered low-frequency roughness, so a lower PSD value at this spatial frequency is usually associated with reduced low-frequency roughness. Row 614 shows the data for EUV photoresist layer before etching and without conformal film. Row 615 shows the data of the target layer after etching with EUV photoresist layer without conformal film. Row 616 shows the data on the conformal film (75W 50%DC) deposited on the EUV photoresist, and its internal stress is +25MPa (positive numbers are related to tensile stress, while negative numbers represent compressive stress). Finally, row 617 shows the data of conformal film (75W 3%DC) deposited on EUV photoresist, and its internal stress is -46MPa.

列618及619顯示出使用本文中所揭示的保形薄膜之改善。列618中之值表示具有保形薄膜之EUV光阻之PSD值之改善(相較於沒有保形薄膜之EUV光阻)。對於相同的處理條件,這些數字與圖中所示之數字相同。列619中之值表示在具有及不具有保形薄膜下蝕刻目標層之後之PSD值之改善。藉由蝕刻處理,可使粗糙度略微降低10%。然而,添加保形薄膜可大幅地降低粗糙度。在75W及50% DC下沉積保形薄膜將導致蝕刻前之粗糙度降低60%、以及蝕刻後之粗糙度降低72%。在75W及3% DC下沉積保形薄膜將導致蝕刻前之粗糙度降低相對較低的14%,但蝕刻處理導致蝕刻後之粗糙度降低37%。Columns 618 and 619 show the improvement of using the conformal film disclosed herein. The value in column 618 represents the improvement of the PSD value of EUV photoresist with conformal film (compared to EUV photoresist without conformal film). For the same processing conditions, these numbers are the same as those shown in the figure. The value in column 619 represents the improvement of the PSD value after etching the target layer with and without the conformal film. Through etching treatment, the roughness can be slightly reduced by 10%. However, the addition of a conformal film can greatly reduce the roughness. Conformal film deposition at 75W and 50% DC will result in a 60% reduction in roughness before etching and a 72% reduction in roughness after etching. Conformal film deposition at 75W and 3% DC will result in a relatively low 14% reduction in roughness before etching, but etching treatment will result in a 37% reduction in roughness after etching.

從曲線圖及圖表可清楚地看出,與不具有保形薄膜或保形薄膜之拉伸應力較小之EUV光阻相比,具有較大拉伸應力之保形薄膜之EUV光阻具有較小的PSD值,因此具有較小的LER。然後, EUV光阻之LER之降低可在後續的蝕刻處理期間被轉移至目標層,因而降低蝕刻特徵部之LER及LWR。 設備From the graphs and graphs, it can be clearly seen that the EUV photoresist of the conformal film with larger tensile stress has higher tensile stress than the EUV photoresist without conformal film or conformal film with lower tensile stress. A small PSD value, so a small LER. Then, the reduction of the LER of the EUV photoresist can be transferred to the target layer during the subsequent etching process, thereby reducing the LER and LWR of the etched features. equipment

圖7A為示意橫剖面圖,顯示根據各種實施例之可用於蝕刻操作之電漿處理系統。該系統包括腔室732,腔室732包括腔體714、卡盤716、及介電窗706。腔室732包括處理區域,且介電窗706係設置在處理區域上方。卡盤716可為用於支撐基板712之靜電卡盤,且設置在腔室中之處理區域下方。在一些實施例中,內部法拉第屏蔽(未顯示)係設置在腔室732內部於介電窗706下方。TCP線圈734係設置在介電窗706上方且連接至匹配電路702。FIG. 7A is a schematic cross-sectional view showing a plasma processing system that can be used for etching operations according to various embodiments. The system includes a chamber 732 which includes a cavity 714, a chuck 716, and a dielectric window 706. The chamber 732 includes a processing area, and the dielectric window 706 is disposed above the processing area. The chuck 716 may be an electrostatic chuck for supporting the substrate 712 and is disposed under the processing area in the chamber. In some embodiments, an internal Faraday shield (not shown) is disposed inside the cavity 732 under the dielectric window 706. The TCP coil 734 is disposed above the dielectric window 706 and connected to the matching circuit 702.

該系統包含偏壓RF產生器720,其可由一或更多產生器加以定義。若提供多個產生器,則不同的頻率可用以達成各種調諧特性。偏壓匹配件718係耦接於RF產生器720與界定卡盤716之組件之傳導板之間。卡盤716亦包括靜電電極,以允許晶圓之夾持及去夾持。廣義上,可提供濾波器及DC箝位電源供應器。亦可提供用於將晶圓自卡盤716抬起之其它控制系統。The system includes a bias RF generator 720, which can be defined by one or more generators. If multiple generators are provided, different frequencies can be used to achieve various tuning characteristics. The bias matching member 718 is coupled between the RF generator 720 and the conductive plate defining the components of the chuck 716. The chuck 716 also includes electrostatic electrodes to allow wafer clamping and de-chucking. Broadly speaking, a filter and a DC clamp power supply can be provided. Other control systems for lifting the wafer from the chuck 716 can also be provided.

第一氣體注射器704提供二不同的通道,以將處理氣體或液體前驅物(呈蒸氣形式)之二分開的流動從腔室頂部注入至腔室。應當了解,可提供多個氣體供應器,以將不同的氣體供給至腔室以供各種類型之操作,例如晶圓上之處理操作、無晶圓自動清潔(WAC)操作、及其它操作。第二氣體注射器710提供另一氣體流動,其經由側面而非從頂部進入腔室。The first gas injector 704 provides two different channels to inject the two separate flows of the process gas or liquid precursor (in the form of vapor) from the top of the chamber into the chamber. It should be understood that multiple gas supplies may be provided to supply different gases to the chamber for various types of operations, such as on-wafer processing operations, waferless automatic cleaning (WAC) operations, and other operations. The second gas injector 710 provides another gas flow that enters the chamber via the side instead of from the top.

在圖7A之實施例中,獨立氣體流可被輸送至腔室中。一流動可經由注射器704之中央注入。第二流動可亦經由注射器704注入,但經由圍繞注射器704中央之不同路徑注入。第三流動可經由側注射器710注入腔室之側部。在一實施例中,氣體注射器704亦提供進入處理腔室之光學接取,例如,沿著從處理腔室外側之一診斷終點經由光學接取窗之軸向路徑。針對進入腔室之光學接取之更多細節,可參見公告日為2011年4月19日、且名稱為「Methods of and Apparatus for Accessing a Process Chamber Using a Dual Zone Gas Injector with Improved Optical Access」之美國專利第7,928,366號,其揭露內容係納入本文中做為參考。In the embodiment of Figure 7A, independent gas streams can be delivered into the chamber. A flow can be injected through the center of the syringe 704. The second flow can also be injected through the syringe 704, but through a different path around the center of the syringe 704. The third flow can be injected into the side of the chamber via the side injector 710. In one embodiment, the gas injector 704 also provides optical access into the processing chamber, for example, along an axial path from a diagnostic end point outside the processing chamber through the optical access window. For more details on the optical access into the chamber, please refer to the announcement date April 19, 2011, and the title is "Methods of and Apparatus for Accessing a Process Chamber Using a Dual Zone Gas Injector with Improved Optical Access" US Patent No. 7,928,366, the disclosure of which is incorporated herein as a reference.

已描述將氣體注入至腔室中之各種方式,以說明蝕刻氣體及∕或液體前驅物可從各種位置提供至腔室中。在一些例子中,僅使用注射器704。在其它例子中,僅使用側注射器710。在其它例子中,可使用注射器704及側注射器710二者。在一配置中,歧管722控制對三不同氣體管線各者供給哪種氣體。歧管722允許任何類型之氣體(反應物、調整、前驅物等)提供至該三不同氣體管線任一者。在一些實施例中,調整氣體可包括例如氧(O2 )或氦(He)之氣體。氣體可在導入至腔室中之前沒有混合、或與其它氣體混合之情況下輸送至腔室中。Various ways of injecting gas into the chamber have been described to illustrate that the etching gas and/or liquid precursor can be provided into the chamber from various locations. In some examples, only syringe 704 is used. In other examples, only the side syringe 710 is used. In other examples, both syringe 704 and side syringe 710 may be used. In one configuration, the manifold 722 controls which gas is supplied to each of the three different gas lines. The manifold 722 allows any type of gas (reactant, modifier, precursor, etc.) to be supplied to any of the three different gas pipelines. In some embodiments, the adjustment gas may include a gas such as oxygen (O 2 ) or helium (He). The gas can be delivered into the chamber without mixing before being introduced into the chamber, or mixed with other gases.

真空泵730係連接至腔室732,以在操作電漿處理期間允許真空壓力控制以及自腔室移除氣體副產物。閥726係設置在排氣部724與真空泵730之間,以控制對腔室施加之真空抽吸量。A vacuum pump 730 is connected to the chamber 732 to allow vacuum pressure control and removal of gas by-products from the chamber during operation of the plasma processing. The valve 726 is disposed between the exhaust portion 724 and the vacuum pump 730 to control the amount of vacuum suction applied to the chamber.

介電窗706可由陶瓷材料或陶瓷類材料加以界定。其它介電材料亦是可能的,只要它們能夠承受半導體蝕刻腔室之條件。通常,腔室操作於提高的溫度,範圍在攝氏零度與大約攝氏200度之間。溫度將取決於蝕刻處理操作及特定的配方。腔室732亦將操作於真空條件下,範圍在約1毫托(mT)與約500毫托(mT)之間。當使用在本文中時,術語「約」及「大約」意指:指定的參數可在合理的允差(例如,± 20%)之內變化。The dielectric window 706 may be defined by ceramic materials or ceramic materials. Other dielectric materials are also possible, as long as they can withstand the conditions of the semiconductor etching chamber. Typically, the chamber operates at elevated temperatures, ranging between zero degrees Celsius and approximately 200 degrees Celsius. The temperature will depend on the etching process operation and the specific recipe. The chamber 732 will also operate under vacuum conditions, ranging between about 1 millitorr (mT) and about 500 millitorr (mT). When used in this article, the terms "about" and "approximately" mean that the specified parameters can vary within a reasonable tolerance (for example, ± 20%).

雖然未具體地顯示全部,但當安裝在潔淨室或加工設施之中時,腔室732通常耦接至複數設施。設施包括提供處理氣體、真空、溫度控制、及環境微粒控制等等之管路系統。當腔室732安裝在目標加工設施之中時,這些設施係耦接至腔室732。此外,腔室732可耦接至傳送腔室,其允許機械臂使用自動作業將半導體晶圓傳送進出腔室732。Although not all are shown in detail, when installed in a clean room or a processing facility, the chamber 732 is usually coupled to a plurality of facilities. Facilities include pipeline systems that provide processing gas, vacuum, temperature control, and environmental particle control, etc. When the chamber 732 is installed in the target processing facilities, these facilities are coupled to the chamber 732. In addition, the chamber 732 may be coupled to the transfer chamber, which allows the robotic arm to transfer semiconductor wafers in and out of the chamber 732 using automated operations.

可程式化控制器708係提供用於控制腔室732及其相關構件之操作。廣義而言,控制器708可加以編程,以執行由配方所定義之腔室操作。一給定的配方可指定用於操作之各種參數,例如對TCP線圈之功率施加、氣體流動至腔室中、及真空之施加。應當了解,時序、持續時間、大小、或任何其它可調整的參數或可控制的特徵,可藉由配方加以定義且由控制器加以執行,以控制腔室732及其相關構件之操作。此外,一系列的配方可編程至控制器708中。在一實施例中,配方係用於處理蝕刻操作,並且包括在蝕刻操作各者之間執行之原子層沉積(ALD)處理之一或更多循環。The programmable controller 708 is provided for controlling the operation of the chamber 732 and related components. Broadly speaking, the controller 708 can be programmed to perform the chamber operations defined by the recipe. A given recipe can specify various parameters for operation, such as the application of power to the TCP coil, the flow of gas into the chamber, and the application of vacuum. It should be understood that the timing, duration, size, or any other adjustable parameters or controllable features can be defined by recipes and executed by the controller to control the operation of the chamber 732 and its related components. In addition, a series of recipes can be programmed into the controller 708. In one embodiment, the recipe is used to process the etching operation and includes one or more cycles of atomic layer deposition (ALD) processing performed between each of the etching operations.

圖7B係根據各種實施例之可用於蝕刻操作之電漿處理系統之示意橫剖面圖。如圖7B中所示,卡盤716係設置在腔體714中,該腔體714設有介電窗706。在一實施例中,卡盤716係靜電卡盤,用於支撐基板712。TCP線圈734係設置在介電窗706上方且連接至匹配電路702,匹配電路702係耦接至RF產生器721。在圖7B之實施例中,輸送系統728包括蝕刻氣體輸送系統727及液體輸送系統729。蝕刻氣體輸送系統727將蝕刻劑氣體經由導管703輸送至歧管722。液體輸送系統729將液體前驅物(以蒸氣形式)經由導管701輸送至歧管722,如以下參照圖7C之更詳細說明。歧管722,回應於來自控制器708之控制,藉由使用,例如,用於在氣體及∕或蒸氣之間切換之複數閥而選擇、切換、及∕或混合該等輸出,使來自各別輸送系統之輸出能夠於適當時間經由導管705流至腔體714。來自各別輸送系統之該等輸出,從導管705經由氣體注射器704流至腔體714中,該氣體注射器704位於腔體之頂部處。為了協助腔室之吹淨,腔體714之基部設有出口715,出口715係流動連通地與泵717連接。在一實施例中,泵717為渦輪泵。熟習此項技藝者將了解,腔體714之基部可設有多個出口,該等出口每一者係連接至一合適的泵。7B is a schematic cross-sectional view of a plasma processing system that can be used for etching operations according to various embodiments. As shown in FIG. 7B, the chuck 716 is disposed in a cavity 714 which is provided with a dielectric window 706. In one embodiment, the chuck 716 is an electrostatic chuck for supporting the substrate 712. The TCP coil 734 is disposed above the dielectric window 706 and connected to the matching circuit 702, and the matching circuit 702 is coupled to the RF generator 721. In the embodiment of FIG. 7B, the delivery system 728 includes an etching gas delivery system 727 and a liquid delivery system 729. The etching gas delivery system 727 delivers the etchant gas to the manifold 722 via the conduit 703. The liquid delivery system 729 delivers the liquid precursor (in vapor form) to the manifold 722 via the conduit 701, as described in more detail below with reference to FIG. 7C. The manifold 722, in response to the control from the controller 708, selects, switches, and/or mixes these outputs by using, for example, multiple valves for switching between gas and/or steam, so that the outputs are The output of the delivery system can flow to the cavity 714 via the conduit 705 at an appropriate time. The outputs from the respective delivery systems flow from the conduit 705 through the gas injector 704 into the cavity 714, which is located at the top of the cavity. In order to assist the cleaning of the chamber, the base of the cavity 714 is provided with an outlet 715 which is connected to the pump 717 in flow communication. In one embodiment, the pump 717 is a turbo pump. Those skilled in the art will understand that the base of the cavity 714 can be provided with multiple outlets, each of which is connected to a suitable pump.

圖7C為示意圖,描繪根據各種實施例之液體輸送系統之額外細節。如圖7C中所示,液體輸送系統729包括液體前驅物來源758、液體流量控制器760、及氣化器762。液體前驅物來源758可流動連通地耦接至提供合適的液體前驅物之設施。如上所述,可使用能夠形成保形原子單層之任何液體前驅物。液體前驅物從來源758流至液體流量控制器760,其基於接收自控制器708之指令而調節流量(參見,例如,圖7B)。在一實施例中,液體前驅物之量係在約50微升至約1,000微升之範圍內。液體前驅物從液體流量控制器760流至氣化器762,其將液體前驅物從液態轉變為氣態。氣化的前驅物流動至歧管722,其基於接收自控制器708之控制,將氣化的前驅物於適當時間供給至氣體注射器704(參見,例如,圖7A)。氣化的前驅物經由氣體注射器704流至由腔體714所界定之腔室732中(參見,例如,圖7A)。Figure 7C is a schematic diagram depicting additional details of the liquid delivery system according to various embodiments. As shown in FIG. 7C, the liquid delivery system 729 includes a liquid precursor source 758, a liquid flow controller 760, and a vaporizer 762. The liquid precursor source 758 may be coupled in flow communication to a facility that provides a suitable liquid precursor. As mentioned above, any liquid precursor capable of forming a conformal atomic monolayer can be used. The liquid precursor flows from the source 758 to the liquid flow controller 760, which adjusts the flow based on instructions received from the controller 708 (see, for example, Figure 7B). In one embodiment, the amount of liquid precursor is in the range of about 50 microliters to about 1,000 microliters. The liquid precursor flows from the liquid flow controller 760 to the vaporizer 762, which converts the liquid precursor from liquid to gas. The vaporized precursor flows to the manifold 722, which, based on the control received from the controller 708, supplies the vaporized precursor to the gas injector 704 at an appropriate time (see, for example, FIG. 7A). The vaporized precursor flows through the gas injector 704 into the chamber 732 defined by the cavity 714 (see, for example, FIG. 7A).

如上所述,一或更多處理工作站可包括於多工作站式處理工具中。 圖8顯示多工作站式處理工具800之實施例之概要圖,具有入站裝載室802及出站裝載室804,入站裝載室802及出站裝載室804其中任一者或兩者可包括遠端電漿源(未顯示在圖8中)。在大氣壓力下之機械臂806係用以將晶圓自卡匣(透過盒808而裝載)經由大氣埠810移動至入站裝載室802中。晶圓(未顯示在圖8中)係藉由機械臂806而放置在入站裝載室802中之基座812上,關閉大氣埠810,且抽空入站裝載室802。在入站裝載室802包括遠端電漿源之情況中,可使晶圓在被導入處理腔室814之前、在入站裝載室802中暴露至遠端電漿處理。此外,晶圓亦可在入站裝載室802中進行加熱,例如,以移除濕氣及吸附的氣體。接著,打開往處理腔室814之腔室傳送埠816,另一機械臂(未顯示)將晶圓放置在反應器中且在第一工作站(顯示在用於處理之反應器中)之基座上,以進行處理。雖然圖8中所繪示之實施例包括裝載室,但應當了解,在一些實施例中,晶圓可直接進入處理工作站中。As mentioned above, one or more processing workstations may be included in a multi-workstation processing tool. 8 shows a schematic diagram of an embodiment of a multi-station processing tool 800, which has an inbound loading room 802 and an outbound loading room 804. Either or both of the inbound loading room 802 and the outbound loading room 804 may include remote End plasma source (not shown in Figure 8). The robotic arm 806 under atmospheric pressure is used to move the wafer from the cassette (loaded through the cassette 808) to the inbound loading chamber 802 via the atmospheric port 810. The wafer (not shown in FIG. 8) is placed on the base 812 in the inbound loading chamber 802 by the robotic arm 806, the atmospheric port 810 is closed, and the inbound loading chamber 802 is evacuated. In the case where the inbound load chamber 802 includes a remote plasma source, the wafer may be exposed to the remote plasma processing in the inbound load chamber 802 before being introduced into the processing chamber 814. In addition, the wafer may also be heated in the inbound loading chamber 802, for example, to remove moisture and adsorbed gas. Next, open the chamber transfer port 816 to the processing chamber 814, and another robot arm (not shown) places the wafer in the reactor and at the base of the first workstation (shown in the reactor for processing) To proceed. Although the embodiment depicted in FIG. 8 includes a loading chamber, it should be understood that in some embodiments, the wafers can be directly entered into the processing station.

在圖8所示之實施例中,所描繪的處理腔室814包括四處理工作站,編號為1到4。每一工作站具有加熱的基座(顯示於工作站1之818)、及氣體管線入口。應當了解,在一些實施例中,每一處理工作站可具有不同或多個目的。例如,在一些實施例中,處理工作站可在ALC、ALD及電漿增強ALD處理模式之間進行切換。在一些實施例中,暴露至沉積前驅物與暴露至第二反應物及電漿是在相同的工作站中實施。額外或替代地,在一些實施例中,處理腔室814可包括一或更多匹配成對的ALD及電漿增強ALD處理工作站。儘管所描繪的處理腔室814包括四工作站,但應當理解,根據本揭示內容之處理腔室可具有任何適當數目之工作站。例如,在一些實施例中,處理腔室可具有五或更多工作站,然而在其它實施例中,處理腔室可具有三或更少工作站。In the embodiment shown in FIG. 8, the depicted processing chamber 814 includes four processing workstations, numbered 1 to 4. Each workstation has a heated base (shown at 818 of workstation 1) and a gas pipeline inlet. It should be understood that in some embodiments, each processing workstation may have different or multiple purposes. For example, in some embodiments, the processing workstation can switch between ALC, ALD, and plasma enhanced ALD processing modes. In some embodiments, the exposure to the deposition precursor and the exposure to the second reactant and plasma are performed in the same workstation. Additionally or alternatively, in some embodiments, the processing chamber 814 may include one or more matched pairs of ALD and plasma enhanced ALD processing workstations. Although the depicted processing chamber 814 includes four workstations, it should be understood that the processing chamber according to the present disclosure may have any suitable number of workstations. For example, in some embodiments, the processing chamber may have five or more workstations, while in other embodiments, the processing chamber may have three or fewer workstations.

圖8描繪晶圓搬運系統890之實施例,用以在處理腔室814中轉移晶圓。在一些實施例中,晶圓搬運系統890可在各種處理工作站之間及∕或在處理工作站與裝載室之間轉移晶圓。應當了解,可採用任何適當的晶圓搬運系統。非限制性範例包括晶圓旋轉架及晶圓搬運機械臂。圖8亦描繪系統控制器850之實施例,用以控制處理工具800之處理條件及硬體狀態。系統控制器850可包括一或更多記憶體裝置856、一或更多大容量儲存裝置854、及一或更多處理器852。處理器852可包括CPU或電腦、類比及∕或數位輸入∕輸出連接、步進馬達控制器板等。FIG. 8 depicts an embodiment of a wafer handling system 890 for transferring wafers in the processing chamber 814. In some embodiments, the wafer handling system 890 can transfer wafers between various processing workstations and/or between processing workstations and load chambers. It should be understood that any suitable wafer handling system can be used. Non-limiting examples include wafer carousels and wafer handling robots. FIG. 8 also depicts an embodiment of the system controller 850 for controlling the processing conditions and hardware status of the processing tool 800. The system controller 850 may include one or more memory devices 856, one or more mass storage devices 854, and one or more processors 852. The processor 852 may include a CPU or a computer, analog and/or digital input/output connections, stepper motor controller boards, etc.

在一些實施例中,系統控制器850控制處理工具800之所有活動。系統控制器850執行系統控制軟體858,系統控制軟體858係儲存於大容量儲存裝置854中、載入至記憶體裝置856中、以及在處理器852上執行。或者,可將控制邏輯硬編碼於控制器850中。為了這些目的,可使用特殊應用積體電路、可編程邏輯裝置(例如,場域可編程閘陣列,或FPGA)及類似者。在以下討論中,在使用「軟體」或「編碼」之任何情況中,可適當地使用功能上可比較的硬編碼邏輯。系統控制軟體858可包括用以控制以下者之指令:時序、氣體之混合、氣體流率、腔室及∕或工作站壓力、腔室及∕或工作站溫度、晶圓溫度、目標功率位準、RF功率位準、基板基座、卡盤及∕或托座位置、及藉由處理工具800而執行之特定處理之其它參數。系統控制軟體858可以任何適當的方式加以配置。例如,可撰寫各種處理工具構件子程序或控制物件,以控制用於實行各種處理工具處理之處理工具構件之操作。系統控制軟體858可以任何適當的電腦可讀程式語言加以編碼。In some embodiments, the system controller 850 controls all activities of the processing tool 800. The system controller 850 executes the system control software 858, which is stored in the mass storage device 854, loaded into the memory device 856, and executed on the processor 852. Alternatively, the control logic can be hard-coded in the controller 850. For these purposes, application-specific integrated circuits, programmable logic devices (for example, field programmable gate arrays, or FPGAs), and the like can be used. In the following discussion, in any situation where "software" or "coding" is used, functionally comparable hard-coded logic can be used appropriately. The system control software 858 may include commands to control the following: timing, gas mixing, gas flow rate, chamber and/or workstation pressure, chamber and/or workstation temperature, wafer temperature, target power level, RF Power level, substrate base, chuck and/or bracket position, and other parameters of the specific process performed by the process tool 800. The system control software 858 can be configured in any suitable way. For example, various processing tool component subroutines or control objects can be written to control the operation of processing tool components used to implement various processing tool processing. The system control software 858 can be coded in any suitable computer-readable programming language.

在一些實施例中,系統控制軟體858可包括輸入∕輸出控制(IOC)序列指令,用以控制上述之各種參數。在一些實施例中,可採用儲存於與系統控制器850相聯繫之大容量儲存裝置854及∕或記憶體裝置856上之其它電腦軟體及∕或程式。用於此目的之程式或程式片段之範例包括基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。In some embodiments, the system control software 858 may include input/output control (IOC) sequence commands to control the various parameters mentioned above. In some embodiments, other computer software and/or programs stored on the mass storage device 854 and/or the memory device 856 associated with the system controller 850 may be used. Examples of programs or program fragments used for this purpose include substrate positioning programs, process gas control programs, pressure control programs, heater control programs, and plasma control programs.

基板定位程式可包括用於處理工具構件之程式碼,處理工具構件係用以將基板裝載至基座818上,並且控制在基板與處理工具800之其它零件之間之間距。The substrate positioning program may include a program code for processing tool components. The processing tool components are used to load the substrate on the base 818 and control the distance between the substrate and other parts of the processing tool 800.

處理氣體控制程式可包括用以控制氣體組成(例如,如本文所述之含矽氣體、含氧氣體、及吹淨氣體)及流率之編碼,以及任選地,用以在沉積之前使氣體流動至一或更多處理工作站中以穩定處理工作站壓力之編碼。壓力控制程式可包括用以控制處理工作站內壓力之編碼,其係藉由調節,例如,在處理工作站之排氣系統中之節流閥、進入處理工作站之氣體流動、等。The process gas control program may include codes to control the gas composition (for example, silicon-containing gas, oxygen-containing gas, and purge gas as described herein) and flow rate, and optionally, to make the gas before deposition Code that flows to one or more processing workstations to stabilize processing workstation pressure. The pressure control program may include a code for controlling the pressure in the processing workstation by adjusting, for example, the throttle valve in the exhaust system of the processing workstation, the gas flow entering the processing workstation, etc.

加熱器控制程式可包括用以控制至加熱單元之電流之編碼,加熱單元係用以加熱基板。或者,加熱器控制程式可控制熱轉移氣體(例如,氦)至基板之傳送。The heater control program may include a code for controlling the current to the heating unit, and the heating unit is used for heating the substrate. Alternatively, the heater control program can control the transfer of heat transfer gas (for example, helium) to the substrate.

根據本文中之實施例,電漿控制程式可包括用以對施加至一或更多處理工作站中之處理電極之RF功率位準進行設定之編碼。According to the embodiments herein, the plasma control program may include a code for setting the RF power level applied to the processing electrodes in one or more processing workstations.

根據本文中之實施例,壓力控制程式可包括用以在反應腔室中維持壓力之編碼。According to the embodiments herein, the pressure control program may include a code for maintaining pressure in the reaction chamber.

在一些實施例中,可具有與系統控制器850相聯繫之使用者介面。使用者介面可包括顯示螢幕、設備及∕或處理條件之圖形軟體顯示、以及使用者輸入裝置,例如指示裝置、鍵盤、觸控螢幕、麥克風、等。In some embodiments, there may be a user interface associated with the system controller 850. The user interface may include display screens, graphical software displays of equipment and/or processing conditions, and user input devices, such as pointing devices, keyboards, touch screens, microphones, etc.

在一些實施例中,由系統控制器850所調整之參數可能與處理條件有關。非限制性範例包括處理氣體組成及流率、溫度、壓力、電漿條件(例如,RF偏壓功率位準)等。這些參數可以配方之形式而提供給使用者,配方可利用使用者介面而輸入。In some embodiments, the parameters adjusted by the system controller 850 may be related to processing conditions. Non-limiting examples include process gas composition and flow rate, temperature, pressure, plasma conditions (for example, RF bias power level), etc. These parameters can be provided to the user in the form of a formula, and the formula can be input through the user interface.

藉由系統控制器850之類比及∕或數位輸入連接,可自各種處理工具感測器而提供用以監控處理之訊號。用以控制處理之訊號可在處理工具800之類比及數位輸出連接上進行輸出。可受監控之處理工具感測器之非限制性範例包括質流控制器、壓力感測器(例如,壓力計)、熱偶等。適當編程之反饋及控制演算法可與來自這些感測器之資料一起用來維持處理條件。With the analog and/or digital input connection of the system controller 850, various processing tool sensors can provide signals for monitoring processing. The signal used to control the processing can be output on the analog and digital output connections of the processing tool 800. Non-limiting examples of process tool sensors that can be monitored include mass flow controllers, pressure sensors (eg, pressure gauges), thermocouples, etc. Properly programmed feedback and control algorithms can be used with data from these sensors to maintain processing conditions.

系統控制器850可提供用以實施上述沉積處理之程式指令。程式指令可控制各種處理參數,例如DC功率位準、RF偏壓功率位準、壓力、溫度、等。根據本文中所述之各種實施例,指令可控制參數,以操作膜堆疊之原位沉積。The system controller 850 can provide program instructions for implementing the aforementioned deposition process. Program instructions can control various processing parameters, such as DC power level, RF bias power level, pressure, temperature, etc. According to various embodiments described herein, the instructions may control parameters to operate the in-situ deposition of the film stack.

典型地,系統控制器850將包括一或更多記憶體裝置、以及一或更多用以執行指令之處理器,俾使設備將執行根據所揭示的實施例之方法。機器可讀媒體可耦接至系統控制器850,該機器可讀媒體包括用以根據所揭示的實施例而控制處理操作之指令。Typically, the system controller 850 will include one or more memory devices, and one or more processors for executing instructions, so that the device will execute the method according to the disclosed embodiment. A machine-readable medium may be coupled to the system controller 850, and the machine-readable medium includes instructions to control processing operations according to the disclosed embodiments.

在一些實行例中,系統控制器850為系統之一部分,其可為上述範例之一部分。這樣的系統可包括半導體處理設備,包括一或更多處理工具、一或更多腔室、用以進行處理之一或更多平台、及∕或特定的處理構件(晶圓基座、氣體流動系統、等)。這些系統可與電子元件整合,電子元件係用以於半導體晶圓或基板之處理之前、期間內、及之後控制它們的操作。電子元件可被稱為「控制器」,控制器可控制一或更多系統之各種構件或子部分。根據處理條件及∕或系統類型,系統控制器850可被編程,以控制本文中所揭示的任何處理,包括處理氣體之輸送、溫度設定(例如,加熱及∕或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、定位及操作設定、晶圓傳遞進入與離開連接至特定系統或與特定系統接合之工具及其它傳遞工具及∕或裝載室。In some implementations, the system controller 850 is part of the system, which may be part of the above example. Such systems may include semiconductor processing equipment, including one or more processing tools, one or more chambers, one or more platforms for processing, and/or specific processing components (wafer base, gas flow System, etc.). These systems can be integrated with electronic components, which are used to control the operation of semiconductor wafers or substrates before, during, and after processing. Electronic components can be called "controllers", which can control various components or sub-parts of one or more systems. According to the processing conditions and/or system type, the system controller 850 can be programmed to control any processing disclosed in this article, including the delivery of processing gas, temperature setting (for example, heating and/or cooling), pressure setting, and vacuum setting , Power setting, radio frequency (RF) generator setting, RF matching circuit setting, frequency setting, flow rate setting, fluid delivery setting, positioning and operation setting, wafer transfer entering and leaving tools connected to or connected to a specific system And other transfer tools and/or loading rooms.

廣義而言,系統控制器850係關於具有用以接收指令、發出指令、控制操作、使清洗操作得以進行、使終點測量得以進行、及達成類似功能之各種積體電路、邏輯、記憶體、及∕或軟體之電子元件。積體電路可包括儲存程式指令之韌體形式之晶片、數位信號處理器(DSP)、定義為特殊應用積體電路(ASIC)之晶片、及∕或一或更多微處理器、或執行程式指令(例如,軟體)之微控制器。程式指令可為以各種單獨設定(或程式檔案)之形式通訊至系統控制器850之指令,定義了用以在半導體晶圓上、或對半導體晶圓、或對系統實施特定處理之操作參數。在一些實施例中,操作參數可為由製程工程師所定義以在晶圓之一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及∕或晶粒之製造期間內完成一或更多處理步驟之配方之一部分。Broadly speaking, the system controller 850 is related to various integrated circuits, logic, memory, and various integrated circuits for receiving instructions, issuing instructions, controlling operations, performing cleaning operations, enabling end-point measurement, and achieving similar functions. ∕Or software electronic components. The integrated circuit may include a chip in the form of firmware storing program instructions, a digital signal processor (DSP), a chip defined as a special application integrated circuit (ASIC), and/or one or more microprocessors, or executing programs Command (for example, software) microcontroller. The program command can be a command communicated to the system controller 850 in the form of various individual settings (or program files), and defines the operating parameters used to perform specific processing on the semiconductor wafer, or the semiconductor wafer, or the system. In some embodiments, the operating parameters can be defined by the process engineer for the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies on the wafer A part of a formula that completes one or more processing steps during the period.

在一些實行例中,系統控制器850可為電腦之一部分或耦接至電腦,該電腦與該系統整合、耦接至該系統、以其它方式網路連接至該系統、或其組合。例如,系統控制器850可在「雲端」或晶圓廠主機電腦系統之全部或一部分中,使得晶圓處理之遠端控制得以進行。該電腦可使得對系統之遠端控制得以進行以監控製造操作之當前處理、檢驗過去製造操作之歷史記錄、檢驗複數製造操作之趨勢或效能評量、改變當前處理之參數、設定在當前處理之後之處理步驟、或開始新的處理。在一些範例中,遠端電腦(例如伺服器)可透過網路而將處理配方提供至系統,網路可包括區域網路或網際網路。遠端電腦可包括使用者界面,該使用者介面使得參數及∕或設定之輸入或程式化得以進行,該參數及∕或設定接著從遠端電腦被傳遞至該系統。在一些範例中,系統控制器850接收數據形式之指令,指令為待於一或更多操作期間內執行之該等處理步驟其中每一者指定了參數。應當了解,該等參數可針對待執行之處理類型、及系統控制器850與其接合或對其進行控制之工具類型。因此,如上所述,系統控制器850可為分散式的,例如藉由包括以網路連接在一起並朝著共同目標(例如本文中所述之處理及控制)工作之一或更多獨立控制器。用於這樣的目標之分散式控制器之範例將是腔室中之一或更多積體電路,該一或更多積體電路與位於遠端(例如,在平台等級或做為遠端電腦之一部分)之一或更多積體電路相連通,而結合以控制腔室中之處理。In some implementations, the system controller 850 may be part of a computer or coupled to a computer, the computer is integrated with the system, is coupled to the system, is networked to the system in other ways, or a combination thereof. For example, the system controller 850 may be in the "cloud" or all or a part of the fab host computer system to enable remote control of wafer processing. The computer allows remote control of the system to monitor the current processing of manufacturing operations, check the history of past manufacturing operations, check the trend or performance evaluation of multiple manufacturing operations, change the parameters of the current processing, and set after the current processing The processing steps, or start a new processing. In some examples, a remote computer (such as a server) can provide processing recipes to the system via a network, and the network can include a local area network or the Internet. The remote computer may include a user interface that enables input or programming of parameters and/or settings, which are then transferred from the remote computer to the system. In some examples, the system controller 850 receives instructions in the form of data, which specify parameters for each of the processing steps to be executed during one or more operation periods. It should be understood that these parameters may be specific to the type of processing to be performed and the type of tool that the system controller 850 interfaces with or controls. Therefore, as described above, the system controller 850 may be decentralized, for example by including one or more independent controls connected together by a network and working toward a common goal (such as the processing and control described herein) Device. An example of a distributed controller for such a goal would be one or more integrated circuits in the chamber, which are connected to the remote (for example, at the platform level or as a remote computer) Part) One or more integrated circuits are connected and combined to control the processing in the chamber.

非限制性地,示例性系統可包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、原子層清潔(ALC)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及關於或用於半導體晶圓之加工及∕或製造之任何其它半導體處理系統。Without limitation, exemplary systems may include plasma etching chambers or modules, deposition chambers or modules, rotary cleaning chambers or modules, metal plating chambers or modules, cleaning chambers or modules, oblique Edge etching chamber or module, physical vapor deposition (PVD) chamber or module, chemical vapor deposition (CVD) chamber or module, ALD chamber or module, atomic layer cleaning (ALC) chamber or Modules, ion implantation chambers or modules, orbital chambers or modules, and any other semiconductor processing systems related to or used in the processing and/or manufacturing of semiconductor wafers.

如上所述,取決於待由工具所執行之處理步驟,系統控制器850可與下列之一或多者通訊:其它工具電路或模組、其它工具構件、叢集工具、其它工具介面、相鄰工具、鄰近工具、位於工廠各處之工具、主電腦、另一控制器、或在半導體製造工廠中將晶圓容器移入及移出工具位置及∕或裝載埠之材料傳送用工具。As described above, depending on the processing steps to be executed by the tool, the system controller 850 can communicate with one or more of the following: other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools , Proximity tools, tools located at various locations in the factory, host computer, another controller, or tools used for material transfer in semiconductor manufacturing plants to move wafer containers in and out of tool positions and/or load ports.

用於實施本文中所揭示的方法之合適設備係進一步討論及描述於2011年4月11日所申請、且發明名稱為「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」之美國專利申請案第13/084,399號(現為美國專利第8,728,956號)、及2011年4月11日所申請、且發明名稱為「SILICON NITRIDE FILMS AND METHODS」之美國專利申請案第13/084,305號,每一者之全部內容係併入本文中。Suitable equipment for implementing the method disclosed herein is further discussed and described in the United States Patent Application No. 13/084,399 (currently) filed on April 11, 2011 and entitled "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION" U.S. Patent No. 8,728,956) and U.S. Patent Application No. 13/084,305 filed on April 11, 2011 and titled "SILICON NITRIDE FILMS AND METHODS", the entire contents of each are incorporated herein in.

本文中所述之設備∕處理可與,例如,用於加工或製造半導體元件、顯示器、LED、光伏面板等之微影圖案化工具或處理一起使用。一般而言,雖然並非必要,但此類工具∕處理會在一共同的製造設施中一起使用或進行。膜之微影圖案化通常包括下述操作之一些或全部,每一操作以幾個可能的工具而提供:(1) 在工作件(亦即,基板)上光阻之塗佈,使用旋塗式或噴塗式工具;(2) 光阻之固化,使用加熱板或加熱爐或UV固化工具;(3) 以工具(例如,晶圓步進機)使光阻暴露至可見光或UV光或x射線光;(4) 使光阻顯影,以便使用工具(例如,濕式清洗台)選擇性地移除光阻及從而使其圖案化;(5) 使用乾式或電漿輔助蝕刻工具,將光阻圖案轉移至下方膜或工作件中;及 (6) 使用工具(例如,RF或微波電漿光阻剝除器)移除光阻。 結論The equipment/processing described herein can be used with, for example, lithographic patterning tools or processes used to process or manufacture semiconductor components, displays, LEDs, photovoltaic panels, etc. Generally speaking, although not necessary, such tools/processing will be used or performed together in a common manufacturing facility. Film lithography patterning usually includes some or all of the following operations, and each operation is provided by several possible tools: (1) The photoresist coating on the work piece (ie, the substrate), using spin coating (2) For curing of photoresist, use a hot plate or furnace or UV curing tool; (3) Use tools (for example, wafer stepper) to expose photoresist to visible light or UV light or x Ray light; (4) to develop the photoresist, so as to use tools (for example, wet cleaning table) to selectively remove the photoresist and thereby pattern it; (5) to use dry or plasma-assisted etching tools to remove the photoresist Transfer the resist pattern to the underlying film or work piece; and (6) Use a tool (for example, RF or microwave plasma photoresist stripper) to remove the photoresist. in conclusion

儘管上述實施例已為了清楚理解之目的而詳細地加以描述,但顯然地,在所附申請專利範圍之範疇中,可實行某些變更及修改。應當注意,有許多替代的方式來實施本案實施例之處理、系統及設備。因此,本案實施例應被視為是用於說明的而不是限制性的,且本案實施例不應被限制於本文中所提出之細節。Although the above embodiments have been described in detail for the purpose of clear understanding, it is obvious that certain changes and modifications can be implemented within the scope of the appended patent application. It should be noted that there are many alternative ways to implement the processing, system, and equipment of the embodiment of this case. Therefore, the embodiments of this case should be regarded as illustrative rather than restrictive, and the embodiments of this case should not be limited to the details set forth herein.

1-4:處理站 102,104,106:操作 282a-282e:圖 302a,302b:光阻 303-1:低頻粗糙度 303-2:高頻粗糙度 305:保形薄膜 306:複合光阻 402:曲線 404,405,406,407:線 412:圖表 414,415,416,417:行 502:堆疊 503:EUV光阻 504:深度 506:影像 602:曲線 604,605,606,607:線 612:圖表 614,615,616,617:行 618,619:列 701:導管 702:匹配電路 703:導管 704:氣體注射器 705:導管 706:介電窗 708:控制器 710:氣體注射器 712:基板 714:腔體 715:出口 716:卡盤 717:泵 718:偏壓匹配件 720:偏壓RF產生器 721:RF產生器 722:歧管 724:排氣部 726:閥 727:蝕刻氣體輸送系統 728:輸送系統 729:液體輸送系統 730:真空泵 732:腔室 734:TCP線圈 758:液體前驅物來源 760:液體流量控制器 762:氣化器 800:多工作站式處理工具 802:入站裝載室 804:出站裝載室 806:機械臂 808:盒 810:大氣埠 812:基座 814:處理腔室 816:腔室傳送埠 818:基座 850:系統控制器 852:處理器 854:大容量儲存裝置 856:記憶體裝置 858:系統控制軟體 890:晶圓搬運系統1-4: Processing Station 102, 104, 106: Operation 282a-282e: Figure 302a, 302b: photoresist 303-1: Low frequency roughness 303-2: High frequency roughness 305: Conformal Film 306: Composite photoresist 402: Curve 404,405,406,407: line 412: Chart 414,415,416,417: OK 502: Stack 503: EUV photoresist 504: Depth 506: Image 602: Curve 604,605,606,607: line 612: chart 614,615,616,617: OK 618,619: columns 701: Catheter 702: matching circuit 703: Catheter 704: Gas Syringe 705: Catheter 706: Dielectric Window 708: Controller 710: Gas Syringe 712: substrate 714: cavity 715: exit 716: Chuck 717: Pump 718: Bias matching parts 720: Bias voltage RF generator 721: RF generator 722: Manifold 724: Exhaust Department 726: Valve 727: Etching Gas Delivery System 728: Conveying System 729: Liquid Delivery System 730: Vacuum pump 732: chamber 734: TCP coil 758: Source of Liquid Precursor 760: Liquid flow controller 762: Vaporizer 800: Multi-station processing tool 802: Inbound loading room 804: Outbound loading room 806: Robotic Arm 808: box 810: atmospheric port 812: Pedestal 814: processing chamber 816: Chamber Transmission Port 818: Pedestal 850: System Controller 852: processor 854: Mass storage device 856: Memory Device 858: system control software 890: Wafer Handling System

根據所揭示的實施例,圖1 係描繪方法之操作之處理流程圖。According to the disclosed embodiment, FIG. 1 is a processing flow chart depicting the operation of the method.

圖2係原子層沉積之實施例之示意圖。Fig. 2 is a schematic diagram of an embodiment of atomic layer deposition.

圖3A係高頻及低頻粗糙度之圖式。Figure 3A is a diagram of the high frequency and low frequency roughness.

圖3B係使用本文中所揭示之實施例以降低高頻粗糙度之圖式。FIG. 3B is a diagram of using the embodiments disclosed herein to reduce high frequency roughness.

圖4係數據圖,顯示各種保形薄膜對LER之影響。Figure 4 is a data graph showing the influence of various conformal films on LER.

圖5係顯示使用本文中之實施例所蝕刻之複數層之圖式。Figure 5 is a diagram showing a plurality of layers etched using the embodiments herein.

圖6係數據圖,顯示各種保形薄膜對蝕刻後LER之影響。Figure 6 is a data graph showing the influence of various conformal films on LER after etching.

圖7A、7B及7C係用於實施所揭示的實施例之示例性處理腔室之示意圖。7A, 7B, and 7C are schematic diagrams of exemplary processing chambers used to implement the disclosed embodiments.

圖8係用於實施所揭示的實施例之示例性處理設備之示意圖。Figure 8 is a schematic diagram of an exemplary processing device used to implement the disclosed embodiments.

102,104,106:操作 102, 104, 106: Operation

Claims (21)

一種基板處理方法,該方法包含: 提供一基板至一處理腔室,該基板包含一已圖案化的EUV光阻在待蝕刻之一基板層上,該已圖案化的EUV光阻具有一第一應力位準;及 沉積一保形薄膜在該已圖案化的EUV光阻上,該保形薄膜具有一第二應力位準,該第二應力位準相較於該第一應力位準為較少壓縮的,俾使該保形薄膜之該沉積所導致之該已圖案化的EUV光阻之一第三應力位準相較於該第一應力位準為較少壓縮的。A substrate processing method, the method comprising: Providing a substrate to a processing chamber, the substrate including a patterned EUV photoresist on a substrate layer to be etched, the patterned EUV photoresist having a first stress level; and Deposit a conformal film on the patterned EUV photoresist, the conformal film has a second stress level, the second stress level is less compressed than the first stress level, so A third stress level of the patterned EUV photoresist resulting from the deposition of the conformal film is less compressed than the first stress level. 如請求項1之基板處理方法,其中該基板係包含一半導體元件半成品之一半導體晶圓。The substrate processing method of claim 1, wherein the substrate includes a semi-finished semiconductor device and a semiconductor wafer. 如請求項1之基板處理方法,其中該保形薄膜具有不大於2 nm之厚度。The substrate processing method of claim 1, wherein the conformal film has a thickness not greater than 2 nm. 如請求項1之基板處理方法,其中該保形薄膜具有約1 nm之厚度。The substrate processing method of claim 1, wherein the conformal film has a thickness of about 1 nm. 如請求項1之基板處理方法,其中該保形薄膜之該第二應力位準係拉伸的(tensile)。The substrate processing method of claim 1, wherein the second stress level of the conformal film is tensile. 如請求項1之基板處理方法,其中該保形薄膜之該第二應力位準係壓縮的(compressive)。The substrate processing method of claim 1, wherein the second stress level of the conformal film is compressive. 如請求項1之基板處理方法,其中該已圖案化的EUV光阻之特徵在於:在該沉積之後,線粗糙度係降低。The substrate processing method of claim 1, wherein the patterned EUV photoresist is characterized in that the line roughness is reduced after the deposition. 如請求項1-7其中任一項之基板處理方法,其中該線粗糙度包含線邊緣粗糙度(LER)及線寬粗糙度(LWR)其中一或多者。According to the substrate processing method of any one of claims 1-7, wherein the line roughness includes one or more of line edge roughness (LER) and line width roughness (LWR). 如請求項8之基板處理方法,其中該線粗糙度係一低頻線粗糙度。The substrate processing method of claim 8, wherein the line roughness is a low-frequency line roughness. 如請求項9之基板處理方法,其中該低頻線粗糙度具有小於0.05 nm-1 之空間頻率。The substrate processing method of claim 9, wherein the low-frequency line roughness has a spatial frequency less than 0.05 nm -1 . 如請求項8之基板處理方法,其中該線粗糙度係一高頻線粗糙度。The substrate processing method of claim 8, wherein the line roughness is a high-frequency line roughness. 如請求項11之基板處理方法,其中該高頻線粗糙度具有大於0.05 nm-1 之空間頻率。The substrate processing method of claim 11, wherein the high-frequency line roughness has a spatial frequency greater than 0.05 nm -1 . 如請求項8之基板處理方法,其中該線邊緣粗糙度係降低從約0.1至1 nm4 (PSD)之幅度。The substrate processing method of claim 8, wherein the line edge roughness is reduced from about 0.1 to 1 nm 4 (PSD). 如請求項1-7其中任一項之基板處理方法,其中該保形薄膜包含一基於Si的介電質。The substrate processing method according to any one of claims 1-7, wherein the conformal film includes a Si-based dielectric. 如請求項14之基板處理方法,其中該介電質係SiO2The substrate processing method of claim 14, wherein the dielectric substance is SiO 2 . 如請求項1-7其中任一項之基板處理方法,其中該保形薄膜係藉由ALD而沉積。The substrate processing method of any one of claims 1-7, wherein the conformal thin film is deposited by ALD. 如請求項16之基板處理方法,其中該ALD包含電漿增強ALD,其中一循環包含使具有介於10W與2500W之間之功率、及介於25%與50%之間之工作週期之一氧電漿流動。The substrate processing method of claim 16, wherein the ALD includes plasma-enhanced ALD, and one of the cycles includes oxygen having a power between 10W and 2500W and a duty cycle between 25% and 50% Plasma flows. 如請求項17之基板處理方法,其中該EUV光阻包含一化學放大光阻(CAR)、一有機金屬、或一有機金屬氧化物。The substrate processing method of claim 17, wherein the EUV photoresist includes a chemically amplified photoresist (CAR), an organic metal, or an organic metal oxide. 如請求項18之基板處理方法,其中該有機金屬氧化物係一有機錫氧化物。The substrate processing method of claim 18, wherein the organic metal oxide is an organic tin oxide. 如請求項1-7其中任一項之基板處理方法,更包含:在該保形薄膜之該沉積之後,在該處理腔室中蝕刻該基板層。The substrate processing method of any one of claims 1-7, further comprising: etching the substrate layer in the processing chamber after the deposition of the conformal thin film. 一種基板處理設備,該設備包含: 一或更多處理腔室,每一處理腔室包含一基板支撐件; 進入該等處理腔室之一或更多氣體入口及相關的流動控制硬體; 一或更多基板搬運裝置;及 一控制器,具有至少一處理器及一記憶體,其中 該至少一處理器及該記憶體係彼此通訊連接, 該至少一處理器係與該一或更多基板搬運裝置及該流動控制硬體至少操作地連接,及 該記憶體係儲存複數電腦可執行指令,該複數電腦可執行指令用於控制該至少一處理器以至少控制該一或更多基板搬運裝置及該流動控制硬體以: 提供一基板至一處理腔室,該基板包含一已圖案化的EUV光阻在待蝕刻之一基板層上,該已圖案化的EUV光阻具有一第一應力位準;及 沉積一保形薄膜在該已圖案化的EUV光阻上,該保形薄膜具有一第二應力位準,該第二應力位準相較於該第一應力位準為較少壓縮的,俾使該保形薄膜之該沉積所導致之該已圖案化的EUV光阻之一第三應力位準相較於該第一應力位準為較少壓縮的。A substrate processing equipment, which includes: One or more processing chambers, each processing chamber includes a substrate support; Access to one or more gas inlets of the processing chambers and related flow control hardware; One or more substrate handling devices; and A controller having at least one processor and one memory, wherein The at least one processor and the memory system are communicatively connected to each other, The at least one processor is at least operatively connected to the one or more substrate handling devices and the flow control hardware, and The memory system stores a plurality of computer-executable instructions, and the plurality of computer-executable instructions are used to control the at least one processor to at least control the one or more substrate handling devices and the flow control hardware to: Providing a substrate to a processing chamber, the substrate including a patterned EUV photoresist on a substrate layer to be etched, the patterned EUV photoresist having a first stress level; and Deposit a conformal film on the patterned EUV photoresist, the conformal film has a second stress level, the second stress level is less compressed than the first stress level, so A third stress level of the patterned EUV photoresist resulting from the deposition of the conformal film is less compressed than the first stress level.
TW109108753A 2019-03-18 2020-03-17 Reducing roughness of extreme ultraviolet lithography resists TW202046006A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962820184P 2019-03-18 2019-03-18
US62/820,184 2019-03-18

Publications (1)

Publication Number Publication Date
TW202046006A true TW202046006A (en) 2020-12-16

Family

ID=72521167

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109108753A TW202046006A (en) 2019-03-18 2020-03-17 Reducing roughness of extreme ultraviolet lithography resists

Country Status (4)

Country Link
US (1) US20220157617A1 (en)
KR (1) KR20210129739A (en)
TW (1) TW202046006A (en)
WO (1) WO2020190941A1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
WO2023215136A1 (en) * 2022-05-04 2023-11-09 Lam Research Corporation Post-development treatment of metal-containing photoresist

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090286402A1 (en) * 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
CN102543875A (en) * 2011-11-02 2012-07-04 上海华力微电子有限公司 Method for using stress memorization technology in semiconductor device
JP6068171B2 (en) * 2013-02-04 2017-01-25 株式会社日立ハイテクノロジーズ Sample processing method and sample processing apparatus
US9304396B2 (en) * 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
JP2016539361A (en) * 2013-11-08 2016-12-15 東京エレクトロン株式会社 Method of using a post-processing method for accelerating EUV lithography
KR102233577B1 (en) * 2014-02-25 2021-03-30 삼성전자주식회사 Method for forming patterns of a semiconductor device
US10510538B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing EUV-induced material property changes
KR102457289B1 (en) * 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
US10770294B2 (en) * 2018-06-22 2020-09-08 Tokyo Electron Limited Selective atomic layer deposition (ALD) of protective caps to enhance extreme ultra-violet (EUV) etch resistance
US11705332B2 (en) * 2020-03-30 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern

Also Published As

Publication number Publication date
KR20210129739A (en) 2021-10-28
WO2020190941A1 (en) 2020-09-24
US20220157617A1 (en) 2022-05-19

Similar Documents

Publication Publication Date Title
US10903071B2 (en) Selective deposition of silicon oxide
KR102474327B1 (en) High dry etch rate materials for semiconductor patterning applications
US10134579B2 (en) Method for high modulus ALD SiO2 spacer
US10629435B2 (en) Doped ALD films for semiconductor patterning applications
TWI706049B (en) Deposition of conformal films by atomic layer deposition and atomic layer etch
US10832908B2 (en) Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10043656B1 (en) Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
KR20180106933A (en) Selective growth of silicon nitride
KR20180117525A (en) Selective deposition with atomic layer etch reset
TWI682054B (en) Method to tune tiox stoichiometry using atomic layer deposited ti film to minimize contact resistance for tiox/ti based mis contact scheme for cmos
US20180308687A1 (en) Euv photopatterning and selective deposition for negative pattern mask
JP2018152560A (en) Selective deposition of silicon nitride on silicon oxide using catalyst control
TW202046006A (en) Reducing roughness of extreme ultraviolet lithography resists
TW202217457A (en) Surface modification for metal-containing photoresist deposition
CN111819659A (en) Selective treatment of etch residue based inhibitors