TW202034175A - 信賴的中間領域 - Google Patents

信賴的中間領域 Download PDF

Info

Publication number
TW202034175A
TW202034175A TW108133171A TW108133171A TW202034175A TW 202034175 A TW202034175 A TW 202034175A TW 108133171 A TW108133171 A TW 108133171A TW 108133171 A TW108133171 A TW 108133171A TW 202034175 A TW202034175 A TW 202034175A
Authority
TW
Taiwan
Prior art keywords
domain
given
realm
memory
field
Prior art date
Application number
TW108133171A
Other languages
English (en)
Other versions
TWI813771B (zh
Inventor
尼可拉斯 悟德
Original Assignee
英商Arm股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 英商Arm股份有限公司 filed Critical 英商Arm股份有限公司
Publication of TW202034175A publication Critical patent/TW202034175A/zh
Application granted granted Critical
Publication of TWI813771B publication Critical patent/TWI813771B/zh

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/14Protection against unauthorised use of memory or access to memory
    • G06F12/1416Protection against unauthorised use of memory or access to memory by checking the object accessibility, e.g. type of access defined by the memory independently of subject rights
    • G06F12/1425Protection against unauthorised use of memory or access to memory by checking the object accessibility, e.g. type of access defined by the memory independently of subject rights the protection being physical, e.g. cell, word, block
    • G06F12/1441Protection against unauthorised use of memory or access to memory by checking the object accessibility, e.g. type of access defined by the memory independently of subject rights the protection being physical, e.g. cell, word, block for a range
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/14Protection against unauthorised use of memory or access to memory
    • G06F12/1416Protection against unauthorised use of memory or access to memory by checking the object accessibility, e.g. type of access defined by the memory independently of subject rights
    • G06F12/145Protection against unauthorised use of memory or access to memory by checking the object accessibility, e.g. type of access defined by the memory independently of subject rights the protection being virtual, e.g. for virtual blocks or segments before a translation mechanism
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/14Protection against unauthorised use of memory or access to memory
    • G06F12/1458Protection against unauthorised use of memory or access to memory by checking the subject access rights
    • G06F12/1491Protection against unauthorised use of memory or access to memory by checking the subject access rights in a hierarchical protection system, e.g. privilege levels, memory rings
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/60Protecting data
    • G06F21/602Providing cryptographic facilities or services
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/70Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer
    • G06F21/78Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer to assure secure storage of data
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/70Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer
    • G06F21/78Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer to assure secure storage of data
    • G06F21/79Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer to assure secure storage of data in semiconductor storage media, e.g. directly-addressable memories
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/44Arrangements for executing specific programs
    • G06F9/455Emulation; Interpretation; Software simulation, e.g. virtualisation or emulation of application or operating system execution engines
    • G06F9/45533Hypervisors; Virtual machine monitors
    • G06F9/45558Hypervisor-specific management and integration aspects
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/44Arrangements for executing specific programs
    • G06F9/455Emulation; Interpretation; Software simulation, e.g. virtualisation or emulation of application or operating system execution engines
    • G06F9/45533Hypervisors; Virtual machine monitors
    • G06F9/45558Hypervisor-specific management and integration aspects
    • G06F2009/45583Memory management, e.g. access or allocation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/44Arrangements for executing specific programs
    • G06F9/455Emulation; Interpretation; Software simulation, e.g. virtualisation or emulation of application or operating system execution engines
    • G06F9/45533Hypervisors; Virtual machine monitors
    • G06F9/45558Hypervisor-specific management and integration aspects
    • G06F2009/45587Isolation or security of virtual machine instances
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/10Protecting distributed programs or content, e.g. vending or licensing of copyrighted material ; Digital rights management [DRM]
    • G06F21/107License processing; Key processing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2212/00Indexing scheme relating to accessing, addressing or allocation within memory systems or architectures
    • G06F2212/10Providing a specific technical effect
    • G06F2212/1052Security improvement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2212/00Indexing scheme relating to accessing, addressing or allocation within memory systems or architectures
    • G06F2212/15Use in a specific computing environment
    • G06F2212/151Emulated environment, e.g. virtual machine

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Security & Cryptography (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Software Systems (AREA)
  • Computer Hardware Design (AREA)
  • Health & Medical Sciences (AREA)
  • Bioethics (AREA)
  • General Health & Medical Sciences (AREA)
  • Storage Device Security (AREA)
  • Medicines Containing Plant Substances (AREA)
  • Saccharide Compounds (AREA)
  • Debugging And Monitoring (AREA)

Abstract

記憶體存取電路系統26基於針對給定記憶體區域定義的所有權資訊、自兩個或兩個以上領域之中規定的所有者領域來控制對記憶體的存取,每個領域對應於在處理電路系統8上執行的軟體過程的至少一部分。所有者領域具有自存取儲存於給定記憶體區域之內的資料排除其他領域的權限。當給定領域的保全組態參數規定該給定領域係與由該等保全組態參數識別的信賴中間領域相關聯時,該信賴中間領域可經允許以執行給定領域的至少一個領域管理功能,例如,提供密鑰及/或保存/復原保全組態參數。此可實現以下用例,其中需要在不同時間於相同系統上或在不同系統上建立具有共用參數之相同領域的多個實例。

Description

信賴的中間領域
本技術係關於資料處理之領域。
已知提供用於在記憶體位址空間中增強用於特別記憶體區域之存取權限之記憶體存取控制技術。通常,此等可基於特權級,使得在較高特權級處執行的過程可自存取記憶體區域排除較少特權過程。
至少一些實例提供一種設備,該設備包含: 處理電路系統,用以回應於一或多個軟體過程執行資料處理;及記憶體存取電路系統,用以基於針對給定記憶體區域定義的所有權資訊、自複數個領域之中規定的所有者領域來控制對複數個記憶體區域的存取,每個領域對應於軟體過程的至少一者的至少一部分,該所有者領域具有從存取儲存在該給定記憶體區域之內的資料排除其他領域的權限;及領域管理單元,用以基於與給定領域相關聯的保全組態參數控制給定領域的操作;其中:當給定領域的保全組態參數規定給定領域係與由保全組態參數識別的信賴中間領域相關聯時,領域管理單元經組配以允許信賴中間領域執行給定領域的至少一個領域管理功能。
至少一些實例提供一種資料處理方法,包含:回應於一或多個軟體過程執行資料處理;基於針對給定記憶體區域定義的所有權資訊、自複數個領域之中規定的所有者領域來強制執行對複數個記憶體區域的所有權權限,每個領域對應於軟體過程的至少一者的至少一部分,該所有者領域具有從存取儲存在該給定記憶體區域之內的資料排除其他領域的權限;及基於與給定領域相關聯的保全組態參數控制給定領域的操作;其中:當給定領域的保全組態參數規定給定領域係與由保全組態參數識別的信賴中間領域相關聯時,允許信賴中間領域執行給定領域的至少一個領域管理功能。
至少一些實例提供一種用於控制主機資料處理設備來提供指令執行環境的電腦程式,包含:記憶體存取程式邏輯,用以基於針對給定記憶體區域定義的所有權資訊、自複數個領域之中規定的所有者領域來控制對複數個記憶體區域的存取,每個領域對應於在指令執行環境中執行的複數個軟體過程的至少一者的至少一部分,該所有者領域具有從存取儲存在該給定記憶體區域之內的資料排除其他領域的權限;及領域管理程式邏輯,用以基於與給定領域相關聯的保全組態參數控制給定領域的操作;其中:當給定領域的保全組態參數規定給定領域係與由保全組態參數識別的信賴中間領域相關聯時,領域管理程式邏輯經組配以允許信賴中間領域執行給定領域的至少一個領域管理功能。
儲存媒體可儲存電腦程式。儲存媒體可為非暫時性儲存媒體。
在基於特權的記憶體存取控制方案中,更具特權的過程可設定存取許可,該等存取許可定義較少特權過程可存取的記憶體區域。然而,此可通常意味對較少特權過程可存取的任何區域亦對於更具特權的區域可存取。因此,在給定作業系統或虛擬機下執行的所有應用程式可能需要信賴與該作業系統或虛擬機相關聯的軟體,並且在給定超管理器下執行的所有作業系統或虛擬機可能需要信賴該超管理器。
在一些用例下,對更具特權過程的此信賴可能不是期望的。例如,在資料中心中,多個虛擬機可由多個不同方提供,每一虛擬機在由管理資料中心的雲端平臺提供者所提供的超管理器的控制下執行。例如,給定虛擬機(或在給定虛擬機下執行的應用程式)的提供者可能不希望將其資料暴露於超管理器或其他虛擬機。例如,銀行業提供者可提供用於執行銀行應用程式的虛擬機,並且可能不希望敏感的財務資訊對於超管理器或共享同一實體平臺的其他虛擬機可存取。
設備可具有用以支援一或多個軟體過程(諸如應用程式、作業系統/虛擬機、超管理器等)的處理的處理電路系統。記憶體存取電路系統可經提供以基於針對給定記憶體區域定義的所有權資訊、自複數個領域之中規定的所有者領域,來控制對記憶體位址空間之多個記憶體區域的存取。每一領域對應於軟體過程的至少一者的至少一部分。給定記憶體區域的所有者領域具有自存取儲存於給定記憶體區域之內的資料排除其他領域的權限。因此,與其中存取許可僅定義允許哪些過程存取(讀取或寫入)給定記憶體區域的基於特權的模型相反,對於基於領域的方法,所有者領域具有控制哪些其他領域存取其所擁有的記憶體區域的能力。因此,存在對記憶體存取的分佈式控制,其中位址空間的不同部分可經分配有對位址空間的彼部分的存取具有控制的不同領域所有者,而不是典型的基於特權的模型,其中單個過程定義用於由較少特權過程存取位址空間的自上而下規則。該方法使得給定領域保護其資料不被其他過程所存取,該等過程包括在相同特權級或在較高特權級下操作的過程。
在一些實例中,此基於領域的方法可與基於特權的方法並行地應用,以便存在對於給定記憶體區域的多個重疊的存取權集合:由較高特權過程設定的基於特權的許可,及由對於記憶體區域的所有者領域設定的存取許可。若記憶體存取滿足許可集合兩者,則可允許記憶體存取。
基於與給定領域相關聯的保全組態參數,領域管理單元可經提供至給定領域的控制操作。例如,保全組態參數可定義諸如領域類型(其可管理領域具有的性質或領域能夠進行哪些操作)、與給定領域關聯的受保護位址範圍(其可標記可由給定領域保全地存取的記憶體區域的邊界)的操作及其他資訊,該其他資訊關於是否將准許諸如使用記憶體存取電路系統除錯資料或從記憶體保護器輸出資料至由該記憶體存取電路系統保護的邊界之外的外部記憶體的操作。此外,保全組態參數可例如包括用於導出至少一個密鑰的密鑰材料,該密鑰用於保護與給定領域相關聯的資料。將瞭解,可為領域定義各種不同的保全組態參數。
在下文論述的技術中,對於給定領域的保全組態參數可規定給定領域與由保全組態參數所識別的信賴中間領域相關聯。領域管理單元可允許信賴的中間領域執行給定領域的至少一個領域管理功能。提供此信賴的中間領域可用於實現數個用例,其中相同領域的各個實例需要例如在不同的時間點及/或在不同的實體平臺上建立,其中相同領域的每一實例需要存取一些共用的組態參數以使得領域以可預期地運行,而與正在建立的領域之特定實例無關。對於待以重複的方式藉由給定領域自身,或藉由硬體平臺建立此共享組態參數,同時仍然保持保全及信賴可能是困難的。藉由定義經允許以執行對於給定領域的某些領域管理功能的信賴中間領域,如此可實現以下用例,諸如領域從一平臺至另一平臺的保全遷移,或提供共用密鑰至在用於負載平衡或冗餘目的的相同系統或不同實體系統上執行的相同領域的多個實例。由於信賴的中間領域本身為具有由記憶體存取電路系統提供的自有所有權保護的領域,所以此意謂信賴的中間領域自身的保全可經驗證且證實以提供該信賴中間領域管理的領域為保全的信賴。
領域管理功能可包含更新對於給定領域的保全組態參數的至少一部分。在一些情況下,用於更新保全組態參數的能力可限於領域生命週期的某些級段,例如在給定領域的啟動之前。領域可能不允許由處理電路系統處理,直至該領域已經啟動為止。
藉由提供可管理某些保全組態參數的更新的信賴的中間領域,如此可使得在平臺之間遷移領域或保存或復原領域的先前版本,或者啟動具有共用保全組態的相同領域的多個實例更為簡單。
在一個實例中,除了根領域之外的每一領域可與創建該領域的對應上代領域相關聯。領域管理單元可支援保全組態參數,該等保全組態參數將信賴的中間領域定義為與給定領域的上代領域不同的領域。亦即,儘管信賴的中間領域可經定義為上代領域(若需要),則架構亦允許信賴的中間領域經定義為不同於上代領域的領域。
因此,雖然上代領域可初始地建立領域,但是有時上代領域自身可能不被信賴以意識到對於給定領域的某些保全組態參數,諸如用於導出用於保護待由該領域使用的資料的密鑰的密鑰資料。藉由賦能除了上代領域以外之領域以為由中間管理的目標領域建立某些保全組態參數,如此可在多個領域需要具有相同保全組態的情況下實現更大的保全。例如,信賴的中間領域可為基於由銀行業提供者、健保提供者或其他方提供的軟體操作之領域,上述領域意欲為對某些敏感資訊具有存取的受管理領域,而上代領域可為在雲端平臺上執行的超管理器,該雲端平臺可能並非由銀行業/健保提供者等信賴。
基於在給定領域的建立期間由上代領域發佈的至少一個命令,領域管理單元可以設定給定領域是否與信賴的中間相關聯。因此,上代領域及信賴的中間領域兩者可在給定領域的生命週期之某些級段處具有設定某些保全組態參數的能力。如下文所述,參數簽章方案可用於證實在啟動給定領域之前是否已為彼指定領域正確設定了保全組態參數。此可用於檢查上代領域在領域的建立期間是否正確配置了信賴的中間領域,以便需要確保領域具有如由信賴的中間領域定義的某些保全組態設定的一方可核對是否涉及了正確的信賴中間領域。如此可防止惡意上代領域錯誤地設定對於給定領域的信賴中間領域的識別。
領域管理單元可支援證明功能,其中回應於識別目標領域的證明命令,領域管理單元可提供證明目標領域之性質的證明。例如,證明可包括自目標領域的組態參數導出之資訊及/或由目標領域所擁有的記憶體區域的內容。證明可經簽署有證明其確實性的某種密鑰。
對於針對其保全組態參數規定目標領域與信賴的中間領域相關聯的領域,證明可包含指示目標領域與信賴的中間領域相關聯的事實之資訊。此外,證明可包括中間領域證明資訊,該中間領域證明資訊為直接對信賴中間領域的性質證明的資訊,或賦能對證明的接收以請求對與目標領域關聯的信賴中間領域的進一步證明的資訊中之任一者。例如,中間領域證明資訊可僅為信賴中間領域的識別符,並且隨後可發佈後續證明命令,該後續證明命令將信賴中間領域識別為目標領域,以便為彼信賴中間領域產生進一步的證明。因此,通常,當證明給定領域時的領域管理單元亦可提供賦能驗證實體以亦證明相關聯的信賴中間領域之資訊,以便其可在領域係由信賴的中間領域正確組態的事實下建立信賴。
領域管理單元可防止給定領域由處理電路系統處理,直至已啟動給定領域為止。在已啟動給定領域之前,與給定領域相關聯的信賴中間領域可經允許以觸發對於給定領域的證明之產生。此可用於信賴的中間領域以驗證在提供密鑰或其他組態資訊至領域之前,對於給定領域的保全組態參數是否已正確地組態。如此可提高保全。相反,若證明命令係由除了與目標領域相關聯的信賴中間領域以外的領域發佈,若目標領域不在有效狀態中,則可拒絕證明命令。
在一個實例中,由信賴的中間領域提供至領域管理功能可為對於給定領域的至少一個所提供的秘密的提供。所提供的秘密可包括用於保護與給定領域相關聯的資料(包括資料值及/或程式碼)之至少一個密鑰;及/或用於導出該密鑰的密鑰材料。因此,藉由定義可經信賴以提供所提供的秘密之信賴的中間領域,該等秘密可用於保護另一領域的所擁有的記憶體區域的內容,此可使得相同領域的多個實例以保全的方式及時地在相同或不同的實體平臺上或在不同的實例下得以建立。領域管理單元可禁止除了信賴的中間領域以外的任何領域為給定領域提供該提供的秘密。
為給定領域提供至少一個提供的秘密可限於在已啟動給定領域之前。在已啟動給定領域之後,可禁止信賴的中間領域為給定領域提供該等提供的秘密。此可用以提高保全,因為可對給定領域的啟動執行某些核對,並且此確保所提供之秘密可在領域經啟動以使其能夠被處理之前得以驗證。提供至少一個提供秘密的管理可基於密鑰管理策略資訊,該密鑰管理策略資訊可藉由信賴的中間領域提供。例如,密鑰管理策略可規定有多少個其他領域可具有由信賴的中間領域獲得的給定秘密,在其之內給定版本的秘密可經提供至由信賴中間領域管理的領域的時間段或其他條件等,該等其他條件在秘密可經提供至受管理領域之前,將由信賴的中間領域驗證為對於受管理領域滿足。此策略自身可藉由使用上文論述的證明機制來證明信賴的中間領域之性質而得以證明。
至少一個提供的秘密可不為由給定領域使用的唯一類型的密鑰。亦可存在其他類型的秘密,諸如自硬體的特定硬體實例之特性導出的「實例唯一的」秘密。若給定領域在特定平臺上執行並且隨後在相同平臺上重新啟動,則該等秘密可保持相同;但是若領域在不同的平臺上重新啟動,則該等秘密可不同。實例唯一的秘密可在無信賴中間領域的情況下保全地導出,並且該等秘密可例如使用同時操作於給定領域之內的實體平臺的硬體裝置導出。另一類型的秘密可為「領域唯一的」根秘密,該等根秘密可例如使用藉由給定領域自身的軟體存取的偽隨機數產生器來產生,並且該等根秘密可在每次重新啟動領域時而不同,即使在相同系統中重新啟動。
然而,在其中領域需要遷移至不同的實體平臺,或若需要創建相同領域的多個實例,其中相同領域的不同實例需要存取共用秘密以便其可全部保全地存取相同資料的情況下,該等實例唯一或領域唯一根秘密可能並不適用。提供信賴的中間領域以管理如上所述對至少一個提供秘密的提供有助於解決此問題。
在另一實例中,領域管理單元可允許信賴的中間領域以記錄保全組態記錄,該保全組態記錄指示與給定領域相關聯的保全配置參數的至少一子集。通常,可能不允許除了給定領域自身以外的領域存取其保全組態參數。在一些實施中,可允許僅領域管理單元以讀取給定領域的保全組態參數(即使可能不允許給定領域自身將其讀取)。然而,藉由定義給定領域的保全組態參數的特定信賴的中間領域,並且允許信賴的中間領域記錄某些保全組態參數的指示,如此可實現領域的遷移及保存/復原。
例如,領域管理單元可允許信賴的中間領域基於先前由信賴的中間領域記錄的保全組態記錄,來更新與給定領域相關聯的保全組態參數的至少一子集。因此,若領域需要從一個實體平臺遷移至另一實體平臺,則信賴的中間領域可經建立以操作在源平臺及目標平臺兩者上,並且隨後經信賴的中間領域可記錄與源平臺上的給定領域相關聯的保全組態記錄,使用其自有的密鑰將保全組態記錄加密,並且將經加密的資料發送至目標平臺上的經信賴中間領域的對應實例,其隨後可將來自保全組態記錄的彼等保全組態參數解密且復原。如此使得與給定領域相關聯的秘密或組態資訊能夠在遷移過程中生存,同時保持保全。另一示例性使用可為用於待終止的領域,若需要使處理資源對於所有其他目的,及隨後對於待稍後重新建立的相同領域可用,同時仍具有對共用秘密的存取。此外,類似方法可支援相關用例,該等用例諸如備份且隨後復原完整的領域,或獲取允許領域稍後得以回滾至先前已知狀態的領域的快照或檢查點。因此,使用信賴的中間領域使得可能以其他方式不可能的數個操作保全。
對保全組態記錄的記錄的管理及/或來自保全組態記錄的保全組態參數的復原可基於由信賴中間領域提供的策略資訊。再一次,此策略自身可藉由證明信賴的中間來證明。
對於給定領域的保全組態參數可包括以下各者的至少一項:領域類型;與給定領域相關聯的受保護位址範圍;是否在給定領域之內賦能除錯的指示;是否從第一記憶體輸出資料的指示,允許該資料經歷由記憶體存取電路系統的存取控制至第二記憶體;及用於導出至少一個密鑰的密鑰材料,該密鑰用於保護與給定領域相關聯的資料。此外,保全組態參數亦可包括對如上文論述的信賴中間領域的識別。
領域管理單元可以不同方式實施。在一個實例中,領域管理單元可為專用硬體單元,該專用硬體單元執行由領域方案提供的保全保護。在其他實例中,領域管理單元可包含在處理電路系統上執行的軟體,該軟體不同於與每一領域相關聯的軟體。
上述實例描述具有上文論述的記憶體存取電路系統及領域管理單元的設備。然而,在另一實例中,可提供對應電腦程式用於控制主機資料處理設備,以提供用於執行指令的指令執行環境。電腦程式可包含記憶體存取程式邏輯及領域管理程式邏輯,上述兩者在功能上對應於上文論述的記憶體存取電路系統及領域管理單元。例如,電腦程式可為模擬器程式,該模擬器程式可呈現給在模擬器上執行的軟體,與其類似的執行環境將由實際硬體設備提供,即使可能不存在提供架構特徵的任何實際硬體,該等架構特徵由正在執行模擬器電腦程式的主機電腦之內的軟體所期望。確切而言,預期硬體架構的功能(包括基於由領域的信賴中間領域發佈之命令對所有權權限的執行及對領域的管理)可藉由提供程式邏輯(諸如指令或資料結構的集合)而仿真,該程式邏輯使得通用主體電腦以與一結果相容的方式在具有上述領域保護之設備上執行意欲用於執行的代碼,該結果將在實際具有上述記憶體存取電路系統及領域管理單元的設備上達成。用於控制主機資料處理設備的模擬器電腦程式可儲存在儲存媒體上,該儲存媒體可為非暫時性儲存媒體。
第1圖示意性地例示資料處理系統2,該資料處理系統包含系統單晶片積體電路4,該系統單晶片積體電路連接至分離非依電性記憶體6,諸如充當大容量儲存裝置的晶片外快閃記憶體。系統單晶片積體電路4包含呈(在此示例性實施例中)兩個通用處理器(CPU) 8、10,及圖形處理單元(graphics processing unit; GPU) 12之形式的複數個處理元件。將瞭解,在實踐中,可提供許多不同形式的處理元件,諸如額外通用處理器、圖形處理單元、直接記憶體存取(direct memory  access; DMA)單元、共處理器及用來存取記憶體位址空間內之記憶體區域且對儲存在彼等記憶體區域內的資料進行資料處理操作的其他處理元件。
通用處理器8、10及圖形處理單元12耦接至互連電路系統14,該等通用處理器及該圖形處理單元經由該互連電路系統與晶片上記憶體16及外部記憶體6 (經由外部記憶體介面18)進行記憶體交易。儘管記憶體16在第1圖中為晶片上的,但在其他實施例中,記憶體16可代替地經實施為晶片外記憶體。晶片上記憶體16儲存對應於整體記憶體位址空間內之複數個記憶體區域的資料。此等記憶體區域對應於記憶體頁且經受管理操作,該等管理操作控制哪些記憶體區域(頁)在給定時間處存在於晶片上記憶體16內,該等過程可以存取儲存在彼等記憶體區域內的資料及與彼等記憶體區域相關的其他參數。更特定而言,在此示例性實施例中,處理元件8、10、12中每一者包括領域管理單元20、22、24及通用記憶體管理單元26、28、30。通用記憶體管理單元26、28、30用來控制記憶體區域之操作之態樣,諸如位址對映(例如虛擬位址與中間實體位址,或實體位址之間的對映)、對能夠存取給定記憶體區域的過程的特權級約束、給定記憶體區域內之資料之儲存特性(例如可快取性、裝置記憶體狀態等)及記憶體之區域之其他特性。
領域管理單元20、22、24管理用來增強複數個記憶體區域之所有權權限的資料,藉此給定記憶體區域具有自複數個過程之中規定的給定擁有過程(或所有者「領域」) (過程或領域為例如監視器程式、超管理器程式、客作業系統程式、應用程式等中一者,或此程式之特定子部分)。用於給定記憶體區域的給定擁有過程(所有者領域)具有互斥權以控制對儲存在彼給定記憶體區域內的給定自有資料之存取。詳言之,所有者過程具有防止藉由在相較於所有者過程之較大特權級處執行的過程對該所有者過程之所擁有記憶體區域之存取的權限。
因此,複數個記憶體區域在複數個所有者領域之間經劃分。每一領域對應於至少一個軟體過程之至少一部分,且經分配若干記憶體區域之所有權。擁有過程/領域具有權限以控制對儲存在該等擁有過程/領域之領域之記憶體區域內的資料之存取,包括從存取領域之經擁有區域排除更多的特權過程。哪些記憶體區域經記憶體對映至每一領域之管理及控制藉由除所有者領域自身之外的過程進行。使用此配置,諸如超管理器之過程可能控制哪些記憶體區域(記憶體之頁)含於由各別客虛擬機(客作業系統)擁有的領域內,該等各別客虛擬機藉由彼超管理器管理,然而超管理器自身可不具有實際上存取儲存在該超管理器已分配給給定領域的記憶體區域內的資料之權限。因而,例如,客作業系統可保持儲存在彼客作業系統之領域內,亦即在由彼客作業系統擁有的記憶體區域內的資料相對於該客作業系統之管理超管理器私有。
記憶體位址空間至領域之劃分,及彼此領域之所有權之控制,藉由與處理元件8、10、12中每一者相關的領域管理單元20、22、24管理,且為與藉由通用記憶體管理單元26、28、30提供的更習知控制形式正交的控制過程。領域管理單元20、22、24因此提供記憶體存取電路系統以增強記憶體位址空間之記憶體區域之所有權權限。在一些狀況下,增強領域所有權權限的記憶體存取電路系統可亦包括MMU 26、28、30之部分(例如MMU 26、28、30中之TLB可包括用於基於藉由RMU 20、22、24提供的領域控制來控制存取的一些控制資料,以避免需要存取兩個分離結構)。在此示例性實施例中,處理元件8、10、12中每一者含有其自有領域管理單元20、22、24;此對於效能目的為有利的。然而,更一般而言,增強所有權權限的記憶體存取電路系統可包含領域管理單元之單個實例、存在的所有領域管理單元20、22、24之組合,或存在的彼等領域管理單元20、22、24之子集。因而,用於增強所有權權限的記憶體存取電路系統可跨於與不同處理元件8、10、12相關的系統單晶片積體電路4分散,或在一個位置中或在一些其他配置中收集在一起。
包含通用處理器8、10的處理元件經例示為包括各別解碼及執行電路系統32、34,該等各別解碼及執行電路系統解碼且執行程式指令。此等程式指令包括用來控制記憶體位址空間之不同所有權領域內之記憶體區域之管理的命令(領域管理命令或RMU命令)。作為一實例,所執行的程式指令可包括指定為領域管理單元命令的程式指令,且當在程式指令串流內遭遇該等程式指令時,該等程式指令經導向至相關領域管理單元20、22、24,以便該等程式指令可藉由關聯領域管理單元20、22、24執行(做動作)。領域管理單元命令之實例包括用來初始化新領域或使現有領域無效的命令、用來將記憶體區域分配給特別領域、自特別領域移除記憶體區域、在對輸出資料進行加密及其他過程使得該輸出資料在第二記憶體6內受保護的情況下將含於記憶體區域內的資料自第一記憶體16輸出至第二記憶體6的命令。進一步領域管理單元命令經提供來在對輸入資料進行相關解密及確認操作的情況下將資料自第二記憶體6向後輸入至第一記憶體16。
在資料自記憶體區域之此類輸出及輸入之情境下,將瞭解,諸如晶片上記憶體16之第一記憶體藉由系統單晶片積體電路4內之領域管理單元20、22、24密切地管理,且因此彼等領域管理單元20、22、24能夠增強所有權權限且將對給定記憶體區域內之資料之存取限制於擁有彼記憶體區域的過程,或擁有過程已授予存取的彼等過程。然而,當彼記憶體區域內之資料經輸出,諸如輸出至為第二記憶體的外部非依電性記憶體6時,則藉由領域管理單元20、22、24提供的對存取之控制不再有效且因此資料以一些其他方式需要保護。此藉由在資料經輸出之前使用加密記憶體區域內之資料,且隨後在該資料經向後輸入至晶片上記憶體16時以私密金鑰解密彼資料達成。
輸出過程可伴隨有規定輸出資料之特性的元資料之產生。此元資料可單獨地儲存在第一記憶體(晶片上記憶體16)之元資料記憶體區域內,其中該元資料對於領域管理單元20、22、24保持私有(亦即,僅為此類領域管理單元20、22、24可存取且現有過程中之任何過程不可存取),使得當資料經向後輸入至晶片上記憶體16時,可針對彼輸入資料讀取元資料且可對照輸入資料之特性核對表示於元資料中的資料之特性以確保彼輸入資料之完整性(例如核對和、資料大小、簽章等)。其可為,領域管理單元20、22、24之私有資料(包括表徵輸出區域/頁的以上元資料)需要自晶片上記憶體16輸出至晶片外非依電性記憶體6 (例如以在晶片上記憶體16內騰出空間),且在此情況下,RMU-私有元資料自身可經加密以用於該RMU-私有元資料之保護且表徵輸出元資料的新元資料可保持在晶片上記憶體16內(此保持的元資料在大小上顯著地小於輸出元資料),以便在加密及輸出元資料經向後輸入至晶片上記憶體16以用於使用時,可核對且確認該加密及輸出元資料。
描述記憶體區域之特性的此元資料及儲存在記憶體區域內的資料可經配置為階層式結構之部分,該階層式結構諸如具有分枝型樣的元資料記憶體區域樹。此元資料記憶體區域樹之形式可在軟體控制下決定,因為記憶體位址空間之不同區域經註冊以充當由領域管理單元20、22、24擁有的元資料區域。將瞭解,雖然控制此類記憶體區域之註冊的軟體能夠分配、解除分配且控制用來儲存元資料的記憶體區域之間的關係,但此軟體自身在能夠控制哪些過程可以存取此資料的意義上並不擁有含於彼等記憶體區域內的資料。在對於領域管理單元20、22、24 (亦即記憶體管理電路系統)私有的記憶體區域之狀況下,此類存取權可僅限制於領域管理單元20、22、24自身且此RMU-私有資料將不與任何其他過程共享。
當儲存在給定記憶體區域內的給定資料經輸出時,隨後使所關心的記憶體區域無效,使得內容為不可存取的。為重新使用此頁,藉由使用清潔命令來使該頁「有效」,該清潔命令以與先前內容不相關的其他資料覆寫記憶體區域,以便在彼給定記憶體區域經釋放以用於由另一過程使用時,未使此先前內容為另一過程可存取的。例如,給定記憶體區域之內容可經全部寫入至零值,或寫入至固定值,或寫入至隨機值,藉此覆寫記憶體區域之原始內容。在其他實例中,輸出記憶體區域之內容之覆寫可藉由輸出命令自身而非後續清潔命令觸發。總之,在使給定記憶體區域為除給定擁有過程之外的過程可存取的之前,經輸出的給定所擁有資料可以與給定所擁有資料不相關的值覆寫。當由給定過程擁有的給定記憶體區域將經輸出時,作為輸出過程之部分,正執行領域命令以進行輸出的領域管理單元20、22、24自給定過程取得所關心的記憶體區域之所有權(亦即使區域為RMU-私有),相對於所有其他過程(及其他領域管理單元)鎖定彼記憶體區域之存取,進行輸出操作(包括加密、元數據產生及覆寫),且隨後解鎖對彼記憶體區域之存取且釋放彼記憶體區域之所有權。因而,處於經輸出,或輸入之過程中的記憶體區域在彼命令正在進行時可對於所關心的領域管理單元保持私有。
第2圖示意性地例示複數個過程(程式/執行緒)之間的關係、複數個異常級(特權級)、保全及非保全處理器域,及表示給定記憶體區域之所有權的複數個領域。如所例示,特權級之階層自異常級EL0延伸至異常級EL3 (其中異常級EL3具有最高特權級)。系統之操作狀態可在保全操作狀態與非保全操作狀態之間加以劃分,該保全操作狀態及該非保全操作狀態如藉由使用TrustZone®架構的例如處理器中之保全域及非保全域所表示,該TrustZone®架構係藉由ARM® Limited (Cambridge, UK)提供。
如第2圖中所例示,記憶體存取電路系統(領域管理單元20、22、24及相關控制軟體(例如運行一個領域管理單元的毫碼))管理執行環境內之複數個領域。給定記憶體區域(記憶體頁)由特別領域擁有。領域可具有該領域內之子代領域,及彼等子代領域內之孫代領域(例如參見領域A (上代)、領域B (子代),及領域C (孫代))。所有權經給予領域A的記憶體區域可使該等記憶體區域之所有權繼而在由領域A擁有的過程之控制下自領域A傳遞至領域B。因而,上代領域能夠將區域之所有權給予該上代領域之自有子代領域。彼等子代領域繼而可傳遞該等子代領域已自該等子代領域之上代領域接收的記憶體區域之所有權,以隨後由該等子代領域之自有子代領域(例如領域C)擁有,該等自有子代領域為原始領域,亦即領域A之孫代領域。給定領域內之過程可在相同特權級處或在不同特權級處執行。過程所屬的領域因此為相對於過程之特權級的正交參數,儘管在許多實際狀況下領域及特權級可對應,因為用於在領域之間移動的便利機構可涉及自身在不同特權級(異常級)之間移動系統的異常之使用。
第3圖示意性地例示領域管理單元20及通用記憶體管理單元26,該領域管理單元及該通用記憶體管理單元分別對儲存在晶片上記憶體16內的複數個記憶體頁(記憶體區域)進行不同管理操作。如所例示,領域管理單元24使用複數個領域描述符42,其中每一描述符規定領域之性質。領域管理單元24亦可維持領域區組表(或所有權表),該領域區組表包含藉由實體位址索引的項,每一項包括用於對應記憶體區域之資訊,包括以下之指示:彼記憶體區域屬哪個領域,亦即哪個領域具有互斥權限以控制對彼記憶體區域內之控制資料之存取,即使該領域並不控制該領域自身實際上是否擁有彼記憶體區域。領域描述符及領域區組表項可儲存在記憶體16中,但亦可經快取於RMU自身中。因而,如第3圖中所例示,不同記憶體區域具有如藉由領域指定RA、RB、RC、RD及RE指示的不同擁有領域。記憶體區域中之一些亦由領域管理單元20擁有(對於該領域管理單元為私有的)且經標記為RMU-私有。此類RMU-私有區域可用來儲存描述其他記憶體區域之特性的元資料,暫時地儲存正經輸出或輸入的記憶體區域,或用於領域管理單元20自身之其他目的。RMU-私有區域仍可由對應所有者領域擁有,但可並非由所有者領域發佈的通用讀取/寫入存取可存取的(實情為可需要發佈至RMU 20的RMU命令觸發RMU 20以對RMU-私有區域做出任何變化)。
記憶體區域之定址可藉由虛擬、中間實體或實體位址,取決於所關心的特別系統。領域管理單元20,及通用記憶體管理單元26,因此可儲存轉譯資料,該轉譯資料使所接收位址(無論該等所接收位址為虛擬記憶體位址或中間記憶體位址)能夠經轉譯為更直接地表示所關心的晶片上記憶體16內之記憶體區域的位址,諸如實體位址。此位址轉譯資料可使用轉譯旁看緩衝器及其他分散式控制機構加以管理且分散在系統單晶片積體電路4內。
第4圖例示第1圖之處理元件8、10、12中一者及用於控制記憶體存取的儲存於記憶體16中之控制資料之更詳細實例。為便於解釋,第4圖將CPU 0示出為處理元件8,但將瞭解,處理元件亦可為GPU 12之CPU 1 10或資料處理設備2內之任何其他處理元件。如第4圖中所示,處理元件8包括處理電路系統32 (該處理電路系統可包含以上所描述之解碼及執行邏輯)、可包括用於快取轉譯表之項的一或多個轉譯旁看緩衝器100的記憶體管理單元26 (若使用共享MMU-RMU TLB結構,則該一或多個轉譯旁看緩衝器亦可附加有來自RMU 20之基於領域之控制資料),及用於控制資料至TLB 100之分配及觸發對記憶體之漫步存取以定位用來控制給定記憶體存取是否經允許進行的所需要的資料的表漫步單元102。處理元件8可亦包括密碼單元104,該密碼單元可進行用於加密或解密例如用於在以上所論述之分頁(輸出/輸入)操作中使用的資料的密碼操作。處理元件8亦包括若干快取110,該等快取可快取自記憶體16讀取的資料或指令。若藉由處理電路系統32或藉由表漫步單元102觸發的對記憶體之存取在快取中未中,則可自主記憶體16定位資料。
處理元件8亦包括如以上所論述之領域管理單元20。在一些實施例中,領域管理單元(realm management unit; RMU) 20可經提供為硬體電路。然而,以下所論述之RMU操作中之一些可對於單純地實施於硬體中為相對複雜的,例如若該等RMU操作需要對不同記憶體區域之多個存取經進行。因此,在一些實例中,RMU 20可使用程式碼加以實施,該程式碼可儲存在資料處理設備2內且可使用通用處理電路系統32加以執行。不同於可經寫入至記憶體16且可為可重寫的通用軟體,RMU軟體(毫碼)可以相對永久的方式安裝於資料處理設備上使得該RMU軟體不可移除,且可經視為藉由處理系統提供的平臺之部分。例如,RMU程式碼可儲存在唯讀記憶體(read only memory; ROM)內。因此,RMU可包含硬體單元,或可包含執行領域管理軟體的處理電路系統32,該處理電路系統藉由RMU命令觸發以執行,該等RMU命令包括在藉由處理電路系統32執行的通用軟體中。在一些實例中,RMU 20可使用硬體及軟體之組合來實施,例如一些較簡單功能可使用硬體電路來實施以用於較快速處理,但較複雜功能可使用毫碼來實施。因此,將瞭解,對RMU之後續參考可涉及硬體或軟體或兩者之組合。
如第4圖中所示,記憶體16可儲存藉由MMU 26及RMU 20使用來控制對記憶體之存取的若干件控制資訊。此等包括轉譯表(亦稱為頁表) 120,該等轉譯表定義用於控制允許哪些過程存取給定記憶體區域的記憶體存取屬性,以及用於將虛擬位址轉譯至實體位址的位址對映資訊。轉譯表120可基於以上關於第2圖所論述之異常級加以定義,使得在較多特權異常級處執行的過程可設定許可,該許可操縱是否允許在較少特權異常級處執行的過程存取對應記憶體區域。
另外,若干領域管理表或領域控制資訊122經提供來用於以相對於MMU頁表120之正交方式控制記憶體存取,以允許較少特權過程控制是否存取較多特權過程(領域控制在對於將要服務的記憶體存取請求而言,該領域控制可需要通過兩個類型的存取控制核對的意義上正交於MMU控制)。使用領域管理表,擁有給定記憶體區域的所有者過程(領域)具有自存取彼記憶體區域排除在較多特權異常級處執行的過程之權限。領域管理資料包括領域描述符124,該領域描述符描述給定領域之性質。每一領域對應於藉由處理電路系統32執行的至少一個軟體過程之至少一部分。一些領域可對應於二或更多個過程,而其他領域可對應於給定軟體過程之僅一子部分。領域亦可經視為對映至記憶體位址空間之給定區域(其中當處理電路系統32正執行位於記憶體位址空間之對應區域內的程式指令時,該處理電路系統在給定領域內執行)。因此,領域可經視為軟體過程之集合或軟體過程之一部分,或視為記憶體位址空間之區。此兩個觀點為等效的。為便於解釋,後續描述將領域稱為至少一個軟體過程之至少一部分,但作為記憶體區域之收集的領域之對應觀點為同樣有效的(在此狀況下,至/自領域之「進入」及「退出」可對應於到達/離開對應於領域的記憶體位址之部分的程式執行)。
領域管理資料122亦包括領域執行情境區域126,該領域執行情境區域可使用於在領域退出或進入時保存及復原與給定領域相關的架構狀態。領域管理資料亦包括領域區組表(或所有權表) 128,該領域區組表針對記憶體位址空間之每一區域定義哪個領域為用於彼記憶體區域的所有者領域。用於給定記憶體區域的所有者領域具有自存取儲存在彼記憶體區域內的資料排除其他領域(包括較多特權過程)的權限。以下更詳細地論述此領域管理資料之使用。一般而言,領域管理單元20及MMU 26可經視為記憶體存取電路系統,該記憶體存取電路系統增強藉由用於記憶體區域的所有者領域定義的所有權權限,該記憶體區域由彼領域擁有。此可例如對於雲端平臺為尤其有用的,在該雲端平臺中,由不同當事人提供的若干虛擬機36可在藉由雲端伺服器操作員提供的超管理器38之控制下執行。提供虛擬機中一者的當事人可不希望其資料及碼為超管理器可存取的。藉由引入其中在較少特權異常級處執行的領域可自存取該領域之資料或指令排除較多特權異常級的領域概念,此使盲超管理器能夠經提供,該盲超管理器可增加碼開發者之信心以將該等碼開發者之軟體安裝於雲端服務上,其中實體硬體可與由其他當事人提供的碼共享。
如第5圖中所示,領域由RMU 20根據領域階層管理,在該領域階層中,除根領域130之外的每一領域為子代領域,該子代領域具有對應上代領域,該對應上代領域藉由執行初始化命令來初始化子代領域。根領域130可例如為與在最多特權異常級EL3處執行的監視器碼或系統韌體相關的領域。為便於解釋,第5圖之實例及以後論述之初始實例示出每一子代領域在相較於該子代領域之上代領域的較低特權級處執行的狀態。然而,如以下將論述的,亦可能建立次領域,該次領域在與該次領域之上代相同的異常級處執行。
一般而言,對於由MMU 26提供的記憶體存取控制之領域管理部分,子代領域具有對由該子代領域之上代領域擁有的任何記憶體區域之預設存取。類似地,假設給定領域之任何後代可以存取給定領域之所擁有記憶體區域。然而,因為領域管理控制正交於由轉譯表120基於異常級提供的控制,所以在較高特權級處執行的過程仍可藉由據此設定轉譯表120之參數來自存取該過程之資料排除較少特權碼。因此,一般而言,給定子代領域具有自存取儲存在由給定子代領域擁有的給定記憶體區域中的資料排除該給定子代領域之上代領域的權限。子代領域實際上是否自存取給定記憶體區域排除上代領域可基於所有權表128中設定的控制屬性加以設定(預設可為上代領域不具有對子代領域之所擁有區域之存取,但子代領域可藉由據此設定可見性屬性來選擇將存取授予上代領域)。當存在多個同輩份領域(共享相同上代領域的不同子代領域)時,則給定子代領域可自存取儲存在由給定子代領域擁有的給定記憶體區域中的資料排除同輩份領域。另外,在所有權表128中設定的可見性屬性可控制同輩份領域可存取每一其他同輩份領域之資料之程度。替代地,藉由同輩份領域之存取可基於上代可見性屬性加以控制,使得若子代領域使頁為該子代領域之上代領域可見的,則相同頁亦變成對於該子代領域之同輩份領域及同輩份領域之進一步後代為可見的。在一些狀況下,所有權表128可具有全域可見性屬性,該全域可見性屬性可允許給定所有者過程使在任何領域下執行的任何過程能夠存取該給定所有者過程之所擁有記憶體區域內之資料。
如第5圖中所示,每一領域140與一或多個領域執行情境(realm execution  context; REC)記憶體區域126相關,當自給定領域退出時,該一或多個領域執行情境記憶體區域可使用於儲存領域之架構狀態,諸如暫存器值。提供來用於給定領域的REC 126之數目可取決於多少執行之執行緒正在給定領域下操作。例如,領域在最初初始化時可與單個主要REC區域126建立,但隨後領域可在必要時組配由彼領域擁有的其他記憶體區域以充當進一步REC。REC記憶體區域由執行狀態儲存至彼REC的對應領域擁有。
每一領域與領域描述符124相關,該領域描述符儲存在由性質描述於領域描述符124中的領域之上代領域擁有的記憶體區域中。出於可在給定領域世代處定義的子代領域之數目之靈活性,使用稱為領域描述符樹(realm descriptor tree; RDT)的樹結構管理領域描述符,稍後將更詳細地論述該領域描述符樹。領域描述符124可用來定義領域之性質,該等性質可在進入或自領域退出時藉由RMU 20核對以確保保全。領域描述符亦可貫穿各種生命週期狀態追蹤領域之進展,使得用於領域的某些RMU命令之執行可限於特別生命週期狀態,以確保以保全方式創建領域且使領域無效。
第6圖及第7圖示出可能的領域階層之兩個不同實例。在第6圖之實例中,第2圖中所示之過程中每一者定義其自有領域。因此,根領域130對應於在異常級EL3處操作的監視器軟體或韌體。根領域定義兩個子代領域142,對應於在保全EL1處操作的保全作業系統的一個及對應於在EL2處之超管理器的另一個。超管理器定義對應於在EL1處之不同客作業系統的孫代領域144,且彼等客作業系統中每一者定義對應於在最少特權異常級EL0處執行的應用程式的進一步曾孫代領域146。類似地,領域142中之保全作業系統可定義對應於不同保全應用程式的孫代領域148。階層中之上代領域可將該上代領域當前擁有的記憶體頁之所有權傳遞至新子代領域(藉由使用如以下所論述之區組.添加命令),或可使該上代領域之頁中一者無效,將該頁對映至子代之虛擬位址空間,且藉由執行頁所有權(主張)命令允許子代領域主張頁之所有權。若記憶體位址空間之規定的頁並非已由發佈命令的上代領域擁有,則可拒絕頁所有權命令。
如第7圖中所示,每一特權級處之過程具有分離領域並非必要的,且因此在第7圖中之虛線中所示的特權級邊界中之一些可不對應於領域邊界。例如,在第7圖中,應用程式150及該應用程式之作業系統在與在異常級EL2處操作的超管理器領域142相同的領域內執行,且因此單個領域跨越EL2超管理器碼、在EL1處操作的作業系統及在EL0處之應用程式兩者。另一方面,在相同超管理器下的不同應用程式152可定義該不同應用程式之自有分離領域。在此狀況下,領域邊界介於EL1與EL0之間且不存在EL2-EL1領域邊界(超管理器及作業系統兩者可在相同領域中執行)。對於另一作業系統,可定義分離EL1領域154,該分離EL1領域亦可具有在與作業系統相同的領域內執行的一些應用程式,及具有應用程式之自有專用領域的其他應用程式。類似地,在保全側上,第7圖中之保全OS及應用程式完全在EL3根領域內執行,且因此當在保全側上操作時不存在領域邊界。因此,領域之精確組態可取決於經執行的過程之需求在用於給定系統之運行時間決定。軟體可在運行時間判定該軟體僅需要小及固定數目的子代領域(此可為用於低階韌體之狀況),或需要許多領域或變化數目的領域(此可對於例如雲端平臺上之超管理器為有用的,該超管理器可管理未知數目的客虛擬機)。
用於給定上代領域的領域描述符124根據領域描述符樹(該領域描述符樹為領域管理樹之實例,該領域管理樹定義用於彼上代領域之若干子代領域的領域管理資料)加以管理。樹具有可變數目的級。第8圖示出藉由特別上代領域管理的此領域描述符樹160之實例。樹160包含若干領域描述符樹區組(realm descriptor tree granule; RDTG) 162,該等若干領域描述符樹區組各自包含若干領域描述符樹項(realm descriptor tree entry; RDTE) 164。每一RDTE 164提供指向用於上代領域之給定子代領域的領域描述符166,或用於領域描述符樹之下一級的進一步RDTG 162的指標。用於樹之第一級的RDTG 162可藉由領域描述符樹指標168識別,該領域描述符樹指標可經儲存為與上代領域(例如與上代領域之領域描述符)相關的資料之部分。因此,當上代領域發佈與給定子代領域相關的RMU命令時,該上代領域可觸發RMU以遍歷領域描述符樹,以便定位所需要的子代領域之領域描述符166 (若彼領域描述符尚未快取在RMU 20內)。每一RDTG 162可具有可變數目的項164。
如第8圖中之表中所示,提供指向在樹之後續級處之RDTG 162的指標的給定RDTE 164可包括指示所指向RDTG中之項之最大數目的階數值。例如,階數值可指示對應於所指向RDTG中之項之總數的2之冪。可包括在RDTE 164中的其他資訊可包括狀態值,該狀態值指示RDTE之狀態(例如RDTE是否為空閒的以用於領域描述符樹資料之分配,及RDTE是否提供指向進一步RDTG 162或指向子代領域描述符166的指標)。除指標之外,RDTE亦可包括參考計數,該參考計數可追蹤指向RDTG的指標中之並非空閒的RDTE之數目,該等RDTE可對於決定進一步RDTE是否可經分配給彼RDTG 162為有用的。由上代領域觸發的RMU命令可控制RMU 20以建立樹之進一步RDTG且/或編輯現有RDTG內之RDTE之內容。
應注意,第8圖中所示之樹示出一個特別上代領域之子代領域。每一其他上代領域可具有追蹤該上代領域之自有子代領域的分離領域描述符樹。與樹相關的資料包括RDTG 162及子代領域描述符166儲存在由上代領域擁有的頁內,且因此可自存取此資料排除其他領域。因此,僅上代領域可具有該上代領域組配的哪些特別子代領域之可見性,使得在較高特權級處執行的過程可不具有何領域已在該過程自身已直接創建的任何子代領域以下經創建之可見性。
如第8圖中所示,給定上代領域之子代領域中每一者可具有對應領域識別符(realm  identifier; RID) 168,該對應領域識別符由彼上代領域使用來識別特別子代領域。RID為局部領域識別符,因為該RID特定於特別上代領域。不同上代領域之子代領域可具有相同局部RID。儘管可能使用具有由用於給定子代領域的上代領域選擇的任意值的局部RID,但在第8圖中所示之方法中,用於給定子代領域的局部RID具有可變數目的可變長度位元部分且可變長度部分中每一者由RMU 20使用來索引至領域描述符樹160之給定級中。例如,第13圖中之具有局部RID = 7的子代領域之領域描述符藉由遵循第一級RDTG 162之項7中之領域描述符指標來存取。具有局部RID = 3.3的子代領域之領域描述符藉由遵循樹之第一級中之項3及隨後樹之第二級中之項3來存取。類似地,具有局部RID = 1.2的子代領域之領域描述符藉由遵循第一層中之項1及第二層中之項2來存取。應注意,雖然第8圖示出對於使用十進制值7、3.3等的簡潔性的局部RID,但是該等十進制值將被實施為處理設備2中的二進制數位的序連連接。
用於給定領域的RID可包含將要在領域描述符樹之各別級處使用來存取用於給定領域的領域管理資料的索引之序連連接。儘管索引以與該等索引經使用於逐步穿過樹的順序相同的順序序列連接並非必要的,但此可為較佳的,因為此舉使樹存取之管理較簡單。序連連接自低至高或自高至低並不重要。索引之序連連接可繼之以預定終止型樣,該預定終止型樣可允許RMU 20決定何時不存在將要逐步穿過的樹之進一步級。
一些實施方式可將此RID構造技術應用於全域領域描述符樹,該全域領域描述符樹可以類似樹結構儲存用於系統內之所有領域的領域描述符(其中RID中每一者為全域唯一值)。然而,可藉由定義一個樹內的給定上代之子代領域及接著具有用於每一其他上代領域的分離樹以追蹤該上代領域之子代領域來使軟體開發較簡單。因此,領域描述符樹可為與用於儲存已由給定上代領域初始化的子代領域之領域管理資料的給定上代領域相關的局部領域描述符樹。領域識別符因此可為局部領域識別符,該局部領域識別符識別由給定上代領域使用的特別子代領域。由不同上代領域初始化的子代領域可經容許具有局部領域識別符之相同值。以此方式,上代領域可在不知道有何其他領域已由其他上代領域建立的情況下選擇哪些RID經使用於該上代領域之子代領域,其中用於子代領域的RID係取決於上代領域已組配該上代領域之領域描述符樹的方式構造。
局部領域識別符可由藉由軟體過程發佈的領域進入指令或RMU命令使用。然而,硬體基礎結構可使用給定子代領域之絕對識別來辨別由不同上代創建的領域。因此,除第8圖中所示之局部領域識別符之外,給定領域亦可具有對於給定領域唯一的全域領域識別符(或「內部」領域識別符)。至少一個硬體結構可使用全域領域識別符(global realm identifier; GRID)而非局部領域識別符(local realm identifier; LRID)來識別給定領域。例如,領域區組表128及/或TLB 100可使用全域領域識別符來識別領域。
在一些實例中,任意二進制值可經分配為用於給定領域的GRID,該GRID可與由上代領域使用來涉及彼子代領域的LRID完全無關。相同領域架構之不同微架構實施方式可使用不同方法來分配GRID。
然而,在一個實例中,用於給定領域的GRID可基於該給定領域之先代領域之LRID加以構造。此可為有用的,因為其可賦能給定領域為另一領域之後代或另一領域之先代之較簡單決定,該較簡單決定可對於藉由MMU 26及RMU 20的存取控制為有用的。
所有局部RID使用樹索引方法之序連連接加以構造並非必要的。在一些狀況下,局部RID之特別值經保留來用於涉及確定預設領域可為有用的。規定當前領域或當前領域之上代領域的RMU命令可為相對常見的。因此,預定RID值可經保留來用於涉及當前領域之上代領域。例如,所有位元經設定至1的LRID (指示1之值)可經保留來用於涉及當前領域之上代領域。類似地,預定領域識別符值可經保留來用於涉及當前領域自身。例如,0之LRID值可用來涉及當前領域。
RMU可支援可由給定領域觸發的某些查詢命令,以便查詢在該給定領域正建立其領域描述符樹時必須滿足的約束。例如,回應於查詢命令,RMU 20 (或處理電路系統32)可返回約束值,該約束值指示容許由給定領域定義的領域描述符樹160之最大數目的級、在用於給定領域的樹結構之給定級處容許的最大數目的樹項,及/或可藉由給定領域初始化的最大數目的子代領域中至少一者。例如,系統可包括暫存器,該等暫存器可指示諸如用於特別硬體實施方式的LRID或GRID中可利用的位元之數目的性質。RMU或處理電路系統可回應於查詢命令,核對可利用於領域識別符的位元之數目(或適當回應可經硬連線以用於特別處理器實施方式),且亦可核對規定識別符之多少位元已由全域領域識別符中之先代領域用完之資訊,以便決定多少位元為可利用的,剩餘來用於當前領域定義進一步子代。上代領域可使用對查詢命令之回應來判定如何構造該上代領域之RDT。第9圖示出用於給定領域的領域描述符166之內容之實例。領域描述符可定義領域的保全組態參數。將瞭解,此僅為一個實例且其他實施方式可不包括所列表資訊中之全部,或其可包括額外資訊。在此實例中,領域描述符包括以下: •  領域之全域RID。因此,藉由基於局部RID遍歷領域描述符樹,對應的全域RID可經識別且此可用來由給定領域索引硬體結構諸如TLB,或核對所有權表或基於GRID定義的其他資訊。 •  給定領域之生命週期狀態,該生命週期狀態可由RMU 20用來決定是否接受由給定領域觸發的給定命令。 •  給定領域之類型。例如,領域類型可指示領域為完整領域或如稍後論述之次領域。 •  邊界異常級(boundary exception level; BEL)值,該界異常級值識別用於對應領域的邊界異常級。BEL指示領域經容許執行所在的最大特權級。例如,第7圖中之領域142可具有EL2之BEL,領域152可具有EL0之BEL,且領域154可具有EL1之BEL。藉由提供識別領域描述符中之BEL的顯式參數,此提供領域跨越多個異常級的靈活性,因為BEL可在異常之發生時用來決定異常是否可在當前領域內取得或是否需要至上代領域之領域退出以處置異常。 •  指示由領域及該領域之後代擁有的記憶體區域(領域保護區組或RPG)之總數的資源計數。此用來確保在彼等記憶體區域可分配給不同領域之前,使由領域及該領域之後代擁有的所有記憶體頁無效(及資料之最終抹除)。例如,資源計數可用來追蹤多少區域仍需要經洗滌。 •  用於領域的受保護位址之開始及結束位址。例如,受保護位址範圍可定義記憶體位址空間範圍,在該記憶體位址空間範圍內,頁可由對應領域擁有。此可對於保護免於收回先前在試圖存取子代領域資料中分配給子代領域的區域之所有權的惡意上代領域為有用的,因為藉由將在領域描述符中定義的受保護位址範圍與記憶體存取之後續位址比較,可識別先前由領域擁有的記憶體區域不再由該領域擁有的狀況。 •  藉由密碼電路系統104用來加密或解密與給定領域相關的資料的一或多個加密金鑰。在此實例中,提供兩個分離加密金鑰:用於加密/解密由領域擁有的內容及記憶體之記憶體金鑰及用於加密/解密如以上所論述的在記憶體16至持續儲存器6之間輸出/輸入的資料之分頁金鑰。然而,在其他實例中,相同金鑰可用於兩者目的,或進一步可經提供來用於其他特定目的。 •  領域描述樹項(realm description tree entry; RDTE),其識別領域描述符樹之根。領域描述符中之RDTE提供用於存取根RDTG (及定義多少位元將用作用於彼RDTG之索引的階數值)之指標。 •  指向用於保存或復原與領域之執行相關的架構狀態之主REC (領域執行情境)記憶體區域的指標。
如在下文中更詳細的論述,領域描述符亦可包括諸如信賴中間領域的識別的其他資訊、定義是否對領域賦能除錯或輸出的資訊,及用於檢查在啟動領域時之領域的參數的簽章的期望簽章。
第10圖示出給定領域可存在的生命週期狀態之集合,該等生命週期狀態在此實例中包括清潔狀態、新狀態、活動狀態及無效狀態。第10圖總結每一狀態之性質,針對每一狀態指示:對應狀態中的領域是否可使該領域之領域描述符166之參數由該領域之上代領域修改、針對彼領域規定的加密金鑰是否可經有效地使用、領域是否可擁有任何記憶體區域(RPG)及與彼領域相關的碼是否為可執行的。應注意,領域描述符之參數在清潔狀態中為可修改的,但在其他狀態中之任一者中不可修改。此防止惡意上代領域在給定領域已變得活動之後更新該給定領域之性質。另外,領域僅在活動狀態中為可執行的。
第11圖為示出領域之生命週期狀態之允許變遷的狀態機圖表。第11圖中所示之每一狀態變遷藉由向RMU 20發佈領域管理命令的上代領域觸發,該領域管理命令規定子代目標領域之局部RID (領域.無效命令212亦可藉由目標領域自身發佈)。當無先前領域已針對彼局部RID定義且領域描述符暫存器區組命令200藉由上代領域執行時,此觸發由上代領域擁有的給定記憶體區域之組態,因為用於子代領域之領域描述符具有規定的局部RID。用於子代領域之全域RID可基於上代領域之全域RID及在領域描述符暫存器區組命令200中規定的新局部RID之序連連接來設定。規定的子代領域隨後進入清潔狀態202。在清潔狀態中,上代領域可藉由更新子代領域之領域描述符之各種參數來設定子代領域之性質。此等性質可使用由上代領域發佈的進一步RMU命令加以修改(若規定的子代領域並非處於清潔狀態中,則此類領域描述符修改命令可經拒絕)。同樣,對於與信賴中間相關聯的領域,領域描述符修改命令亦可被接受,若該等命令由該規定的信賴中間領域發佈,該規定的信賴中間領域在其參數正經修改之目標領域的領域描述符中識別。當上代領域已完成設定子代領域之領域描述符之參數時,該上代領域執行規定子代領域之LRID的領域初始化命令204且此觸發子代領域自清潔狀態202至新狀態206之變遷,且在此刻,領域描述符之參數不再可藉由上代領域修改。若規定的領域當前未處於清潔狀態中,則領域初始化命令204將失敗。
當領域處於新狀態206中時,規定彼領域之局部RID的領域活動命令208之執行觸發自新狀態206至活動狀態210的變遷,在該活動狀態中,領域現在為可執行的,且在此刻之後,至對應領域中的領域進入將不再觸發故障。領域現在為完全操作的。如下文所論述,在一些實例中,啟動可取決於參數簽章的核對。藉由處於清潔狀態202、新狀態206及活動狀態210中之任一者中的子代領域之上代領域觸發的後續領域無效命令212導致至無效狀態214之變遷。為離開無效狀態214且返回至清潔狀態202,上代領域必須執行領域洗滌命令216。若追蹤由領域擁有的頁之數目的資源計數具有除零之外的值,則領域洗滌命令216經拒絕。因此,為使領域洗滌命令216成功,上代領域首先必須針對由無效領域擁有的每個頁發佈區組.收回命令。區組.收回命令規定目標記憶體頁且觸發目標頁之無效以使頁為不可存取的,且亦使用於頁之所有者領域的參考計數降低一。當執行區組.收回或領域洗滌命令216時,不必實際上覆寫無效區域中之資料,因為當清潔命令後續經發佈以使記憶體頁自無效變遷至有效時可進行覆寫(參見以下論述之第15圖)。另外,回應於領域洗滌命令,亦可使與無效領域相關的任何快取資料無效,例如在處理元件8、10、12 (不僅執行RMU命令的處理元件)中任一者之TLB 100或快取110內。全域RID可用來觸發快取資料之此類無效。
因此,藉由提供與給定領域識別符相關的領域之受管理生命週期,此確保在領域可返回至其中該領域之參數可經修改的清潔狀態之前(及因此在給定領域識別符可經再循環以用於由不同領域使用之前),與使用相同領域識別符的先前領域相關的資料必須自記憶體及任何快取洗滌,以防止與舊領域相關的任何資料藉由相同領域識別符之重新使用向其他領域洩漏。當領域處於清潔狀態202中時,該領域之領域描述符亦可藉由執行領域描述符釋放命令218取消,該領域描述符釋放命令使儲存在領域描述符中的記憶體區域能夠經分配來用於其他目的(在此刻,不需要洗滌,因為領域為清潔的)。
第12圖示出領域區組表128 (或所有權表)之項之內容的實例。每一項對應於記憶體位址空間之給定記憶體區域。給定記憶體區域之大小可為固定的或可變的,此取決於實施方式。所有權表128經結構化的特別方式可取決於實施方式要求而顯著變化,且因此用於給定項的對應記憶體區域經識別的特別方式可變化(例如資料可儲存在識別對應區域的每一項中,或替代地,對應項可至少部分地基於表自身內的對應所有權項之位置來加以識別)。另外,第12圖示出可針對給定記憶體區域規定的參數之特別實例,但其他實例可提供更多資訊或可省略所示之資訊類型中之一些。
如第12圖中所示,每一所有權表項可針對對應記憶體區域規定: l 識別用於彼記憶體區域之所有者領域的全域RID。所有者領域可為具有設定控制哪些其他領域經允許存取記憶體區域的屬性之權限的領域。 l 用來控制哪些RMU命令經允許在記憶體區域上進行的對應記憶體區域之生命週期狀態。 l 由MMU 26在記憶體區域變得由所有者領域擁有時對映到的對映位址。對映位址可為虛擬位址或中間實體位址。藉由在所有權表中規定此位址,此可藉由在領域已取得給定記憶體區域之所有權之後重新對映位址轉譯表來防止超過藉由領域基礎結構提供的保全之可能試圖。 l 規定除所有者之外的哪些領域可存取記憶體區域的可見性屬性。例如,如第13圖中所示,可見性屬性可規定控制當前領域之上代領域是否經允許存取區域的上代可見性位元,及可規定任何領域是否可存取對應記憶體區域的全域可見性位元。一般而言,領域保護方案可假設始終允許當前領域之後代領域存取由該當前領域之上代或先代領域擁有的記憶體區域(服從基於轉譯表120是否容許存取,該轉譯表基於特權級提供保護),但給定領域可控制該給定領域之上代或並非給定領域之直接後代的任何其他領域是否可存取記憶體區域。在一些實施例中,上代可見性位元及全域可見性位元兩者可藉由所有者領域自身設定。替代地,雖然上代可見性位元可藉由所有者領域設定,但全域可見性位元或許能夠藉由所有者領域之上代領域設定(前提為,用於記憶體區域之上代可見性位元已經設定以給予彼記憶體區域之上代可見性)。將瞭解,此為所有者領域可如何控制哪些其他過程可存取該所有者領域之資料的僅一個實例。
第14圖為示出給定記憶體區域可存在的不同生命週期狀態的表,且第15圖為示出觸發各別生命週期狀態之間的變遷的命令的狀態機。以與第11圖中所示之領域生命週期狀態類似的方式,記憶體區域生命週期狀態之間的變遷經管理以確保自藉由一個領域之所有權傳遞至另一領域之所有權的記憶體區域必須首先經歷無效過程,其中彼區域中之資料經洗滌(例如設定至零)。因此,為自無效狀態220變遷至軟體可存取記憶體區域的有效狀態222,清潔命令224必須藉由RMU 20執行,藉由在處理元件8上執行的軟體觸發。清潔命令224識別特別記憶體區域(頁)且控制RMU以逐步穿過對應記憶體區域之記憶體位址以使彼記憶體區域內之每一位置中之資料無效/歸零。若目標記憶體區域處於除無效之外的任何狀態中,則清潔命令經拒絕(例如故障經觸發)。
在一些系統中,提供有效狀態222及無效狀態220作為僅有的記憶體區域生命週期狀態可為足夠的。然而,在第15圖之實例中,給定記憶體區域亦可經指定為「RMU-私有」記憶體區域,該「RMU-私有」記憶體區域經保留來用於藉由RMU 20自身之互斥存取,使得藉由在處理電路系統32上執行的軟體(除任何RMU軟體之外)觸發的對RMU-私有記憶體區域之存取將經拒絕。此可尤其對於儲存領域管理資料諸如如以上所論述之領域描述符、領域描述符樹項、領域執行情境及用於分頁之元資料為有用的。藉由提供用於將給定記憶體區域指定為經保留來用於藉由RMU之互斥存取的RMU-私有記憶體區域的屬性,此可防止包括記憶體區域自身之所有者過程的軟體過程能夠存取否則可允許軟體過程超過由領域方案提供的保全保護的領域管理資料。
因此,清潔命令224可作為該清潔命令之參數中一者規定隱私指示,該隱私指示規定此為正常清潔命令或私有清潔命令。替代地,兩個完全分離的命令可經提供來用於此等目的。當清潔命令為正常清潔命令時,此觸發至有效狀態222之變遷,如以上所論述。然而,當清潔命令為私有清潔命令224時,此觸發至RMU清潔狀態226之變遷。其中記憶體區域經指定為RMU-私有記憶體區域。在一些實例中,所有類型的RMU資料可儲存在對應於RMU清潔狀態的單個類型的RMU-私有記憶體區域內。
然而,強健性可藉由指定各自對應於特別形式的領域管理資料的多個類型的RMU-私有記憶體區域來改良。例如,在第14圖及第15圖中,定義各自對應於RMU私有區域的若干RMU註冊狀態228,該等RMU私有區域經指定來用於特別目的。在此實例中,RMU註冊狀態228包括RMU註冊RDT (用於儲存領域描述符樹之RDTG)、RMU註冊RD (用於儲存領域描述符)、RMU註冊REC (用於儲存領域執行情境資料)及RMU註冊MDT (用於儲存在如以上所論述之輸出/輸入操作期間使用的分頁元資料)。不同形式的註冊命令230可藉由用於RMU清潔狀態中之記憶體區域之RMU執行,以將記憶體區域變遷至RMU註冊狀態228中之對應的一者。用於將資料儲存至並不對應於規定目的(RDT、RD、REC或MDT)的RMU-私有記憶體區域之命令可經拒絕。因此,在RMU註冊狀態之第一生命週期狀態中,用於儲存第一類型的領域管理資料之第一類型的RMU命令可經允許,且在第二生命週期狀態中,用於儲存第二類型的領域管理資料之第二類型的RMU命令可經允許,其中當目標記憶體區域處於第二生命週期狀態中時,第一RMU命令經拒絕,且當目標記憶體區域處於第一生命週期狀態中時,第二RMU命令經拒絕。此可藉由避免惡意上代領域致能進一步保全,該惡意上代領域例如試圖將領域描述符項儲存至領域執行情境區域或反之亦然,以便試圖使子代領域之操作分裂。自RMU註冊狀態228中每一者,對應形式的釋放命令232可使對應記憶體區域返回至無效狀態220。在區域可經重新分配來用於通用資料之前,進一步清潔命令可觸發自先前定義的RMU-私有區域的資料之洗滌。
因此,總之,可定義至少一個RMU-私有記憶體區域,該至少一個RMU-私有記憶體區域仍由給定所有者領域擁有,但具有在所有權表中規定的屬性,該屬性意味該至少一個RMU-私有記憶體區域經保留來用於藉由RMU之互斥存取。在此實例中,控制RMU-私有狀態的屬性為在所有權表中之對應項中規定的生命週期狀態,但該屬性亦可以其他方式加以識別。當給定記憶體區域藉由至少一個狀態屬性規定為RMU私有記憶體區域時,MMU可防止藉由一或多個軟體過程對給定記憶體區域之存取。因此,並非由RMU自身觸發的任何軟體觸發存取在該軟體觸發存取以RMU-私有記憶體區域為目標時可經拒絕。此包括防止藉由所有者領域自身對RMU-私有記憶體區域之存取。
技術者可詢問,若所有者領域甚至不能存取記憶體區域中之資料,則為何定義用於RMU-私有記憶體區域之所有者領域為有用的。例如,用於增強僅藉由RMU對資料之存取的替代性方法將定義用於RMU之特殊領域,且分配記憶體位址空間之頁以用於儲存將對於彼特殊RMU所有者領域保持私有的資料。然而,發明人認識到,當使領域無效時,可存在使與彼領域相關的全部控制資料無效的要求,且若此控制資料與特殊RMU所有者領域而非無效領域相關,則此可使無效領域之資料之洗滌更複雜。
相反,藉由使用RMU-私有屬性,儲存用於給定領域之控制資料的記憶體區域仍由彼領域擁有,即使所有者不能存取該控制資料,此意味當彼所有者領域經取消時,識別哪些記憶體區域需要經無效為較簡單的。當使給定領域無效時,上代領域可簡單地進行收回操作之序列(例如藉由執行隨後由RMU作用的收回命令),該收回操作之序列觸發由規定的無效領域(或該規定的無效領域之後代)擁有的記憶體區域以使無效,且使不可存取,且返回至觸發收回命令的上代領域之所有權。收回操作可不僅影響無效領域可存取的頁而且亦包括由無效領域擁有的RMU-私有記憶體區域。
將用於領域之控制資料儲存在由彼領域擁有的RMU-私有記憶體區域中之另一優點係在進行輸出操作時。為將領域之記憶體覆蓋區降低至零,在輸出操作期間,除正常記憶體之外,與彼領域相關的管理結構可經輸出。要求彼等結構由領域擁有簡化了此輸出操作之管理。
一般而言,任何種類的領域管理資料可儲存在RMU-私有區域中,但詳言之,領域管理資料可包括以下任一者:定義給定領域之性質的領域描述符、識別儲存用於給定領域之領域描述符的記憶體區域的領域描述符樹項或進一步領域描述符樹項、指示與給定領域內之執行之至少一個執行緒相關的架構狀態的領域執行情境資料,及在與給定領域相關的預定操作之中間點處使用的暫時工作資料。
儘管通常RMU私有區域可用於儲存與給定領域相關的特定領域控制資料,但亦可使用該等RMU私有區域以便增加圍繞一旦領域為活動時進行的某些其他操作的保全。例如,當進行資料經加密或解密的以上所論述之分頁輸出或輸入操作,且使用元資料的核對經進行以核對當資料再次經輸入時資料仍為有效的時,此類操作可花費許多循環且此類長期運行操作更可能在中途中斷。為避免需要再次重新開始操作,可為合意的是甚至在中斷時允許元資料或與此類長期運行操作相關的其他暫時工作資料保持在快取/記憶體內,而不使此資料為其他過程(包括所有者領域自身)可存取的。藉由將記憶體系統之區域暫時地指定為RMU-私有區域,可保護此暫時工作資料。因此,如第14圖中所示,頁狀態亦可包括在此暫時工作資料經儲存至記憶體區域時可使用的RMUExporting及RMUImporting狀態,且當此等狀態中一者經選擇時,則僅RMU可存取彼資料。
可受益於將對應記憶體區域暫時地指定為RMU私有的操作之其他實例可包括:在由給定領域擁有的至少一個記憶體區域與由除給定領域之外的領域擁有的至少一個記憶體區域之間的資料傳遞期間的加密或解密資料之產生或驗證;記憶體區域之所有權至另一領域之傳遞;以及進行來使儲存在無效記憶體區域中的資料不可存取的破壞性收回操作。例如,用於洗滌位址空間之給定頁之全部內容的收回操作可在中途中斷,且因此確保其他過程不能存取彼頁,直至洗滌完成為止,頁可暫時地經指定為RMU-私有的。一般而言,由RMU進行的任何長潛時操作可受益於藉由在開始長期運行操作之前將一些記憶體區域之生命週期狀態轉換至RMU-私有狀態且接著在長期運行操作完成時再將該生命週期狀態轉換回來而使該長潛時操作之暫時工作資料受保護。
當區域經指定為RMU私有的時,該區域經保留來用於藉由RMU 20之存取,該RMU用來進行領域管理操作。領域管理操作可包括以下中至少一者:創建新領域;更新現有領域之性質;使領域無效;分配記憶體區域以用於藉由給定領域之所有權;改變用於給定記憶體區域之所有者領域;改變給定記憶體區域之狀態;更新用於回應於由用於給定記憶體區域的所有者領域觸發的命令而控制對給定記憶體區域之存取的存取控制資訊;在一或多個軟體過程之處理期間管理領域之間的變遷;管理與給定領域相關的資料在由給定領域擁有的記憶體區域與由相比於給定領域的不同領域擁有的記憶體區域之間的傳遞;以及與給定領域相關的資料之加密或解密。RMU可為用以進行領域管理操作之至少一部分的硬體單元,或可包含執行領域管理軟體以進行領域管理操作之至少一部分的處理電路系統32,或可為兩者之組合。
第15圖示出可藉由給定領域觸發以清潔給定頁,因此該給定頁可經有效存取,或使對應頁無效的狀態變遷。第16圖擴展此狀況以示出可用來將給定頁之所有權傳遞自一個領域傳遞至另一個的進一步命令。若彼記憶體區域當前處於無效狀態220中且由上代領域擁有,則藉由上代領域的區域主張命令230之執行使對應記憶體區域能夠經傳遞至規定子代領域。當目標記憶體區域由除給定子代領域之上代領域之外的任何領域擁有時,或若記憶體區域為有效的或處於RMU-私有生命週期狀態226、228中之一者中,則區域主張命令230經拒絕。此防止上代領域任意地指派該上代領域自身不可存取或處於由RMU 20使用中的頁之所有權。一旦頁已經指派給子代領域,隨後彼子代領域可進行清潔命令以便以與第15圖中所示之相同方式變遷至有效狀態222。出於簡潔性,RMU-私有區域之使用在第16圖中未示出,但在任何給定領域內,私有清潔命令替代地可將記憶體區域變遷至RMU清潔狀態226,如先前所論述。
區組主張命令230用來將所有權傳遞至已經建立的子代領域。另外、上代領域可執行區組添加命令232,該區組添加命令觸發RMU 20以將所有權指派給處於新狀態中的新子代領域,使得上代領域可將資料寫入至指派給子代的區域。例如,此可用於安裝新子代領域之程式碼,使得子代領域可第一次執行。因此,就對應記憶體區域經分配給子代領域的生命週期狀態而言,添加命令232不同於主張命令230。只有在子代領域處於第11圖中所示之新狀態206中時,添加命令232可經允許。子代領域可藉由執行觸發RMU以更新所有權表128之對應項的區組釋放命令234以及更新諸如子代領域之領域描述符中之資源計數等的性質,將給定記憶體區域之所有權釋放回至該子代領域之上代。若規定記憶體區域並非由發佈命令的當前領域擁有,或若區域處於除無效之外的狀態中,則區組釋放命令234可經拒絕(確保在資料可返回至藉由上代領域之所有權之前需要該資料之破壞性清潔)。
使用上代領域初始化子代領域的以上所論述之階層式領域結構之一個優點在於,此大大地簡化領域及該領域之後代之無效。相對常見的是,若給定虛擬機領域將無效,則亦可為合意的是使用於在彼虛擬機下運行的任何應用程式之領域無效。然而,可存在與將無效的過程中每一者相關的大量程式碼、資料及其他控制資訊。可為合意的是確保此類無效以原子方式發生,使得當資料洗滌之僅部分已經實現時,不可能繼續存取與無效領域相關的資料。若每一領域係完全獨立於其他領域而建立,而無如以上所論述之領域階層,則此可使此類原子無效為困難的,因為必須提供若干分離命令來單獨地使藉由對應領域ID識別的每一領域無效。
相反,藉由提供其中RMU管理領域使得除根領域之外的每一領域為回應於藉由上代領域觸發的命令而初始化的子代領域的領域階層,則當請求目標領域之無效的命令經接收時,RMU 20可用較有效的操作使目標領域及目標領域之任何後代領域為處理電路系統不可存取的。
詳言之,回應於目標領域之無效,RMU可更新與目標領域相關的領域管理資料(例如領域描述符)以指示目標領域為無效的,但不需要更新與目標領域之任何後代領域相關的任何領域管理資料。與後代領域相關的領域管理資料可保持未改變。此係因為即使領域管理資料尚未改變,簡單地使目標領域無效亦可使任何後代領域不可有效地存取,因為對給定領域之存取由該給定領域之上代控制且因此若使上代領域無效,則此意味亦不可能存取上代領域之後代。因為領域中每一者係使用領域進入指令(以下所論述之ERET指令)進入,該領域進入指令使用藉由上代領域定義來識別該上代領域之特別子代的局部RID,且此用來逐步穿過儲存在由給定子代領域之上代領域擁有的記憶體區域中的領域描述符,因而除上代領域之外無過程可觸發RMU以存取子代領域之領域管理資料。因此,若使上代領域無效,則RMU不能存取給定子代領域之領域管理資料,從而確保給定子代領域變得不可存取。
在已使領域無效之後,彼領域之上代領域可觸發RMU以進行用於收回由無效目標領域擁有的每一記憶體區域之收回操作。例如,如第16圖中所示,用於由子代領域擁有的記憶體區域之收回命令236可觸發記憶體區域至無效狀態220之返回且亦將記憶體區域之所有權傳遞回至上代領域。然而,此收回操作可在其他領域之持續處理之背景中進行且不需要立即進行以便允許將使無效領域之任何後代領域為不可存取的。用來將給定領域之領域狀態自如第11圖中所示的活動改變至無效的單個動作足以確保與彼無效領域之任何後代領域相關的所有資料亦為不可存取的。因為任何上代領域僅可將該上代領域擁有的頁指派給該上代領域之子代,且子代僅可將該子代擁有的頁指派給孫代領域,所以此亦意味追蹤哪些頁需要經無效且在給定領域之無效時經收回為相對直接的,因為在無效領域之領域描述符中定義的受保護位址範圍(參見第16圖)可用來識別哪些頁將收回,因為無效領域之任何進一步後代領域將亦擁有彼範圍內的頁。
因此,總之,使用領域階層大大地化簡領域及無效之管理。在此類無效,以及覆寫記憶體中之資料時,無效亦可觸發用於目標領域及目標領域之任何後代領域之快取領域管理資料之無效,該快取領域管理資料不僅保持在觸發無效的處理元件8中,而且亦保持在諸如另一CPU或GPU的其他處理元件中。因此,可存在無效至其他處理元件的廣播,以確保其他處理元件不繼續具有對無效領域之存取。當觸發此類無效時,可為有用的是快取領域管理資料將與唯一地識別對應領域的全域領域識別符相關,且形成如以上所論述之全域領域識別符,使得給定子代領域之全域RID與該給定子代領域之上代領域之全域RID共享共同前綴部分。此使位元遮罩或其他類似操作能夠用來迅速地比較給定領域是否為規定領域ID之後代。若藉由先代領域之無效使給定領域為不可存取的,則進入規定目標領域的試圖為不可能的(因為不存在上代領域來執行用於彼領域之ERET指令),但甚至在使用不同領域進入機構的其他實施方式中,若後代領域之領域描述符不再可定位,則領域進入可失敗且觸發故障條件。
第17A圖示出藉由MMU 26及RMU 20進行來用於決定是否容許給定記憶體存取的核對之實例。MMU 26支援位址轉譯之兩個級段,在藉由給定客作業系統設定的級段1頁表120-1之控制下將虛擬位址(virtual address; VA)轉譯至中間實體位址(intermediate physical address; IPA)的級段1,及基於藉由超管理器38設定的級段2頁表120-2將藉由級段1轉譯提供的中間實體位址轉譯成用來存取記憶體16的實體位址(physical address; PA)的級段2位址轉譯。超管理器可定義用於不同虛擬機之級段2頁表之多個集合,且經提供記憶體存取請求的虛擬機ID (virtual machine ID; VMID) 250可識別使用哪些特別級段2頁表。類似地,作業系統可定義用於不同應用程式之級段1頁表之多個集合,且位址空間識別符(address space identifier; ASID) 252可用來識別使用哪些級段1頁表。VMID 250及ASID 252可共同地稱為轉譯情境識別符254,該轉譯情境識別符識別與記憶體存取請求相關的當前轉譯情境。記憶體存取請求亦規定各種屬性256,諸如指示交易為讀取(R)或寫入(W)請求,或指示與發佈記憶體存取請求的過程相關的異常級(X)的屬性。
在接收記憶體存取時,MMU 26可基於來自級段1頁表之資訊決定交易屬性是否有效。例如,級段1頁表可規定可對於某些位址僅允許讀取交易,或可容許對給定位址的讀取及寫入存取兩者(一些實施方式可亦容許僅寫入將要定義的位址空間之區域)。另外,級段1頁表中之屬性可將存取限制於在給定異常級或更高處操作的過程。若交易屬性為有效的且存取由級段1頁表容許,則MMU可返回對應的中間實體位址(intermediate physical address; IPA)。IPA與VMID 250一起隨後索引至級段2頁表中,該等級段2頁表再次驗證交易之屬性,且若有效,則返回實體位址。應注意,並非所有交易需要經歷位址轉譯之兩個級段。例如,若輸入記憶體交易係在EL3或EL2處,或在保全域中之EL1或EL0處發佈,則級段1 MMU之輸出可經視為實體位址且級段2 MMU可經繞過。
已獲得實體位址,實體位址隨後可在RMU表128 (領域區組表)中經查找,以決定由MMU增強的領域保護是否允許記憶體存取進行。以下在第18圖中更詳細地論述領域核對。若級段3處之RMU核對成功,則輸出已確認實體位址且允許記憶體存取進行。若級段1或級段2位址轉譯處之核對或在級段3處提供的RMU增強的領域保護中任一者失敗,則拒絕記憶體存取。因此,除基於頁表120的任何現有位址轉譯核對之外,藉由領域管理單元提供的保護可經視為將要進行的核對之額外層。第17A圖中所示之核對可相對緩慢地進行,因為在記憶體中可存在若干表,該等表需要經存取且與記憶體存取請求之參數或當前轉譯情境或領域比較,存取係自該當前轉譯情境或領域做出。雖然將可能對每個記憶體存取進行此等核對,但當已針對給定記憶體存取請求成功地進行核對時,可更快速地快取TLB 100內之資料,使得下一次發佈類似記憶體存取請求時,則可在不再次重複所有核對的情況下允許該類似記憶體存取請求。因此,可為合意的是僅在TLB 100中存在未中,且並非對於命中進行此等許可核對。
第17B圖示出用於快取關於已驗證記憶體存取之資料的TLB結構100之實例。雖然第17B圖示出單個TLB,但將瞭解,一些系統可包括快取階層中之TLB之多個級,其中第1級TLB儲存用於較快速存取之轉譯項之較小子集,且第2級或進一步級的TLB儲存在第1級TLB中存在未中的情況下可存取的轉譯項之較大集合。TLB 100 (或「轉譯快取」)具有若干項260,每一項規定用於對應記憶體區域之位址轉譯資料。每一項260包括虛擬定址標籤262,該虛擬定址標籤對應於虛擬位址,資料提供用於該虛擬位址的對應實體位址264。在此實例中,TLB為組合級段1及級段2 TLB,使得虛擬位址可使用TLB直接轉譯至實體位址而不必經由中間實體位址進行(儘管將對TLB未中進行對應的級段1及級段2轉譯以便定位正確的實體位址,但TLB不需要儲存介入IPA且可將VA直接對映至OA)。其他實例可使用分裂的級段1 (S1)及級段2 (S2) TLB,在該狀況下,VA-PA對262、264可用VA-IPA對或IPA-PA對替換。TLB項260亦用轉譯情境識別符254 (由ASID 252及VMID 250形成)加標籤。儘管此實例提供兩個分離轉譯情境識別符,但在其他實例中,可使用單個統一轉譯情境識別符,或在分裂S1/S2 TLB之狀況下,S1 TLB可使用ASID且S2 TLB可使用VMID。轉譯情境識別符允許規定相同虛擬位址的不同作業系統或應用程式使該等不同作業系統或應用程式之存取對映至提供不同實體位址的TLB 100之不同項上。
TLB 100中之命中不僅需要標籤262匹配針對記憶體存取請求規定的位址258之對應部分,而且儲存在相同項中的轉譯情境識別符亦應匹配當前轉譯情境,記憶體存取係自該當前轉譯情境發佈。可預期,標籤262及轉譯情境識別符254之比較可足以定位用於給定記憶體存取之正確實體位址264。然而,若此等為在查找中進行的僅有比較,則若TLB中之記憶體存取命中係在無領域管理單元表128之進一步核對的情況下接受,則存在潛在保全弱點。此係因為有可能創建新過程,該新過程具有與先前執行的過程相同的VMID 250或ASID 252,以誘使MMU接受記憶體存取,該記憶體存取實際上來自相比於先前針對存取給定記憶體區域接受的一個領域的不同領域。
為解決此問題,TLB 100可在每一TLB項260內規定擁有對應記憶體區域的所有者領域之全域RID 270,以及藉由所有者領域設定來用於控制允許哪些其他領域存取對應記憶體區域的可見性屬性272。當轉譯快取100之給定查找回應於自當前轉譯情境及當前領域發佈的對給定目標記憶體區域之記憶體存取而進行時,若在轉譯快取100中存在未中,則TLB控制電路系統280可觸發表漫步單元102以存取關聯頁表120及RMU表128以便核對是否允許存取。若頁表或RMU表128自存取對應記憶體區域排除轉譯情境、異常級及領域之當前組合,則無資料回應於彼記憶體存取而經分配給轉譯快取。詳言之,當查找未中且當前領域藉由目標記憶體區域之所有者領域自存取目標記憶體區域排除時,隨後位址轉譯資料至轉譯快取之分配經防止。因此,當對應記憶體存取通過MMU頁表120及RMU表128兩者之核對時,項經分配給TLB 100。
隨後,當查找轉譯快取以核對該轉譯快取是否已包括提供用於給定位址之位址轉譯的項260時,TLB控制電路系統280依賴於對應項260中規定的轉譯情境識別符254與連同記憶體存取請求一起接收的用於當前轉譯情境之轉譯情境識別符254之間的第一比較,以及藉由彼項260規定的全域RID 270與當前全域RID之間的第二比較,決定記憶體存取是否匹配轉譯快取100之給定項,該當前全域RID與發佈記憶體存取請求的當前領域相關。藉由提供TLB項仍然正自先前驗證為允許存取記憶體區域的領域存取的額外核對,此確保即使惡意監督過程重新產生具有與由所有者領域容許存取資料的先前存在過程相同的ASID 252或VMID 250之另一過程,因為全域領域識別符270在不經歷如關於第18圖所論述之領域洗滌命令216的情況下不可重新分配給其他處理器,所以此意味當前領域之全域RID可經信賴為有效的且不可為「假的」,如對於ASID或VMID為可能的。因此,若當前領域之全域RID仍滿足藉由所有者GRID 270及可見性屬性272指示的許可,則此指示先前進行的領域表核對為仍然有效的。
若領域識別符之第二比較偵測失配,則即使標籤比較及轉譯情境比較匹配,存取請求亦經視為在TLB中未中,因為其指示在轉譯情境ID 254與領域ID 270之間的對映中存在變化,因為項經分配。此未必暗示存取將經拒絕,因為頁表及RMU表之另一漫步可藉由表漫步單元102觸發,且若領域核對為成功的,則此可導致TLB 100中之不同項260之分配及基於來自新分配項之資訊的記憶體存取之服務。
第18圖為例示決定給定記憶體存取是否由MMU 26容許之方法的流程圖。在步驟300處,記憶體存取請求經接收且在TLB 100中查找此記憶體存取請求。記憶體存取請求規定將要存取的至少一虛擬位址、指示當前轉譯情境的一或多個轉譯情境識別符及識別當前領域的全域領域識別符。例如,全域RID可係自處理元件8之狀態暫存器讀取,該狀態暫存器可在進入領域時以當前領域之全域RID寫入。
回應於記憶體存取請求,TLB控制電路系統280進行TLB之查找。查找存取TLB之至少一些項。一些方法可使用全相聯快取結構,且在此狀況下,至少第1級TLB之所有項可經搜尋且與當前請求之參數比較,以便識別是否存在命中或未中。其他方法可使用集合相聯快取分配策略,且在此狀況下,僅TLB之給定級之項之子集可需要經查找,使用記憶體存取之目標位址索引。對於項之存取集合中每一者,TLB控制電路系統280進行若干比較(平行地或順序地),包括: l 用於比較記憶體存取請求之位址是否匹配儲存於存取項中的標籤262之標籤比較302; l 用於比較儲存在存取項中的轉譯情境識別符與記憶體存取請求之轉譯情境識別符之第一(情境)比較304;以及 l 用於比較記憶體存取請求之全域RID與所有者RID 270及用於項之存取集合中每一者之可見性屬性272之第二(領域)比較306。
在步驟308處,控制電路系統280決定在TLB中是否存在針對全部比較302、304、306返回匹配的項,且若如此,則命中經識別,且在步驟310處,返回在匹配項中規定的實體位址264且允許記憶體存取基於彼實體位址來進行。在命中之狀況下,不需要進行頁表或RMU表之任何查找(用於記憶體存取之所有權表查找可經省略)。藉由頁表及RMU表提供的保護僅在未中時經調用。
若不存在匹配比較302、304、306中之所有三個的項,則未中經偵測。若進一步TLB級經提供,則可在第2級或後續級TLB中進行對應查找步驟300-308。若查找在末級TLB中未中,則進行各種頁表及RMU表之漫步。因此,在步驟311處進行級段1頁表漫步,且在步驟312處決定級段1頁表故障是否已發生(例如因為不存在針對規定虛擬位址定義的位址對映或因為存取請求之當前參數違反針對目標虛擬位址規定的存取許可)。若級段1故障發生,則在步驟314處,拒絕記憶體存取且防止作為對記憶體存取之回應的位址對映資料至TLB 100之分配。
另一方面,若存取請求通過級段1頁表核對,則在步驟315處,級段2頁表漫步經觸發以獲得用於藉由級段1過程返回的中間實體位址之對映資料,且在步驟316處,決定級段2頁表故障是否已發生(再次,因為位址對映未定義或因為存取未藉由級段2存取許可容許)。若級段2故障發生,則再次在步驟314處拒絕存取請求。
若無級段2故障發生,則在步驟318處,基於藉由級段2返回的實體位址觸發RMU表查找,且在步驟320處,決定領域故障是否已經偵測。若以下事件中任一者發生,則領域故障可經觸發: •  若用於對應記憶體區域之生命週期狀態在領域所有權表128中經指示為無效的。此確保尚未經歷第15圖中所示之清潔操作224的記憶體位址空間之頁不可存取,以保護先前由另一領域儲存於彼記憶體區域中的任何資料免於由不同領域存取。 •  當前領域未由用於對應記憶體區域之所有者領域容許以存取彼記憶體區域。可存在給定領域為何可未經允許以存取給定記憶體區域的若干原因。若所有者領域已規定記憶體區域僅對於所有者自身及該所有者之後代為可見的,則另一領域可未經允許以存取彼領域。另外,若當前領域為所有者領域之上代領域且所有者領域尚未定義上代可見性屬性以容許上代存取區域,則記憶體存取可經拒絕。另外,若記憶體區域當前經設定為如以上所論述之RMU-私有的,則所有者領域自身可經防止以免存取彼記憶體區域。在RMU核對級段,所有者領域之後代領域可經容許以存取記憶體區域(只要記憶體區域並非RMU-私有區域)。因此,此核對增強藉由所有者領域設定的存取許可。 •  若實體位址藉由S1/S2轉譯針對當前記憶體存取對映的虛擬位址或中間實體位址不匹配在如第12圖中所示的用於對應記憶體區域之所有權表128中規定的對映位址,則記憶體存取經拒絕。此保護免於以下情形:惡意上代領域可將給定記憶體區域之所有權分配給子代領域,但接著改變頁表120中之轉譯對映,使得藉由子代領域使用該子代領域先前用來涉及由彼子代領域擁有的頁的相同虛擬位址觸發的後續記憶體存取現在對映至實際上並非由子代領域自身擁有的不同實體位址。藉由在所有權表中提供自對應記憶體區域之實體位址回至在所有權經主張時用來產生彼實體位址的對映位址的反向對映,此允許藉由位址對映之變化引起的保全破壞經偵測,使得記憶體存取將失敗。
將瞭解,亦可進行其他類型的核對。若領域核對為成功的,則在步驟322處返回實體位址,記憶體存取經允許使用實體位址進行,且新項經分配給TLB,該新項指示自頁表120獲得的實體位址及對應於所請求虛擬位址及轉譯情境的自所有權表128獲得的所有者領域及可見性屬性。
在進入領域或自領域退出時,處理元件8及/或RMU 20可需要進行用於確保領域進入或退出之保全處置的若干操作。例如,在進入領域時,若干核對可需要進行來核對目標領域處於正確生命週期狀態中(以避免藉由試圖進入不存在的領域或例如尚未經歷資料自領域擁有的頁之洗滌的領域超過保全措施)。另外,在退出領域時,可為合意的是遮罩儲存在處理元件之暫存器中的架構狀態,使得較高特權級過程不可存取由較低特權級處之領域使用的狀態資料(此否則將使藉由領域保護提供的保全措施經超過)。用於處置領域進入及退出之一個方法可提供專用領域進入或領域退出指令,該專用領域進入或領域退出指令觸發RMU 20以進行用於進入或退出領域之關聯操作。
另一種方法可為重新使用已為異常進入及返回提供的機構以便進入領域且自領域退出。此降低需要來支援領域進入及退出的軟體修改之量,且簡化架構及硬體。此係尤其有用的,因為通常領域邊界可無論如何對應於異常級邊界,且即使新指令經提供來控制進入及退出,將仍然需要用於處置異常之行為,因此總體而言,延伸異常機構以便亦控制進入退出可為不太昂貴的。
因此,將正常地使處理自在當前領域中處理的異常返回至亦在當前領域中處理的另一過程(其中該另一過程可在相較於異常的相同異常級或較少特權異常級處經處置)的異常返回(ERET)指令可重新使用來觸發自當前領域至目的地領域之領域進入。回應於異常返回指令之第一變體,處理電路系統可將處理自當前異常級切換至較少特權異常級(在不改變領域的情況下),而回應於異常返回指令之第二變體,處理電路系統可將處理自當前領域切換至目的地領域,該目的地領域可在與當前領域相同的異常級或降低(較少特權)異常級處操作。使用異常返回指令來觸發領域進入可大大地簡化架構及硬體管理負擔並且降低軟體修改要求以支援領域之使用。
使用異常返回指令之另一優點在於,通常在自異常返回時,處理電路系統可回應於異常返回指令而進行操作之原子集合。在自異常返回時需要的操作之集合可經原子地進行,使得此等操作不可在中途劃分,並且因此指令失敗且操作之原子集合中無一者經進行,或指令經成功地執行且操作之原子集合中之全部經進行。對於異常返回指令之第二變體,處理電路系統可類似地進行第二操作之原子集合,該第二操作之原子集合可不同於第一操作之原子集合。已提供在處理器中以用於確保異常返回指令原子地完成的機構可經重新使用於領域進入,以便避免領域進入可僅部分地進行的可導致保全弱點的情形。例如,第二操作之原子集合可包括使領域執行情境狀態為可利用的、改變正執行的當前領域,及控制分支至在相同領域最後一次執行時處理先前經執行所在的程式計數器位址。
異常返回指令之第一變體及第二變體可具有相同指令編碼。因此無異常返回指令自身之修改為必要的,以便觸發領域進入。此改良與舊有碼之相容性。給定異常返回指令經執行為第一變體或第二變體可取決於該給定異常返回指令儲存在狀態暫存器中的控制值(例如控制值之第一值及第二值可分別表示異常返回指令之第一變體及第二變體)。因此,在異常返回指令經執行時的當前架構狀態控制該異常返回指令將處理器返回至相同領域中之較低特權級,或觸發至新領域之進入。
此方法使領域進入能夠以較少軟體修改加以控制,尤其在狀態暫存器中之值可回應於暗示領域切換為可能的某些事件而藉由硬體自動地設定時(除允許回應於軟體指令的控制值之自願設定之外)。例如,當觸發至給定領域之退出的異常條件發生時,處理電路系統可將控制值設定至用於給定領域之第二值,使得後續異常返回指令將自動地使處理返回至異常發生所在的領域,即使考慮到用於處置異常之異常處置器碼與未以領域寫入的先前舊有碼相同。替代地,在一些架構中可預期,當自領域退出時,狀態暫存器中之控制值將仍含有在觸發至彼領域之領域進入之前設定的第二值,且因此可不需要狀態暫存器中之控制值之顯式設定。
可提供至少一個領域識別符暫存器,且回應於異常返回指令之第二變體,處理電路系統可自儲存在領域識別符暫存器中的領域識別符識別目的地領域。領域識別符暫存器可為成組的,使得存在各自與異常級中一者相關的多個領域識別符暫存器,且回應於異常返回指令之第二變體,處理電路系統可自儲存在與當前異常級相關的領域識別符暫存器中的領域識別符識別目的地領域。藉由使用領域識別符暫存器來儲存目標領域識別符,不需要將此包括在ERET指令之指令編碼中,此舉使ERET指令之現有格式能夠用來觸發領域進入,從而降低所需要的軟體修改之量。領域識別符暫存器中之領域識別符可為由上代領域用來涉及該上代領域之子代領域的局部領域識別符,且因此領域進入可限制於自上代領域傳送至子代領域,且不可能自第一領域去往並非第一領域之直接子代的另一領域。回應於異常返回指令之第二變體,當與在RID暫存器中識別的領域ID相關的領域為無效領域(無領域描述符已經定義或領域描述符定義除活動以外的生命週期狀態的RID)時,處理電路系統可觸發故障條件。
回應於異常返回指令之第二變體,處理電路系統可自針對異常返回指令規定的領域執行情境(realm execution context; REC)記憶體區域復原與將要在目的地領域中處理的執行緒相關的架構狀態。狀態復原可回應於異常返回指令之第二變體而立即進行(例如作為操作之原子集合之部分),或可稍後進行。例如,狀態復原可以遲緩方式進行,使得需要來在目的地領域中開始處理的狀態可經立刻復原(例如程式計數器、處理模式資訊等),但其他狀態諸如通用暫存器可在稍後時間需要時,或在新領域之持續處理之背景中逐漸地復原。因此,處理電路系統可在所有需要的架構狀態已自REC記憶體區域復原之前開始目的地領域之處理。
回應於異常返回指令之第一變體,處理電路系統可分支至儲存在鏈接暫存器中的程式指令位址。相反,對於異常返回指令之第二變體,處理電路系統可分支至在領域執行情境(realm execution context; REC)記憶體區域中規定的程式指令位址。因為鏈接暫存器將不使用於異常返回指令之第二變體以直接識別用於新領域之任何架構狀態,所以鏈接暫存器可經重新使用來替代地提供指向REC記憶體區域的指標,新領域之架構狀態將自該REC記憶體區域復原。此避免對提供進一步暫存器以用於儲存REC指標的需要。
因此,在執行意欲引起至給定領域之領域進入的異常返回指令之前,一些額外指令可經包括以便將RID暫存器設定至目的地領域之領域識別符且設定鏈接暫存器以儲存與目的地領域相關的REC記憶體區域之指標。REC指標可由上代領域自目的地領域之領域描述符獲得。
回應於異常返回指令之第二變體,當REC記憶體區域與除目的地領域之外的所有者領域相關或針對異常返回指令規定的REC記憶體區域為無效的時,故障條件可由處理電路系統觸發。第一核對防止上代領域誘使子代領域用該子代領域並未自身創建的處理器狀態執行,因為僅由子代領域擁有的記憶體區域可儲存在進入彼領域時可存取的REC記憶體區域(且如以上所論述,REC記憶體區域將經設定為RMU私有的)。REC記憶體區域之有效性之第二核對可用於確保REC記憶體區域可僅使用一次以進入領域,且之後用相同REC資料進入領域之後續試圖將經拒絕。例如,每一REC可具有可為無效或有效的生命週期狀態。回應於在當前領域中之給定執行緒之處理期間發生的異常,彼執行緒之架構狀態可經保存至對應REC記憶體區域,且彼對應REC記憶體區域隨後可自無效變遷至有效。回應於異常返回指令之第二變體之成功執行,REC記憶體區域隨後可自有效變遷回至無效。此藉由規定過時REC記憶體區域之指標、與不同執行緒相關的REC記憶體區域,或與目的地領域相關但並非在自領域之先前退出時用來儲存架構狀態的正確REC的一些其他REC,避免上代領域惡意地使子代領域不正確地表現。
以對應方式,自領域之退出可重新使用提供來用於異常處置的機構。因此,回應於在第一領域之處理期間發生的不能藉由第一領域處置的異常條件,處理電路系統可觸發至上代領域之領域退出,該上代領域初始化第一領域。在異常發生/領域退出時,可進行將對於可在相同領域內處置的異常發生不進行的一些額外操作。例如,此可包括架構狀態之遮罩或洗滌及至REC之狀態儲存之觸發。
然而,在一些狀況下,異常可發生,該異常不可藉由異常發生所在的第一領域之上代領域處置。因此,在此狀況下,可必需切換至越過上代的進一步先代領域。儘管提供直接自給定領域切換至年長超過一個世代的先代領域之能力可為可能的,但此可增加需要來處置進入及返回或領域退出及進入的狀態暫存器之複雜性。 實情為,當異常條件將在具有相較於第一領域之上代領域經允許以經處理所在的最多特權異常級之較大特權級的目標異常級處經處理時,可進行巢套領域退出。巢套領域退出可包括自子代領域至上代領域之二或更多個相繼領域退出,直至到達第二領域,該第二領域經允許以在發生的異常之目標異常級處經處理。因此,藉由沿領域階層一次一級逐步進行時,此可簡化架構。在每一相繼領域退出處,可存在進來來將處理器狀態之子集保存至與對應領域相關的REC的操作。
第19圖例示可藉由上代領域初始化的次領域之概念。如第19圖中所示,在特別異常級處操作的給定上代領域600可初始化次領域602,該次領域在與該次領域之上代相同的異常級處操作。完全領域600對應於給定軟體過程(或二或更多個過程之收集),但次領域對應於給定軟體過程內之預定位址範圍。因為完全領域為次領域之上代,所以如以上所論述,次領域可具有存取儲存在由上代完全領域擁有的記憶體區域中的資料的權限,但次領域可具有自存取儲存在由次領域602擁有的記憶體區域中的資料排除該次領域之上代完全領域的權限。此對於允許使給定軟體過程之一定部分比軟體過程之其他部分更保全為有用的。例如,用於核對行動銀行應用程式中之通行碼或用於處理其他敏感資訊之碼之一部分可經分配給次領域,以便防止相同應用程式或作業系統之其他部分存取彼敏感資訊。
次領域可通常以與完全領域相同的方式加以處置,其中一些差異如以下解釋。至次領域之進入及自次領域之退出可以與以上所論述之相同方式使用異常返回指令及異常事件加以處置。因此,次領域可具有以針對相同上代之完全子代領域的相同方式構造的子代領域ID,且可具備如以上所論述之領域描述符樹內之領域描述符。至次領域之進入可藉由執行ERET指令簡單地觸發,在執行ERET指令之前,該ERET指令已將適當子代次領域RID置放於RID暫存器中。因此,相同類型的ERET指令(屬第二變體)可用來觸發至完全領域或次領域之進入。
次領域可不同於完全領域的一個方式可為次領域可不允許初始化該等次領域之自有子代領域。因此,若當前領域為次領域,則用於初始化新領域之領域初始化命令可經拒絕。RMU可使用當前領域之領域描述符中之領域類型值來決定當前領域為完全領域或次領域。藉由在當前處於次領域中時禁止領域初始化,此簡化架構,因為不必提供額外狀態暫存器以用於由次領域在初始化進一步領域中使用。
類似地,在當前處於次領域中時,可禁止領域進入指令之執行。此簡化架構,因為其意味用於處置領域進入及退出(及異常進入及返回)的經複製用於不同異常狀態的成組暫存器不需要針對每一次領域再一次成組,此將難以管理,因為在設計時間可不知道給定過程將創建多少次領域。類似地,當當前領域為次領域而非完全領域時,可禁止觸發至在較低特權級處操作的過程之切換的異常返回事件。儘管在以上所論述之實例中單個類型的ERET指令充當領域進入指令及異常返回指令兩者,但此對於所有實施例並非必要的,且在提供分離指令的狀況下,則當當前領域為次領域時,可禁止領域進入指令及異常返回指令兩者。
類似地,當在次領域中時的異常發生時,處理電路系統可在處置異常之前觸發自次領域至初始化次領域的上代完全領域之退出,而非直接自次領域採取異常。因此,異常觸發至上代完全領域之返回。至上代完全領域之異常返回可包括對REC的狀態遮罩、洗滌及保存操作,但藉由避免異常直接自次領域去往較高異常級處之領域,此避免對針對次領域再一次成組例外控制暫存器之需要,從而簡化架構。
對於次領域,指示允許領域之處理的最大特權級的邊界異常級等於用於該領域之上代完全領域之邊界異常級。相反,對於子代完全領域,邊界異常級為相較於該子代完全領域之上代領域之邊界異常級的較少特權異常級。
當領域藉由上代領域初始化時,上代領域可選擇新領域將為子代完全領域或子代次領域,且可因此設定領域描述符中之適當領域類型參數。一旦領域為操作的,上代領域不再可改變領域類型,因為領域描述符之修改藉由以上關於第11圖所論述之管理領域生命週期禁止。
總之,引入類似於完全領域而管理但異常處置、領域初始化及領域進入功能在次領域內禁止的的次領域之能力使對應於完全領域之軟體過程內之給定位址範圍的碼之較小部分能夠與彼軟體之其他部分隔離,以為敏感碼或資料之一定片段提供額外保全。
參數簽章
上文所述的存取控制模型保護領域不受系統上的任何其他代理的影響,包括在相同特權級的其他軟體、在較高特權級的其他軟體,及其他領域。當建立領域時,該領域填充有表示領域的初始內容的內容(記憶體頁)。量測初始內容。領域亦經指派一保全組態,諸如是否在除錯模式中啟動領域、用於導出領域秘密的範圍參數等等,該等領域秘密典型地由領域使用以保護其自身的內部引導過程並且保持其資料私有。建立領域、量測領域,並且執行其保全組態(包括領域秘密的導出)的過程係由領域管理單元管理。
一旦領域建立且執行,一些外部使用者(例如,連接至在領域內部執行的伺服器應用程式的客戶端)可連接至領域並且請求證明報告。證明報告允許外部使用者驗證領域是否正在可信賴的系統上執行,且領域是否初始地填充了預期的軟體(領域量測),以及驗證領域是否如期望地配置(例如,未以賦能的除錯啟動)。此對於其中資料僅在成功證明之後提供或變得可用的應用很有用。
然而,在領域建立及執行之後提供證明可能不足以用於以下示例性用例: l 其中資料需要被持續地儲存在系統上以使得其在重新啟動領域,或系統之後保持可用, l 若需要使資料對於用於負載平衡、冗餘等的「相同」領域的多個實例可用, l 若領域需要在其已經證明之前存取一些引導秘密,例如存取儲存於受保護檔案系統中之引導影像。包括「相同」領域,或系統的以下重新啟動。
此處,「相同」領域意謂以相同初始內容填充,並且使用相同保全組態的一或多個領域實例。
該等問題可藉由引入簽署領域參數之概念而解決。領域的保全組態經延伸以包括預期的量測。領域的保全組態係藉由參數簽署者而簽署。參數簽署者身份與簽章一起被包括。例如,使用非對稱密碼,參數可使用由參數簽署者擁有的私有密鑰而簽署,並且對應公用密鑰的散列可用作參數簽署者身份。
用於建立領域的規則經延伸以使得若使用經簽署的參數,則實際保全組態之簽章必須在領域可啟動之前匹配預期的簽章,並且簽署者ID被包括在密鑰導出中用於導出領域秘密。該等規則係藉由領域管理單元20執行並且無法藉由軟體阻止。
此意謂領域可僅被啟動,並且存取其秘密,若:(1)其保全組態已經正確地簽署;並且(2)簽署者為正確實體。若該兩個條件之任一者未滿足,則任一領域將不啟動,或者領域將啟動但無法存取其秘密。
例如,第20圖圖示當啟動給定領域時,使用該參數簽章以驗證是否領域的保全組態參數已經如由一方預期地設定的實例,該方請求領域經安裝在給定硬體平臺上。
如在第20圖的頂部所示,當建立領域時,需要使用領域的一方請求上代領域啟動目標領域並且用領域保全組態參數400之某些集合建立目標領域。例如,初始領域參數可在由某些外部方(應行業提供者、健保提供者)或其他方發送至上代領域的命令中規定,該外部方或其他方希望硬體部分安裝用於與彼提供者交互作用的保全領域。可以預期,領域保全組態參數400之初始集合將使用領域參數更新命令由上代領域添加至目標領域的領域描述符。
例如,初始領域參數可包括上述領域領域描述符的內容(諸如領域類型402),以及其他保全組態參數之一些,該等其他保全組態參數諸如是否賦能將資料從第一記憶體16(經歷領域所有權保護)輸出至第二外部記憶體6的指示406,或是否賦能除錯用於領域的指示408。其他領域保全組態參數(諸如受保護的資料),以及用於導出根密鑰的密鑰資料可能不包括在給與上代領域的初始參數集中,但替代地在建立領域時由RMU產生,RMU由如下所述的信賴中間領域提供至領域,或藉由領域自身產生。
初始領域參數400亦包括期望簽章410,該期望簽章對應於期望被建立用於領域之保全組態參數的子集的簽章。期望簽章410係由參數簽署者(例如,請求領域安裝的一方)基於期望的保全組態參數來計算,並且與用於所建立領域的保全組態參數一起給與至上代領域。初始領域參數亦包括識別參數簽署者的簽署者ID 412之指示。當組態目標領域時,期望的簽章410及經簽署的ID 412可藉由上代領域記錄在目標領域之領域描述符中,同時目標領域處於清潔狀態。
例如,期望的簽章410可藉由基於期望領域參數之散列函數產生散列值,並且使用與參數簽署者相關聯的私有密鑰對散列函數加密而由參數簽署者產生。簽署者ID 412可為參數簽署者之公用密鑰,該公用密鑰對應於用於以非對稱密碼方案產生簽章410的私有密鑰。
期望簽章410不僅可在期望領域保全組態參數自身的子集上,而且可基於可作為期望領域內容(資料及代碼)的函數計算之量測值,當啟動領域時,該期望領域內容預期經儲存於目標領域擁有的記憶體區域中。
期望簽章410可能不覆蓋所有領域的保全組態參數。將在對於給定領域的領域描述符中設定之一些保全組態參數可從期望簽章410之計算中排除。此等可包括期望簽章410自身及簽署者ID 412。此外,在領域描述符中之一些領域的參數可取決於特定實體平臺的局部特徵,而不是取決於由需要領域得以建立的外部方期望之保全組態設定。例如,對於受保護位址範圍定義之特定位址可取決於為給定實體實例上的領域建立的特定位址對應,或者一些硬體實例唯一的密鑰可由特定實體實例之RMU 20產生,因此可不由參數簽署者所預測,並且因此可不經歷參數簽署。
在啟動目標領域以使其對於由處理電路系統的處理可用時,RMU 20基於在領域建立時由參數簽署者提供的期望簽章410來驗證在目標領域之領域描述符中表示的實際領域參數420。在此時,RMU將參數簽章422決定為以下兩者的函數(i)領域的實際保全組態參數420之子集(再次排除如上所示的某些參數)(ii)對由目標領域擁有的記憶體區域的實際領域內容之量測421。例如,某些散列函數424可經應用於領域保全組態參數420及量測值421以產生參數簽章422。散列函數424可對應於一散列函數,該散列函數係由參數簽署者基於預期的領域參數及預期的領域內容使用以產生預期的簽章410。
RMU 20亦從目標領域之領域描述符獲得預期的簽章410及簽署者ID 412,並且驗證預期簽章410及參數簽章424是否匹配。例如,若預期簽章410係由參數簽署者藉由使用私有密鑰解密期望的參數來計算,則RMU 10可使用如由簽署者ID 412表示的簽署者的公用密鑰來解密期望的簽章410,並且隨後將該期望的簽章與自實際領域參數產生的參數簽章422比較。或者,用於驗證自實際參數420導出的參數簽章422是否匹配期望簽章的其他密碼技術是可能的。
通常,若在自保全組態參數420導出之實際參數簽章422與在建立領域時提供之期望簽章410之間之間偵測到匹配,則允許目標領域之啟動進行(假設滿足任何其他保全核對)。另一方面,若在參數簽章422與期望簽章410之間偵測到不匹配,則限制啟動,此舉係藉由以下任一項進行:產生故障以便根本不允許領域啟動,或藉由允許啟動領域但拒絕對用於保護領域內容之密鑰的存取,如此可防止領域不正確地運作。無論何種方式,藉由使用簽章驗證,RMU可強制執行在領域啟動時實際參數與期望應由領域安裝的參數簽章的預期參數的匹配,以對上代領域警告而不惡意改變領域參數,該等領域參數來自由請求安裝領域之一方給與該領域的彼等參數。
簽署者ID 412亦包括在密鑰材料中用於導出目標領域的領域秘密。此意謂若建立了給定領域並且該給定領域之領域參數基於由錯誤簽署者提供的簽章經驗證為可信的,則儘管領域可被啟動,該領域將不會具有用於存取資料的正確密鑰,該資料由與該正確簽署者相關聯之密鑰保護。
使用參數簽章之此方法相對不常見,因為通常密碼簽章將用於驗證提供某些資訊之一方的身份是否與某些已知身份匹配。然而,在第20圖中所示之情況中,並非針對任何已知身份驗證請求領域建立的一方的實際身份。實際上,若在領域建立時給定的期望簽章與在領域啟動時自實際參數產生的實際簽章匹配,則允許任何方請求建立給定領域且使其領域得以啟動。因此,若攻擊者提供與一期望簽章不同之簽章並且實際參數以匹配彼不同簽章之方式建立,則將允許啟動領域。然而,在對於領域之密鑰材料中包含簽署者的公用密鑰防止由攻擊者組態之領域被允許存取由參數簽署者保護之資料,因此仍然執行了保全。簽章檢查的目的不是用於驗證請求安裝領域一方的身份,而是檢查(無論何者已請求安裝領域)在啟動時刻定義的參數是否匹配與當請求安裝領域時與簽章一起提供的參數,以防止上代領域不適當地修改參數。
如第20圖中所示,領域參數亦可視情況包括時期指示430,該時期指示可表示為給定領域安裝之軟體的版本。時期430係由期望的簽章410及參數簽章422覆蓋。此外,時期430亦包括在用於導出領域秘密的密鑰材料中。此允許驗證已安裝之領域軟體的版本,並且允許檢查領域軟體之哪些版本經允許以導出或使用由較早或較晚領域/版本建立之秘密。因此,若以特定版本之領域軟體識別的保全弱點具有給定時期值,則可將較晚的時期值給與用於修復彼問題的稍後更新。領域秘密導出可使得領域經允許以導出或使用任何保全時期的秘密,該保全時期早於該領域自身的保全時期或與其相同,但無法導出比該領域自身的時期更新的任何保全時期的秘密。藉由將時期包括在由領域簽章覆蓋之參數中,如此防止上代領域試圖更新在於領域建立時被給與初始領域參數與啟動領域之間的時期。
第21圖為圖示基於參數簽章驗證領域參數之方法的流程圖。在步驟440處,待啟動之目標領域的上代領域發佈規定目標領域的領域啟動命令。在步驟442處,RMU 20檢查目標領域是否處於新狀態,且若不處於新狀態,則在步驟444處,觸發故障。若領域處於新狀態,則在步驟446處,RMU檢查是否賦能參數簽章。在一些系統中,參數簽章可作為整體對系統賦能或去能。在其他實施中,參數簽章可對於各個領域賦能或去能(例如,使用上代領域不允許更新的領域描述符之保全組態參數)。若參數簽章遭去能,則在步驟448處,允許目標領域的啟動,而與任何參數簽章無關。
然而,若賦能參數簽章,則在步驟450處,RMU從目標領域之領域描述符獲得領域參數之期望簽章410。在步驟452處,RMU基於在目標領域之領域描述符中定義的實際保全組態參數420的子集,並且基於領域內容421之量測產生參數簽章422。在步驟454處,RMU決定參數簽章422是否匹配期望的簽章410,並且若如此,則在步驟456處,允許啟動並且簽署者ID 412及時期430經包括在密鑰資料中用於導出領域秘密。若參數簽章不匹配期望的簽章,則在步驟458處,應用啟動限制。此可為產生一故障以防止啟動成功,或者可允許啟動但規定組態設定以防止彼領域存取其領域秘密。
信賴的中間領域
如第22圖中所示,領域可初始地在由特定實體系統管理之特定系統上建立。稍後,領域可終止並且隨後在相同或不同的系統上重新啟動,或者相同領域之多個實例可建立在用於負載平衡及冗餘目的之相同或不同系統上。在任一情況下,可能需要共享相同資料集,該資料集由相同領域之所有實例可導出的密鑰所保護。類似地,領域之保全組態參數可需要橫跨相同領域之多個實例為一致。需要將領域重新啟動生存,或可能在不同系統上重新建立的任何連結無法自身由實體系統之特定實例管理。
如第23圖中所示,相關的問題為服務提供者可能需要在資料中心的不同系統之間,或在不同資料中心之間遷移領域以橫跨所有可用的計算資源管理負載、冗餘等等的能力。在無上述基於領域的保護之系統的情況下,遷移可例如藉由暫停虛擬機、分頁整個虛擬機、將虛擬機恢復於不同的機器上並且隨後將其再次啟動來實施。目標通常在遷移過程之開始時並不知道,但在某個稍後時刻決定,因此遷移的虛擬機最終可在任何系統上恢復。在一些情況下,過程可啟動,同時虛擬機仍然執行(「線上遷移」)。對於使用上述基於領域之保護的系統,此現有的遷移過程不工作,因為其將損壞基於領域之系統的基本保全保證。例如,領域可能已在具有已知保全性質的系統上啟動且證明。因為正常的遷移過程涉及資料中心中的未信賴的系統軟體,對於藉由分頁的此遷移,給定的實體系統上的領域或RMU 20上不可能強制執行以下操作:在領域已在新的系統上啟動之前,目標系統具有相同的保全性質。
此等問題可藉由定義信賴的中間領域來解決,該中間領域與給定目標領域相關聯並且經允許以代表與目標領域相關聯之外部方管理彼目標領域。信賴的中間領域可例如經允許執行某些領域管理功能,包括注入「所提供之」秘密及/或保存且復原保全組態參數,以便可能在不同的實體平臺之間遷移領域或終止且稍後恢復領域的實例,同時具有對於「相同領域」之每一實例的密鑰及保全組態參數的一致性集合。
如第24圖中所示,給定領域A可規定其領域保全組態參數400(亦即,在其領域描述符124中)、信賴的中間領域的識別符500,該信賴的中間領域為在相同實體實例上操作之另一領域。例如,如上所述之全域領域識別符(global realm identifier; GRID)可用於識別信賴的中間領域。在一些情況下,對於給定領域A的領域描述符中的保全組態參數亦可包括旗標,該旗標指示領域是否與信賴的中間領域相關聯。或者,若該旗標可從在信賴的中間領域識別符欄位500中的值中推導,則該旗標在一些實施中可能不是必需的。例如,若信賴的中間領域識別符500經設定至一值,該值並非對於真實領域的經允許的值,則此可隱式地識別不存在與給定領域相關聯的信賴中間領域。領域可僅由一個信賴的中間領域管理,但一個信賴的中間領域可管理多個其他領域,該等其他領域各自規定與信賴的中間領域相同的領域。
信賴的中間領域可儲存在其自身的記憶體頁502資訊之內,以便管理相關聯的領域A。例如,信賴的中間領域可儲存數個所提供的秘密504以及密鑰管理策略506,所提供的秘密504可作為用於導出密鑰以供保護領域A的資料及代碼的密鑰材料注入至領域A中,且密鑰管理策略506可規定關於彼等密鑰可如何及何時注入的資訊。此外,信賴的中間領域的所擁有頁502可儲存組態記錄508,該組態記錄可指示可注入至領域A的領域描述符中的保全組態參數的集合。由信賴的中間領域對領域A的保全組態參數的更新可限於在領域啟動之前。領域描述符的一些參數可並不經允許以由信賴的中間領域得以設定(例如,信賴的中間領域自身的識別符)。
在一些實例中,保全組態記錄508可在建立信賴的中間領域時已經提供至信賴的中間領域(例如,待由信賴的中間領域管理的領域A的保全組態記錄508將被包括於在建立信賴的中間領域時提供至信賴的中間領域之上代領域的資訊束中)。
或者,保全組態記錄可作為領域A的組態參數之快照產生,該快照是在啟動領域A之後得以擷取。例如,信賴的中間領域可經允許以發佈命令至RMU 20,RMU 20請求領域A的保全組態參數之快照經返回且作為在由信賴的中間區域擁有的記憶體區域中之保全組態記錄儲存。若發佈命令的領域為除了信賴的中間領域以外任何領域,則該命令可由RMU拒絕,該信賴的中間領域係在為領域A定義的領域保全組態參數400之內的識別符500中規定。如此允許有效領域之參數得以備份,因此該等參數可稍後復原,例如用於允許如第22圖中所示的先前終止之領域恢復,或用於如第23圖中所示使領域遷移至不同的實體平臺,或用於將給定領域的組態回滾至較早狀態。保全組態記錄508可與遷移策略510相關聯,該遷移策略可定義用於控制如何、何時及是否允許領域遷移至不同的平臺以及領域在哪些條件下遷移的屬性。
信賴的中間領域不必支援提供秘密的注入及保全組態記錄的保存及復原兩者。一些中間領域(或作為整體的基於領域之架構的一些實施)可能夠僅處理該等功能之一者。
領域與在領域建立時的某些信賴的中間領域的關聯可藉由請求RMU 20產生目標領域之證明及/或信賴的中間領域的證明,由外部方或者由其他領域驗證。該等證明可包括領域的保全組態參數的簽章,或者由信賴的中間領域管理的目標領域A的領域內容。當產生對於領域A的證明時,領域A與信賴的中間相關聯的事實可自領域證明顯而易見。當證明目標領域A時,檢查證明的驗證實體亦可證明相關聯的信賴中間領域,如此因為中間領域的直接證明被包括在目標領域A的證明中,或者因為對目標領域的證明可規定信賴的中間領域的識別符,以便各個證明產生命令可經發佈以請求中間領域的各個證明。
因此,藉由定義信賴的中間領域,此允許給定領域之多個實例在不同的時刻或在不同的實體平臺上建立,每個實體平臺共享對共用密鑰或保全組態參數的存取,此舉難以經由僅RMU或經由領域的自身代碼保全地管理。
第25圖圖示保全組態參數更新命令的處理的方法,該命令用於更新與目標領域相關聯的保全組態參數。此命令可用於更新與目標領域相關聯的保全組態參數。在步驟52處,接收保全組態參數更新命令,該命令規定其參數將被更新的目標領域。
在步驟522處,RMU 20檢查是否由命令識別的目標領域當前正處於清潔狀態中。若不是,則在步驟524處產生一故障,因為一旦領域已從清潔狀態傳遞至新狀態,保全組態參數就不可更新。若領域處於清潔狀態,則在步驟524處,決定發佈命令的領域是否為目標領域之上代領域。若如此,則在步驟528處,RMU允許請求的參數更新,只要更新至允許上代領域更新至的保全組態參數的子集。給定領域之領域描述符的某些內容(諸如密鑰)可能對於給定領域之上代領域不可存取。此外,可能不允許一些參數由上代領域更新,該等參數諸如是否領域與信賴的中間領域相關聯、信賴的中間領域的身份、期望的簽章410、簽署者ID 412等。
若上代領域沒有發佈命令,則在步驟530處,則RMU 20檢查是否目標領域與信賴的中間領域相關聯並且命令是否由信賴的中間領域發佈。若目標領域不與任何信賴的中間領域相關聯,或者命令並未由與目標領域相關聯的信賴中間領域發佈,則在步驟532處觸發故障。另外,若命令係由與目標領域相關聯的信賴中間領域發佈,則在步驟534處,允許領域描述符的參數更新。此外,可以存在信賴中間領域不予許更新的一些參數,但是該等參數可為少於不允許上代領域更新的彼等參數的參數。例如,可能不允許信賴的中間領域改變將何領域識別為信賴的中間領域。然而,不同於上代領域,可允許信賴的中間領域更新提供的秘密,該提供的秘密為用於產生密鑰的密鑰材料,該等密鑰用於保護與領域相關聯的資料/代碼。
RMU亦可支援用於觸發對保全組態記錄508的的擷取的命令,該擷取表示對目標領域的保全組態參數的子集之快照。僅當該等命令由在目標領域的領域描述符中定義的信賴中間領域發佈時,該等命令才可被接受。
第26圖圖示處理證明命令的方法,該證明命令用於觸發目標領域之證明的產生。在步驟550處,RMU 20接收證明命令,該證明命令識別為其產生證明的目標領域。在步驟552處,決定是否可接受證明命令,並且若不是,則在步驟554處產生故障。可執行各種檢查以決定是否可接受證明命令。若由證明命令識別的目標領域無效,則可拒絕證明命令並且觸發故障。此外,若證明命令係由除了與目標領域相關聯的信賴中間領域以外的領域發佈,若目標領域處於有效狀態,則可接受證明命令;若目標領域處於另一狀態,則可拒絕證明命令。若證明命令係由信賴的中間領域發佈,若目標領域處於清潔狀態、新狀態或有效狀態之任一者中,則可接受證明命令。
若接受證明命令,則在步驟556處,基於目標領域的保全組態參數產生證明資訊,其中證明資訊提供使得驗證實體檢查是否目標領域滿足某些性質的一些資訊。在步驟558處,RMU 20檢查是否目標領域與信賴的中間領域相關聯。若如此,則在步驟560處,RMU 20隨後包括指示目標領域與證明資訊中的信賴的中間領域相關聯的資訊,並且亦包括中間領域證明資訊,該中間領域證明資訊識別信賴的中間領域或者提供對中間領域的性質進行證明的證明資訊。若目標領域不具有相關聯的信賴中間領域,則在步驟560處將其省略。無論何種方式,在步驟562處,證明資訊經簽署有對證明的有效性進行證明的密鑰,並且證明經輸出至請求其的一方。
因此,當目標領域與信賴的中間領域相關聯時,驗證方可使用證明以檢查信賴的中間領域是否具有某些性質,藉由檢查目標領域自身的證明或藉由使用包括在目標領域的證明中的識別符以請求信賴的中間領域的進一步證明。以此方式,可確保信賴以下事實,即目標領域係由適當運行的信賴中間領域正確地組態。
因此,總之,信賴的中間經定義用於管理給定領域,該給定領域本身為與待管理的給定領域相同的領域管理方(例如,相同的銀行業提供者,健保提供者等)相關聯的領域,具有允許其代表彼方管理其他領域的特殊性質。在最簡單的實施中,信賴的中間的一個實例(每領域管理方)可存在於其中領域可存在的每一系統上。因為信賴的中間本身為一領域,其可由領域管理方證明為調適的一部分,確保信賴的中間可僅在具有所需保全性質的系統上有效/調適。
因此,領域可在領域建立時與信賴的中間相關聯,以使得:僅經識別的信賴中間可管理領域;領域與信賴中間相關聯的事實從領域證明中顯而易見;並且當證明領域時,驗證實體亦可證明相關聯的信賴中間。信賴的中間可自設備2的給定實體實例接收領域的保全情境,並且在相同實例或不同實例上恢復領域的保全情境。對於領域所有者的遷移策略經編碼在與領域所有者相關聯的信賴中間之內。策略自身可藉由證明信賴的中間來證明。此包括對於領域保全情境如何且何時在不同系統之間傳送的策略。作為二級使用,相同方法可支援相關用例,該等用例諸如備份/復原完整的領域,或獲取允許領域得以回滾至先前已知狀態的領域的快照/檢查點,等等。
信賴中間可在啟動領域之前證明受管理的領域。信賴的中間經允許以在啟動領域之前,於領域建立期間注入提供的根秘密。對於領域所有者的密鑰管理策略經編碼在與領域所有者相關聯的信賴中間之內。策略自身可藉由證明信賴的中間來證明。此包括在重新啟動領域之後提供相同的根秘密,或提供根秘密至相同領域的多個實例,或獨立於領域恰好在哪一系統上啟動,等等。
第27圖例示可使用的模擬器實施方式。雖然較早描述的實施例就用於操作支援所關心的技術的特定處理硬體之設備及方法而言實施本發明,但亦可能根據本文所描述之實施例提供藉由電腦程式之使用實施的指令執行環境。在此類電腦程式提供硬體架構之基於軟體之實施方式的範圍內,該等電腦程式通常稱為模擬器。各種模擬器電腦程式包括仿真器、虛擬機、模型,及二進制翻譯器,包括動態二進制翻譯器。通常,模擬器實施方式可在主機處理器730上運行,該主機處理器任擇地運行主機作業系統720,支援模擬器程式710。在一些配置中,可存在介於硬體與所提供的指令執行環境之間的模擬之多個層,及/或提供在相同主機處理器上的多個相異指令執行環境。歷史上,已需要強大的處理器提供以合理速度執行的模擬器實施方式,但此方法可在某些環境中經調整,諸如當出於兼容性或重新使用原因需要運行對於另一處理器為本機的碼時。例如,模擬器實施方式可提供具有不由主機處理器硬體支援的額外功能之指令執行環境,或提供通常與不同硬體架構相關的指令執行環境。模擬之概述在「Some Efficient Architecture Simulation Techniques」, Robert Bedichek, Winter 1990 USENIX Conference, 第53–63頁中給出。
在先前已參考特別硬體構造或特徵描述實施例的程度上,在模擬實施例中,等效功能可藉由適當軟體構造或特徵提供。例如,特別電路系統(諸如MMU 26及RMU 20)可在模擬實施例中實施為模擬器程式710之內的電腦程式邏輯(例如,記憶體存取程式邏輯及領域管理程式邏輯)。類似地,記憶體硬體諸如暫存器或快取可在模擬實施例中實施為軟體資料結構。在先前描述的實施例中引用的硬件元件中之一或多者存在於主機硬體(例如,主機處理器730)上的配置中,一些模擬實施例可在適合的情況下利用主機硬體。
模擬器程式710可儲存在電腦可讀儲存媒體(該電腦可讀媒體可為非暫時性媒體)上,且提供至目標碼700 (該目標碼可包括如第2圖中所示之應用程式、作業系統及超管理器)的程式介面(指令執行環境),該程式介面與藉由模擬器程式710模型化的硬體架構之應用程式介面相同。因而,目標碼700之程式指令包括基於以上所描述之領域保護功能的記憶體存取之控制可使用模擬器程式710在指令執行環境內執行,使得實際上不具有以上所論述之設備2之硬體特徵的主機電腦730可模擬此等特徵。
在本申請案中,字語「經組配來……」用來意味設備之元件具有能夠實施所定義操作的組態。在此上下文中,「組態」意味硬體或軟體之互連之配置或方式。例如,設備可具有專用提供所定義操作的硬體,或處理器或其他處理裝置可經程式化來進行功能。「經組配來」並不暗示設備元件需要以任何方式改變以便提供所定義操作。
儘管本文已參考伴隨圖式詳細描述本發明之例示性實施例,但將理解,本發明不限於彼等精確實施例,且各種變化及修改可在不脫離如所附申請專利範圍界定的本發明之範疇及精神的情況下由熟習此項技術者實現於其中。
2:資料處理系統/資料處理設備 4:系統單晶片積體電路 6:非依電性記憶體 8:通用處理器(CPU) 10:通用處理器(CPU) 12:圖形處理單元 14:互連電路系統 16:記憶體 18:外部記憶體介面 20:領域管理單元 22:領域管理單元 24:領域管理單元 26:通用記憶體管理單元 28:通用記憶體管理單元 30:通用記憶體管理單元 32:解碼及執行電路系統 34:解碼及執行電路系統 36:虛擬機 38:超管理器 42:領域描述符 100:轉譯旁看緩衝器 102:表漫步單元 104:密碼單元 110:快取 120:轉譯表 120-1:級段1頁表 120-2:級段2頁表 122:領域管理表/領域控制資訊 124:領域描述符 126:領域執行情境區域 128:領域區組表 130:根領域 140:領域 142:子代領域 144:孫代領域 146:曾孫代領域 148:孫代領域 150:應用程式 152:應用程式 154:分離EL1領域 160:領域描述符樹 162:領域描述符樹區組 164:領域描述符樹項 166:領域描述符 168:領域描述符樹指標 200:領域描述符暫存器區組命令 202:清潔狀態 204:領域初始化命令 206:新狀態 208:領域活動命令 210:活動狀態 212:領域.無效命令 214:無效狀態 216:領域洗滌命令 218:領域描述符釋放命令 220:無效狀態 222:有效狀態 224:清潔命令 226:RMU清潔狀態 228:RMU註冊狀態 230:註冊命令 232:釋放命令 234:區組釋放命令 236:收回命令 250:虛擬機ID 252:位址空間識別符 254:轉譯情境識別符 256:屬性 258:位址 260:TLB項 262:標籤 264:實體位址 270:全域RID 272:可見性屬性 280:TLB控制電路系統 300:步驟 302:標籤比較 304:第一(情境)比較 306:第二(領域)比較 308:步驟 310:步驟 311:步驟 312:步驟 314:步驟 315:步驟 316:步驟 318:步驟 320:步驟 322:步驟 400:領域保全組態參數 402:領域類型 406:指示 408:指示 410:期望簽章 412:簽署者ID 420:實際領域參數 421:量測 422:參數簽章 424:散列函數 430:時期 440:步驟 442:步驟 444:步驟 446:步驟 448:步驟 450:步驟 452:步驟 454:步驟 456:步驟 458:步驟 500:信賴的中間領域識別符 502:記憶體頁 504:秘密 506:密鑰管理策略 508:組態記錄 510:遷移策略 522:步驟 524:步驟 526:步驟 528:步驟 530:步驟 532:步驟 534:步驟 550:步驟 552:步驟 554:步驟 556:步驟 558:步驟 560:步驟 562:步驟 600:給定上代領域 602:次領域 700:目標碼 710:模擬器程式 720:主機作業系統 730:主機處理器
本發明技術的進一步態樣、特徵及優點將自實例的以下描述而顯而易見,該等實例將結合附圖而閱讀,其中:
第1圖示意性地例示資料處理系統,該資料處理系統包括複數個處理元件,該等複數個處理元件利用儲存在第一記憶體及第二記憶體內的記憶體區域;
第2圖示意性地例示執行的複數個過程之間的關係、與彼等過程相關的特權級,及用於控制哪一過程擁有給定記憶體區域且因此具有互斥權以控制對彼給定記憶體區域之存取的與彼等過程相關的領域;
第3圖示意性地例示在由領域管理單元及記憶體管理單元管理下的記憶體區域;
第4圖例示處理元件及儲存在記憶體中的領域管理控制資料之更詳細實例;
第5圖示出其中上代領域可定義描述各種子代領域之性質的領域描述符的領域階層之實例;
第6圖及第7圖例示領域階層的兩個不同實例;
第8圖示出藉由上代領域維持以記錄該上代領域之子代領域之領域描述符的領域描述符樹之實例;
第9圖示出領域描述符之內容之實例;
第10圖為例示不同領域生命週期狀態的表;
第11圖為指示領域之生命週期狀態之變化的狀態機圖表;
第12圖為示出用於給定記憶體區域的所有權表中之項之內容的表;
第13圖為示出可見性屬性的表,該等可見性屬性可針對給定記憶體區域加以設定以控制允許除所有者之外的哪些領域存取區域;
第14圖示出用於記憶體區域的不同生命週期狀態之實例,該等不同生命週期狀態包括對應於RMU-私有記憶體區域的狀態,該等RMU-私有記憶體區域經保留來用於由領域管理單元互斥存取;
第15圖為示出用於給定記憶體區域的生命週期狀態之變遷的狀態機;
第16圖例示給定記憶體區域之所有權可如何在上代領域與該上代領域之子代領域之間傳遞;
第17A圖示意性地例示基於頁表提供的記憶體存取控制,該等頁表定義記憶體控制屬性,該等記憶體控制屬性取決於特權級及領域管理單元級,該等領域管理單元級基於藉由所有者領域設定的許可提供對記憶體存取之控制之正交級;
第17B圖例示轉譯旁看緩衝器之實例;
第18圖為例示基於頁表及RMU表來控制對記憶體之存取之方法的流程圖;
第19圖例示次領域之使用,該等次領域對應於與次領域之上代領域相關的過程內之特定位址範圍;
第20圖示出參數簽章的實例;
第21圖示出當參數簽章不與期望簽章匹配時限制領域啟動的方法;
第22圖及第23圖示出其中可用於以與由一領域使用的相同的密鑰或保全組態來啟動另一領域的用例的兩個實例;
第24圖示出其中領域可與信賴中間領域相關聯的實例;
第25圖示出使用中間領域控制針對目標領域的領域管理功能的示例性方法;
第26圖示出為目標領域產生證實的方法;及
第27圖示出可使用的模擬器實例。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
400:領域保全組態參數
500:信賴的中間領域識別符
502:記憶體頁
504:秘密
506:密鑰管理策略
508:組態記錄
510:遷移策略

Claims (20)

  1. 一種設備,包含: 處理電路系統,用以回應於一或多個軟體過程執行資料處理; 記憶體存取電路系統,用以基於針對一給定記憶體區域定義的所有權資訊、自複數個領域之中規定的一所有者領域來控制對複數個記憶體區域的存取,每個領域對應於軟體過程的至少一者的至少一部分,該所有者領域具有從存取儲存在該給定記憶體區域之內的資料排除其他領域的一權限;以及 一領域管理單元,用以基於與該給定領域相關聯的保全組態參數控制一給定領域的操作;其中: 當該給定領域的該等保全組態參數規定該給定領域係與由該等保全組態參數識別的一信賴中間領域相關聯時,該領域管理單元經組態以允許該信賴中間領域執行該給定領域的至少一個領域管理功能。
  2. 如請求項1所述之設備,其中該領域管理功能包含更新對於該給定領域的該保全組態參數的至少一部分。
  3. 如請求項1及2中任一項所述之設備,其中除了一根領域之外的每一領域與創建該領域的一對應上代領域相關聯;以及 該領域管理單元經組態以支援該等保全組態參數,該等保全組態參數將該信賴的中間領域定義為與該給定領域的該上代領域不同的一領域。
  4. 如請求項3所述之設備,其中基於在該給定領域的建立期間由該上代領域發佈的至少一個命令,該領域管理單元經組態以設定該給定領域是否與該信賴的中間相關聯。
  5. 如請求項1所述之設備,其中回應於識別一目標領域的一證明命令,該領域管理單元經組態以提供證明該目標領域之性質的一證明。
  6. 如請求項5所述之設備,其中當對於該給定領域之該等保全組態參數規定該目標領域與該信賴的中間領域相關聯時,該證明包含指示該目標領域與該信賴的中間領域相關聯之資訊。
  7. 如請求項5所述之設備,其中當對於該目標領域之該等保全組態參數規定該目標領域與該信賴的中間領域相關聯時,用於該目標領域之該證明規定中間領域證明資訊, 該中間領域證明資訊證明該信賴的中間領域的性質或提供資訊,該資訊用於使得該證明的一接受者請求對於與該目標領域相關聯的該信賴的中間領域之一證明。
  8. 如請求項5所述之設備,其中該領域管理單元經組態以防止該處理電路系統處理一給定領域,直至該給定領域已經啟動為止;以及 該領域管理單元經組態以在已啟動該給定領域之前,允許與該給定領域相關聯的該信賴中間領域觸發對於該給定領域的一證明之產生。
  9. 如請求項1所述之設備,其中該領域管理單元經組態以允許該信賴中間領域提供對於該給定領域之至少一個所提供的秘密,該所提供的秘密包含以下至少一者: 至少一個密鑰,用於保護與該給定領域相關聯的資料;以及 密鑰材料,用於導出該至少一個密鑰。
  10. 如請求項9所述之設備,其中該領域管理單元經組態以禁止除了該信賴的中間領域以外的一領域提供對於該給定領域之該至少一個所提供的秘密。
  11. 如請求項9所述之設備,其中該領域管理單元經組態以防止該處理電路系統處理一給定領域,直至該給定領域已經啟動為止; 該領域管理單元經組態以在已啟動該給定領域之前,允許該信賴中間領域提供對於該給定領域的該至少一個所提供的秘密;以及 該領域管理單元經組態以在已啟動該給定領域之後,防止該信賴中間領域提供對於該給定領域的該至少一個所提供的秘密。
  12. 如請求項9中任一項所述之設備,其中該領域管理單元經組態以基於密鑰管理策略資訊管理該至少一個所提供的秘密的提供,該密鑰管理策略資訊由該信賴的中間領域提供。
  13. 如請求項1所述中設備,其中該領域管理單元經組態以允許該信賴的中間領域以記錄一保全組態記錄,該保全組態記錄指示與該給定領域相關聯的該等保全配置參數的至少一子集。
  14. 如請求項1所述之設備,其中該領域管理單元經組態以允許該信賴的中間領域基於先前由該信賴的中間領域記錄的一保全組態記錄,來更新與該給定領域相關聯的該等保全組態參數的至少一子集。
  15. 如請求項13所述之設備,其中該領域管理單元經組態以基於由該信賴的中間領域提供的策略資訊,管理該保全組態記錄的記錄或保全組態參數自該保全組態記錄之復原。
  16. 如請求項1所述之設備,其中用於該給定領域之該等保全組態參數包括以下至少一者: 一領域類型; 與該給定領域相關聯之一受保護位址範圍; 是否在該給定領域之內賦能除錯的一指示; 是否從一第一記憶體輸出資料的一指示,允許該資料經歷由該記憶體存取電路系統的存取控制至一第二記憶體;以及 用於導出至少一個密鑰之密鑰材料,該密鑰用於保護與該給定領域相關聯的資料。
  17. 如請求項1所述之設備,其中該所有者領域具有藉由一過程防止存取給定記憶體區域的一權限,該過程在比該所有者領域更大的一特權級執行。
  18. 一種資料處理方法,包含以下步驟: 回應於一或多個軟體過程執行資料處理;以及 基於針對一給定記憶體區域定義的所有權資訊、自複數個領域之中規定的一所有者領域來執行對複數個記憶體區域的所有權權限,每個領域對應於該等軟體過程的至少一者的至少一部分,該所有者領域具有從存取儲存在該給定記憶體區域之內的資料排除其他領域的一權限;以及 基於與該給定領域相關聯的保全組態參數控制一給定領域的操作;其中: 當該給定領域的該等保全組態參數規定該給定領域係與由該等保全組態參數識別的一信賴中間領域相關聯時,該信賴中間領域經允許以執行該給定領域的至少一個領域管理功能。
  19. 一種用於控制一主機資料處理設備以提供一指令執行環境的電腦程式,包含: 記憶體存取程式邏輯,用以基於針對一給定記憶體區域定義的所有權資訊、自複數個領域之中規定的一所有者領域來控制對一模擬記憶體位址空間之複數個記憶體區域的存取,每個領域對應於在該指令執行環境中執行之複數個軟體過程的至少一者的至少一部分,該所有者領域具有從存取儲存在該給定記憶體區域之內的資料排除其他領域的一權限;以及 領域管理程式邏輯,用以基於與該給定領域相關聯的保全組態參數控制一給定領域的操作;其中: 當該給定領域的該等保全組態參數規定該給定領域係與由該等保全組態參數識別的一信賴中間領域相關聯時,該領域管理程式邏輯經組態以允許該信賴中間領域執行該給定領域的至少一個領域管理功能。
  20. 一種儲存如請求項19所述之電腦程式的儲存媒體。
TW108133171A 2018-10-19 2019-09-16 資料處理方法,以及其設備、電腦程式,及儲存媒體 TWI813771B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
GB1817041.5 2018-10-19
GB1817041.5A GB2578297B (en) 2018-10-19 2018-10-19 Trusted intermediary realm

Publications (2)

Publication Number Publication Date
TW202034175A true TW202034175A (zh) 2020-09-16
TWI813771B TWI813771B (zh) 2023-09-01

Family

ID=64453893

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108133171A TWI813771B (zh) 2018-10-19 2019-09-16 資料處理方法,以及其設備、電腦程式,及儲存媒體

Country Status (9)

Country Link
US (1) US11481339B2 (zh)
EP (1) EP3867763B1 (zh)
JP (1) JP7431225B2 (zh)
KR (1) KR20210075064A (zh)
CN (1) CN112805693A (zh)
GB (1) GB2578297B (zh)
IL (1) IL280713B2 (zh)
TW (1) TWI813771B (zh)
WO (1) WO2020079388A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11429289B2 (en) * 2020-03-27 2022-08-30 Intel Corporation Memory map protection mechanism
US11645103B2 (en) * 2020-07-23 2023-05-09 EMC IP Holding Company LLC Method and system for securing the movement of virtual machines between hosts
US11615033B2 (en) * 2020-09-09 2023-03-28 Apple Inc. Reducing translation lookaside buffer searches for splintered pages
CN113535215B (zh) * 2021-07-20 2024-05-28 抖音视界有限公司 一种虚拟机热升级方法、装置、设备以及存储介质
US11663030B2 (en) * 2021-10-18 2023-05-30 Sophos Limited Extending expiration of user sessions with authentication refresh

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6560609B1 (en) * 1999-06-14 2003-05-06 International Business Machines Corporation Delegating instance management functions to underlying resource managers
GB0212315D0 (en) * 2002-05-28 2002-07-10 Symbian Ltd Secure mobile wireless device with protected file systems
US7287140B1 (en) * 2003-07-28 2007-10-23 Massachusetts Institute Of Technology System and technique for fine-grained computer memory protection
US8407704B2 (en) * 2006-09-26 2013-03-26 International Business Machines Corporation Multi-level memory architecture using data structures for storing access rights and performing address translation
US9742560B2 (en) * 2009-06-11 2017-08-22 Microsoft Technology Licensing, Llc Key management in secure network enclaves
US20110022736A1 (en) * 2009-07-21 2011-01-27 Lsi Corporation Methods and apparatus dynamic management of multiplexed phys in a serial attached scsi domain
US9952887B2 (en) * 2014-06-23 2018-04-24 Vmware, Inc. Device simulation in a secure mode supported by hardware architectures
US9710622B2 (en) * 2015-02-23 2017-07-18 Intel Corporation Instructions and logic to fork processes of secure enclaves and establish child enclaves in a secure enclave page cache
GB2539433B8 (en) * 2015-06-16 2018-02-21 Advanced Risc Mach Ltd Protected exception handling
GB2539435B8 (en) * 2015-06-16 2018-02-21 Advanced Risc Mach Ltd Data processing memory access control, in which an owning process for a region of memory is specified independently of privilege level
GB2539436B (en) * 2015-06-16 2019-02-06 Advanced Risc Mach Ltd Secure initialisation
US10223289B2 (en) * 2015-07-07 2019-03-05 Qualcomm Incorporated Secure handling of memory caches and cached software module identities for a method to isolate software modules by means of controlled encryption key management
US10587411B2 (en) * 2017-04-11 2020-03-10 International Business Machines Corporation Zero-knowledge verifiably attestable transaction containers using secure processors
GB2563883B (en) * 2017-06-28 2019-10-09 Advanced Risc Mach Ltd Invalidation of a target realm in a realm hierarchy
GB2563889B (en) * 2017-06-28 2019-10-09 Advanced Risc Mach Ltd Realm identifiers for realms for memory access control

Also Published As

Publication number Publication date
IL280713A (en) 2021-03-25
IL280713B2 (en) 2024-02-01
US11481339B2 (en) 2022-10-25
GB2578297B (en) 2021-07-14
JP7431225B2 (ja) 2024-02-14
GB201817041D0 (en) 2018-12-05
TWI813771B (zh) 2023-09-01
IL280713B1 (en) 2023-10-01
EP3867763A1 (en) 2021-08-25
CN112805693A (zh) 2021-05-14
WO2020079388A1 (en) 2020-04-23
KR20210075064A (ko) 2021-06-22
JP2022503972A (ja) 2022-01-12
GB2578297A (en) 2020-05-06
EP3867763B1 (en) 2023-10-25
US20210334222A1 (en) 2021-10-28

Similar Documents

Publication Publication Date Title
TWI784016B (zh) 領域階層中的目標領域的無效
TWI813771B (zh) 資料處理方法,以及其設備、電腦程式,及儲存媒體
TWI818079B (zh) 使用用於領域安全性配置參數的參數簽名的設備、方法、電腦程式以及儲存媒體
TWI787291B (zh) 與領域相關的架構狀態的遮罩
TWI787289B (zh) 用於轉譯快取查找的領域識別符比較
TWI796414B (zh) 用於區域融合的設備、方法、電腦程式及儲存媒體
TW201928687A (zh) 碼領域
TWI790243B (zh) 用於記憶體存取控制的領域的領域識別符
TWI787287B (zh) 用於異常返回指令的資料處理設備、方法、電腦程式及儲存媒體
TW201905699A (zh) 次領域
TWI787288B (zh) 記憶體區域的中斷輸出
TWI787286B (zh) 領域執行情境遮罩及保存
TWI781188B (zh) 領域管理單元-私有記憶體區域
TW201926061A (zh) 用於記憶體區域的巡檢清除-提交狀態