TW202027198A - A cluster processing system for forming a transition metal material - Google Patents

A cluster processing system for forming a transition metal material Download PDF

Info

Publication number
TW202027198A
TW202027198A TW108140950A TW108140950A TW202027198A TW 202027198 A TW202027198 A TW 202027198A TW 108140950 A TW108140950 A TW 108140950A TW 108140950 A TW108140950 A TW 108140950A TW 202027198 A TW202027198 A TW 202027198A
Authority
TW
Taiwan
Prior art keywords
chamber
substrate
layer
transition metal
gas
Prior art date
Application number
TW108140950A
Other languages
Chinese (zh)
Other versions
TWI842772B (en
Inventor
達信 黃
史林尼法斯D 奈馬尼
怡利 葉
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202027198A publication Critical patent/TW202027198A/en
Application granted granted Critical
Publication of TWI842772B publication Critical patent/TWI842772B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Robotics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Methods for forming a transition metal material on a substrate and thermal processing such metal containing material in a cluster processing system are provided. In one embodiment, a method for a device structure for semiconductor devices includes forming a two-dimensional transition metal dichalcogenide layer on a substrate in a first processing chamber disposed in a cluster processing system, thermally treating the two-dimensional transition metal dichalcogenide layer to form a treated metal layer in a second processing chamber disposed in the cluster processing system, and forming a capping layer on the treated metal layer in a third processing chamber disposed in the cluster processing system.

Description

用於形成過渡金屬材料的群集處理系統Cluster processing system for forming transition metal materials

本揭示案的實施例大體係關於用於形成含金屬材料的方法。更特定言之,本揭示案的實施例大體係關於用於形成用於元件結構的含金屬材料的方法,該元件結構在半導體元件的製造期間具有最小的空氣暴露,以防止過度氧化。The embodiments of the present disclosure generally relate to methods for forming metal-containing materials. More specifically, the embodiments of the present disclosure relate to methods for forming metal-containing materials for device structures that have minimal air exposure during the manufacture of semiconductor devices to prevent excessive oxidation.

可靠地產生次半微米(sub-half micron)和更小的特徵是半導體元件的下一代超大型積體電路(VLSI)和極大型積體電路(ULSI)的關鍵技術挑戰之一。但是,隨著電路技術極限的推升,VLSI和ULSI互連技術的尺寸不斷縮小,對處理能力提出了更高的需求。在基板上可靠地形成閘極結構對於VLSI和ULSI的成功以及對提高電路密度和單個基板和晶粒品質的持續努力都是重要的。Reliably generating sub-half micron and smaller features is one of the key technical challenges for the next generation of very large integrated circuits (VLSI) and ultra-large integrated circuits (ULSI) of semiconductor components. However, with the advancement of circuit technology limits, the size of VLSI and ULSI interconnection technologies continues to shrink, placing higher demands on processing capabilities. Reliable formation of the gate structure on the substrate is important to the success of VLSI and ULSI, as well as to continuous efforts to improve circuit density and the quality of individual substrates and die.

隨著積體電路部件的尺寸減小(例如,減小到深次微米尺寸),必須仔細選擇用於製造此種部件的材料,以獲得令人滿意的電效能等級。As the size of integrated circuit components decreases (for example, down to deep sub-micron sizes), the materials used to manufacture such components must be carefully selected to obtain a satisfactory level of electrical performance.

在半導體製造處理中,由介電質塊狀絕緣材料形成的金屬線的表面暴露於空氣。在隨後的金屬化處理以在暴露的金屬上形成元件結構之前,可以在不同的真空環境間轉移基板以實行不同的處理步驟。在傳送期間,基板可能必須在處理腔室或受控環境外部駐留一段時間,稱為排隊時間(queue time,Q時間)。在Q時間期間,基板暴露於周圍環境條件下,其中包括大氣壓和室溫下的氧氣和水。結果,在周圍環境中經受氧化條件的基板可能在隨後的金屬化處理或互連製造處理之前在金屬表面上累積自然氧化物或污染物。In the semiconductor manufacturing process, the surface of a metal wire formed of a dielectric bulk insulating material is exposed to air. Before the subsequent metallization process to form a device structure on the exposed metal, the substrate can be transferred between different vacuum environments to perform different processing steps. During the transfer, the substrate may have to stay outside the processing chamber or controlled environment for a period of time, called queue time (Q time). During Q time, the substrate is exposed to ambient conditions, including oxygen and water at atmospheric pressure and room temperature. As a result, substrates that are subjected to oxidizing conditions in the surrounding environment may accumulate natural oxides or contaminants on the metal surface before the subsequent metallization process or interconnect manufacturing process.

此外,當形成界面自然氧化物時,在界面處的不良黏附也可能導致不想要的高接觸電阻,從而導致元件的不良電效能。另外,元件結構中金屬元素的不良成核不僅會影響元件的電效能,亦會影響隨後在其上形成的導電接觸材料的整合。In addition, when the natural oxide at the interface is formed, poor adhesion at the interface may also lead to undesirably high contact resistance, resulting in poor electrical performance of the device. In addition, the poor nucleation of metal elements in the device structure not only affects the electrical performance of the device, but also affects the integration of conductive contact materials subsequently formed thereon.

近來,層狀過渡金屬硫族化物(transition metal dichalcogenides)是經常用於通道結構中的二維半導體材料。過渡金屬硫族化物具有例如直接帶隙、強自旋軌道耦合、和缺乏反轉中心的性質,此使得過渡金屬硫族化物對於電子應用而言是理想的。此外,在過渡金屬硫族化物暴露的情況下轉移基板通常會導致過渡金屬硫族化物的氧化,此最終導致元件結構的不良電效能。Recently, layered transition metal chalcogenides (transition metal dichalcogenides) are two-dimensional semiconductor materials often used in channel structures. Transition metal chalcogenides have properties such as direct band gap, strong spin-orbit coupling, and lack of inversion centers, which makes transition metal chalcogenides ideal for electronic applications. In addition, transferring the substrate when the transition metal chalcogenide is exposed usually results in the oxidation of the transition metal chalcogenide, which ultimately leads to poor electrical performance of the device structure.

因此,在本領域中仍然需要一種在基板上形成過渡金屬硫族化物的改進的方法,其具有良好的界面品質控制,以最小的基板氧化來暴露金屬。Therefore, there is still a need in the art for an improved method of forming transition metal chalcogenides on a substrate, which has good interface quality control and exposes the metal with minimal substrate oxidation.

提供了在基板上形成含金屬的材料並在群集處理系統中熱處理該含金屬的材料的方法。在一個實施例中,一種用於半導體元件的元件結構的方法,包括在設置在群集處理系統中的第一處理腔室中的基板上形成二維過渡金屬硫族化物(two-dimensional transition metal dichalcogenide)層,對二維過渡金屬硫族化物層進行熱處理以在設置在群集處理系統中的第二處理腔室中形成經處理的金屬層,及在設置在群集處理系統中的第三處理腔室中的經處理的金屬層上形成覆蓋層。A method of forming a metal-containing material on a substrate and heat treating the metal-containing material in a cluster processing system is provided. In one embodiment, a method for an element structure of a semiconductor element includes forming a two-dimensional transition metal chalcogenide (two-dimensional transition metal dichalcogenide) on a substrate provided in a first processing chamber in a cluster processing system. ) Layer, heat-treating the two-dimensional transition metal chalcogenide layer to form a treated metal layer in the second processing chamber provided in the cluster processing system, and in the third processing chamber provided in the cluster processing system A covering layer is formed on the treated metal layer in the.

在另一實施例中,一種用於半導體元件的元件結構的方法,包括在群集處理系統中而不破壞真空下在基板上實行第一沉積處理以形成二維過渡金屬硫族化物層,在群集處理系統中而不破壞真空下對二維過渡金屬硫族化物層實行熱處置處理,和在群集處理系統中而不破壞真空下實行第二沉積處理以在二維過渡金屬硫族化物層上形成覆蓋層。In another embodiment, a method for the element structure of a semiconductor element includes performing a first deposition process on a substrate to form a two-dimensional transition metal chalcogenide layer in a cluster processing system without breaking a vacuum. The two-dimensional transition metal chalcogenide layer is thermally treated in the processing system without breaking the vacuum, and the second deposition process is performed in the cluster processing system without breaking the vacuum to form the two-dimensional transition metal chalcogenide layer Covering layer.

在又另一實施例中,一種群集處理系統包括第一沉積腔室,第一沉積腔室經配置以形成二維過渡金屬硫族化物層,其中第一沉積腔室是原子層沉積腔室或化學氣相沉積腔室、退火腔室、第二沉積腔室、和預清潔腔室。In yet another embodiment, a cluster processing system includes a first deposition chamber configured to form a two-dimensional transition metal chalcogenide layer, wherein the first deposition chamber is an atomic layer deposition chamber or Chemical vapor deposition chamber, annealing chamber, second deposition chamber, and pre-cleaning chamber.

提供了一種在半導體元件中在具有良好覆蓋保護的基板上形成含金屬材料的方法。含金屬材料是包含二維過渡金屬硫族化物(transition metal dichalcogenide)的材料。預清潔處理、二維過渡金屬硫族化物沉積處理、退火處理、覆蓋層沉積處理可以全部整合地形成於具有多種類型處理腔室與其整合的單個群集系統中。藉此,可以在群集處理系統中形成含金屬材料以及在其上與退火處理一起形成的覆蓋層,而不會破壞真空(例如,在群集處理系統中時基板不暴露於大氣),使得能夠消除自空氣和環境的沾污(contamination)和污染(pollution)的可能性。此外,藉由適時地形成在二維過渡金屬硫族化物材料上形成的適當的蓋保護結構,可以消除電子遷移、金屬氧化、或金屬線噴出/擴散的可能性,從而在不降低元件效能的情況下增加了製造靈活性。A method for forming a metal-containing material on a substrate with good coverage and protection in a semiconductor element is provided. The metal-containing material is a material containing a two-dimensional transition metal chalcogenide (transition metal dichalcogenide). The pre-cleaning treatment, the two-dimensional transition metal chalcogenide deposition treatment, the annealing treatment, and the capping layer deposition treatment can all be integrally formed in a single cluster system with multiple types of processing chambers and integration. Thereby, it is possible to form the metal-containing material and the coating layer formed thereon together with the annealing treatment in the cluster processing system without breaking the vacuum (for example, the substrate is not exposed to the atmosphere in the cluster processing system), making it possible to eliminate Possibility of contamination and pollution from the air and environment. In addition, by forming an appropriate cover protection structure formed on the two-dimensional transition metal chalcogenide material in a timely manner, it is possible to eliminate the possibility of electron migration, metal oxidation, or metal line ejection/diffusion, so as not to reduce device performance. Circumstances increase manufacturing flexibility.

第1圖是適於實行如下文進一步描述的基板預清潔處理的說明性處理腔室100的截面圖。處理腔室100可以被配置為從基板表面移除自然氧化物或表面污染。處理腔室100對於實行遠端電漿表面清潔處理特別有用。處理腔室100可以是FrontierTM 、PCxT Reactive PrecleanTM (RPC),AKTIV Pre-CleanTM 、SiconiTM 或CapaTM 腔室,其可以從加州聖塔克拉拉的應用材料公司獲得。應注意,可從其他製造商獲得的其他真空處理腔室亦可適於實施本揭示案。Figure 1 is a cross-sectional view of an illustrative processing chamber 100 suitable for performing a substrate pre-cleaning process as described further below. The processing chamber 100 may be configured to remove natural oxides or surface contamination from the surface of the substrate. The processing chamber 100 is particularly useful for performing remote plasma surface cleaning processing. The processing chamber 100 may be a Frontier , PCxT Reactive Preclean (RPC), AKTIV Pre-Clean , Siconi ™, or Capa chamber, which can be obtained from Applied Materials, Inc. of Santa Clara, California. It should be noted that other vacuum processing chambers available from other manufacturers are also suitable for implementing the present disclosure.

處理腔室100包括腔室主體112、蓋組件123、和支撐組件180。蓋組件123設置在腔室主體112的上端,並且支撐組件180至少部分地設置在腔室主體112內。The processing chamber 100 includes a chamber body 112, a cover assembly 123, and a support assembly 180. The cover assembly 123 is disposed at the upper end of the chamber body 112, and the support assembly 180 is at least partially disposed in the chamber body 112.

腔室主體112包括形成在其側壁中的狹縫閥開口114,以提供處理腔室100的內部的進入通路。狹縫閥開口114被選擇性地打開和關閉,以允許晶圓處理機器人(未圖示)進入腔室主體112的內部。The chamber body 112 includes a slit valve opening 114 formed in a side wall thereof to provide an access path to the inside of the processing chamber 100. The slit valve opening 114 is selectively opened and closed to allow a wafer processing robot (not shown) to enter the interior of the chamber body 112.

在一或多個實施中,腔室主體112包括形成在其中的通道115,以用於使傳熱流體從中流過。腔室主體112可進一步包括圍繞支撐組件180的襯墊120。襯墊120是可移除的以供維護和清潔。在一或多個實施例中,襯墊120包括一或多個孔125和在其中形成的與真空系統流體連通的泵通道129。孔125為氣體進入泵通道129提供流動路徑,泵通道129為處理腔室100內的氣體提供出口。In one or more implementations, the chamber body 112 includes a channel 115 formed therein for the heat transfer fluid to flow therethrough. The chamber body 112 may further include a gasket 120 surrounding the support assembly 180. The liner 120 is removable for maintenance and cleaning. In one or more embodiments, the gasket 120 includes one or more holes 125 and a pump channel 129 formed therein in fluid communication with the vacuum system. The hole 125 provides a flow path for the gas to enter the pump channel 129, and the pump channel 129 provides an outlet for the gas in the processing chamber 100.

真空系統可包括真空泵130和節流閥132,以調節穿過處理腔室100的氣體流量。真空泵130耦接到設置在腔室主體112中的真空端口131,並因此與形成在襯墊120內的泵通道129流體連通。The vacuum system may include a vacuum pump 130 and a throttle valve 132 to adjust the flow of gas through the processing chamber 100. The vacuum pump 130 is coupled to a vacuum port 131 provided in the chamber body 112 and thus is in fluid communication with a pump channel 129 formed in the gasket 120.

遠端電漿系統110可處理含鹵素的前驅物,例如含氟的前驅物,接著前驅物行進穿過進氣組件111。在進氣組件111內可看到兩個不同的氣體供應通道(第一通道109和第二通道113)。第一通道109運載穿過遠端電漿系統110(remote plasma system,RPS)的氣體,而第二通道113繞過遠端電漿系統110。通道109或通道113可用於含鹵素的前驅物。另一方面,第一通道109可以用於製程氣體(process gas),第二通道113可以用於處理氣體(treatment gas)。圖示了蓋組件(或導電頂部)123和穿孔隔板153(或稱為噴頭),在它們之間具有絕緣環124,絕緣環124允許相對於穿孔隔板153將AC電位施加到蓋組件123。AC電位撞擊腔室電漿區域121中的電漿。處理氣體可以行進穿過第一通道109進入腔室電漿區域121,並且可以被腔室電漿區域121中的電漿單獨激發,或者與遠端電漿系統110結合激發。若處理氣體流過第二通道113,則僅有腔室電漿區域121用於激發。腔室電漿區域121和/或遠端電漿系統110的組合在本文中可以被稱為遠端電漿系統。穿孔隔板(亦稱為噴頭)153將腔室電漿區域121與穿孔隔板153下方的基板處理區域141分開。穿孔隔板153允許存在於腔室電漿區域121中的電漿避免直接激發基板處理區域141中的氣體,同時仍允許被激發的物質從腔室電漿區域121行進到基板處理區域141中。The remote plasma system 110 can process halogen-containing precursors, such as fluorine-containing precursors, and then the precursors travel through the intake assembly 111. Two different gas supply channels (the first channel 109 and the second channel 113) can be seen in the intake assembly 111. The first channel 109 carries the gas passing through the remote plasma system (RPS) 110, and the second channel 113 bypasses the remote plasma system 110. Channel 109 or channel 113 can be used for halogen-containing precursors. On the other hand, the first channel 109 can be used for process gas, and the second channel 113 can be used for treatment gas. The illustration shows a cover assembly (or conductive top) 123 and a perforated partition 153 (or called a shower head) with an insulating ring 124 between them, which allows an AC potential to be applied to the cover assembly 123 relative to the perforated partition 153 . The AC potential strikes the plasma in the plasma region 121 of the chamber. The processing gas may travel through the first channel 109 into the chamber plasma region 121 and may be excited by the plasma in the chamber plasma region 121 alone or in combination with the remote plasma system 110. If the processing gas flows through the second channel 113, only the chamber plasma region 121 is used for excitation. The combination of the chamber plasma region 121 and/or the remote plasma system 110 may be referred to herein as a remote plasma system. A perforated partition (also referred to as a shower head) 153 separates the chamber plasma area 121 from the substrate processing area 141 under the perforated partition 153. The perforated partition 153 allows the plasma present in the chamber plasma region 121 to avoid directly exciting the gas in the substrate processing region 141, while still allowing the excited substances to travel from the chamber plasma region 121 to the substrate processing region 141.

穿孔隔板153位於腔室電漿區域121和基板處理區域141之間,並允許在遠端電漿系統110和/或腔室電漿區域121內產生的電漿流出物(前驅物或其他氣體的激發衍生物)穿過複數個通孔156。穿孔隔板153亦具有一或多個中空體積151,該中空體積151可以被以蒸氣或氣體形式的前驅物填充並且穿過通孔156進入基板處理區域141但不直接進入腔室電漿區域121。為了保持從腔室電漿區域121滲透到基板處理區域141的激發物質的顯著濃度,可以限制通孔156的長度126並且根據需要將其配置為不同的配置。The perforated partition 153 is located between the chamber plasma area 121 and the substrate processing area 141, and allows the plasma effluent (precursor or other gas) generated in the remote plasma system 110 and/or the chamber plasma area 121 The excitation derivative of) passes through a plurality of through holes 156. The perforated partition 153 also has one or more hollow volumes 151, which can be filled with a precursor in the form of vapor or gas and enter the substrate processing area 141 through the through hole 156 but not directly enter the chamber plasma area 121 . In order to maintain a significant concentration of the excited species that penetrates from the chamber plasma region 121 to the substrate processing region 141, the length 126 of the through hole 156 may be limited and configured in different configurations as needed.

穿孔隔板153可以配置成如第1圖所示作為離子抑制器的目的。替代地,可以包括單獨的處理腔室元件(未圖示),其抑制行進到基板處理區域141中的離子濃度。蓋組件123和穿孔隔板153可以分別作用為第一電極和第二電極,使得蓋組件123和穿孔隔板153可以接收不同的電壓。在該等配置中,可以將電力(例如,RF功率)施加到蓋123、穿孔隔板153、或兩者。例如,可以在穿孔隔板153(用作為離子抑制器)接地的同時將電力施加到蓋組件123。基板處理腔室100可以包括RF產生器,RF產生器依需求向蓋組件123和/或穿孔隔板153提供電力。施加至蓋組件123的電壓可促進腔室電漿區域121內的電漿的均勻分佈(亦即,減少局部電漿)。為了能夠在腔室電漿區域121中形成電漿,絕緣環124可以使蓋組件123與穿孔隔板153電絕緣。絕緣環124可以由陶瓷製成並且可以具有高崩潰電壓以避免產生火花(sparking)。剛才描述的電容耦合電漿元件附近的基板處理腔室100的部分可進一步包括冷卻單元(未圖示),冷卻單元包括一或多個冷卻流體通道,以利用循環的冷卻劑(例如,水)冷卻暴露於電漿的表面。The perforated partition 153 can be configured to serve as an ion suppressor as shown in FIG. 1. Alternatively, a separate processing chamber element (not shown) may be included, which suppresses ion concentration traveling into the substrate processing region 141. The cover assembly 123 and the perforated separator 153 can function as the first electrode and the second electrode, respectively, so that the cover assembly 123 and the perforated separator 153 can receive different voltages. In such configurations, power (eg, RF power) can be applied to the cover 123, the perforated partition 153, or both. For example, power may be applied to the cover assembly 123 while the perforated partition 153 (serving as an ion suppressor) is grounded. The substrate processing chamber 100 may include an RF generator, and the RF generator provides power to the cover assembly 123 and/or the perforated partition 153 as required. The voltage applied to the cover assembly 123 can promote uniform distribution of plasma in the plasma region 121 of the chamber (ie, reduce local plasma). In order to be able to form plasma in the plasma region 121 of the chamber, the insulating ring 124 may electrically insulate the cover assembly 123 from the perforated partition 153. The insulating ring 124 may be made of ceramic and may have a high breakdown voltage to avoid sparking. The portion of the substrate processing chamber 100 near the capacitively coupled plasma element just described may further include a cooling unit (not shown), the cooling unit including one or more cooling fluid channels to utilize circulating coolant (for example, water) Cool the surface exposed to the plasma.

在所示的實施例中,在腔室電漿區域121中被電漿激發後,穿孔隔板153可以分佈(經由通孔156)處理氣體,包含氫、氟、和/或此處理氣體的電漿流出物。在實施例中,被引入遠端電漿系統110和/或腔室電漿區域121中的處理氣體可以包含氟(例如,F2 或HF)。處理氣體亦可包括載體氣體,例如氦氣、氬氣、氫氣(H2 )等。電漿流出物可包括處理氣體的離子化或中性衍生物,並且在本文中亦可參照為自由基氟(radical-fluorine),是指引入的處理氣體的原子成分。In the illustrated embodiment, after being excited by the plasma in the plasma region 121 of the chamber, the perforated partition 153 can distribute (via the through holes 156) the processing gas, including hydrogen, fluorine, and/or electricity of the processing gas. Pulp effluent. In an embodiment, the processing gas introduced into the remote plasma system 110 and/or the chamber plasma region 121 may contain fluorine (for example, F 2 or HF). The processing gas can also include carrier gas, such as helium, argon, hydrogen (H 2 ), and so on. The plasma effluent may include ionized or neutral derivatives of the process gas, and can also be referred to herein as radical-fluorine, which refers to the atomic composition of the introduced process gas.

通孔156被配置以抑制離子帶電物質從腔室電漿區域121中遷移出來,同時允許不帶電的中性或自由基物質穿過穿孔隔板153進入基板處理區域141。該等不帶電的物質可包括高反應性物質,其經由通孔156與低反應性載體氣體一起運輸。如上所述,可以減少通孔156引起的離子物質的遷移,並且在某些情況下可以完全地抑制。控制穿過穿孔隔板153的離子物質的量提供了對與下方晶圓基板接觸的氣體混合物的增加的控制,此進而增加了對氣體混合物的沉積和/或蝕刻特性的控制。例如,氣體混合物的離子濃度的調節可以顯著改變其蝕刻選擇性(例如,氮化矽/氧化物:矽蝕刻比)。The through hole 156 is configured to inhibit the migration of ionically charged species from the chamber plasma region 121 while allowing uncharged neutral or radical species to pass through the perforated partition 153 and enter the substrate processing region 141. The uncharged substances may include highly reactive substances which are transported together with the low reactive carrier gas through the through holes 156. As described above, the migration of ion species caused by the through holes 156 can be reduced, and can be completely suppressed in some cases. Controlling the amount of ionic species passing through the perforated partition 153 provides increased control of the gas mixture in contact with the underlying wafer substrate, which in turn increases the control of the deposition and/or etching characteristics of the gas mixture. For example, adjustment of the ion concentration of the gas mixture can significantly change its etching selectivity (for example, silicon nitride/oxide: silicon etching ratio).

在實施例中,通孔156的數量可以在大約60至大約2000之間。通孔156可以具有各種形狀,但是最容易製成圓形。通孔的橫截面形狀選擇亦很自由,該通孔可以製成圓錐形、圓柱形、或兩種形狀的組合。通孔156可以被配置以控制經電漿活化的氣體(亦即,離子、自由基、和/或中性物質)穿過穿孔隔板153的穿過。例如,可以控制孔的長寬比(亦即,孔的直徑對長度)和/或孔的幾何形狀,從而減少穿過穿孔隔板153的活化氣體中的離子帶電物質的流動。穿孔隔板153中的通孔156可包括面對腔室電漿區域121的漸縮部分和面對基板處理區域141的圓柱形部分。可以調整圓柱形部分的比例和尺寸,以控制進入基板處理區域141的離子物質的流動。亦可以將可調節的電偏壓施加到穿孔隔板153上,作為控制離子物質穿過穿孔隔板153的流動的額外手段。In an embodiment, the number of through holes 156 may be between about 60 to about 2000. The through hole 156 may have various shapes, but is most easily made into a circular shape. The cross-sectional shape of the through hole is also free to choose, and the through hole can be made into a conical shape, a cylindrical shape, or a combination of the two shapes. The through hole 156 may be configured to control the passage of plasma-activated gas (ie, ions, radicals, and/or neutral substances) through the perforated partition 153. For example, the aspect ratio of the hole (ie, the diameter of the hole to the length) and/or the geometric shape of the hole can be controlled to reduce the flow of ionically charged species in the activated gas passing through the perforated separator 153. The through hole 156 in the perforated partition 153 may include a tapered portion facing the chamber plasma region 121 and a cylindrical portion facing the substrate processing region 141. The proportion and size of the cylindrical portion can be adjusted to control the flow of ionic substances into the substrate processing area 141. An adjustable electrical bias can also be applied to the perforated partition 153 as an additional means of controlling the flow of ionic species through the perforated partition 153.

替代地,通孔156可具有朝向穿孔隔板153的頂表面的較小的內徑(inner diameter,ID)和朝向底表面的較大的ID。另外,通孔156的底邊緣可以被倒角以在電漿流出物離開噴頭時幫助將電漿流出物均勻地分佈在基板處理區域141中並且促進電漿流出物和前驅物氣體的均勻分佈。較小的ID可以沿著通孔156放置在多個位置,並且仍然允許穿孔隔板153減小基板處理區域141內的離子密度。離子密度的降低是由於在進入基板處理區域141之前與壁的碰撞次數增加。每次碰撞都會增加離子從壁中獲得或失去電子而中和的可能性。一般而言,通孔156的較小的ID可以在約0.2 mm至約20 mm之間。在其他實施例中,較小的ID可以在約1 mm至6 mm之間或在約0.2 mm至約5 mm之間。此外,通孔156的縱橫比(亦即,較小的ID對於孔長度)可以為大約1至20。通孔156的較小的ID可以是沿著通孔的長度發現的最小ID。通孔156的橫截面形狀可以是大體上圓柱形、圓錐形、或其任何組合。Alternatively, the through hole 156 may have a smaller inner diameter (ID) toward the top surface of the perforated partition 153 and a larger ID toward the bottom surface. In addition, the bottom edge of the through hole 156 may be chamfered to help uniformly distribute the plasma effluent in the substrate processing area 141 and promote uniform distribution of the plasma effluent and the precursor gas when the plasma effluent leaves the showerhead. The smaller ID can be placed in multiple locations along the through hole 156 and still allow the perforated partition 153 to reduce the ion density in the substrate processing area 141. The decrease in ion density is due to the increase in the number of collisions with the wall before entering the substrate processing area 141. Each collision increases the probability that the ion will gain or lose electrons from the wall and be neutralized. In general, the smaller ID of the through hole 156 may be between about 0.2 mm and about 20 mm. In other embodiments, the smaller ID may be between about 1 mm and 6 mm or between about 0.2 mm and about 5 mm. In addition, the aspect ratio of the through hole 156 (that is, the smaller ID to the hole length) may be about 1 to 20. The smaller ID of the through hole 156 may be the smallest ID found along the length of the through hole. The cross-sectional shape of the through hole 156 may be substantially cylindrical, conical, or any combination thereof.

支撐組件180可包括支撐構件185,以支撐基板(第1圖中未圖示)以在腔室主體112內進行處理。支撐構件185可經由軸187耦接至升降機構183,軸187延伸穿過形成在腔室主體112的底表面中的位於中央的開口116。升降機構183可以經由波紋管188柔性地密封到腔室主體112,波紋管188防止從軸187周圍真空洩漏。The support assembly 180 may include a support member 185 to support a substrate (not shown in Figure 1) for processing in the chamber body 112. The support member 185 may be coupled to the lifting mechanism 183 via a shaft 187 that extends through a centrally located opening 116 formed in the bottom surface of the chamber body 112. The lifting mechanism 183 may be flexibly sealed to the chamber body 112 via a bellows 188, which prevents vacuum leakage from around the shaft 187.

支撐構件185可包括穿過其形成的孔192以容納升降銷193,其中升降銷193中的一個在第1圖中圖示。每個升降銷193由陶瓷或含陶瓷的材料構成,並且用於基板處理和運輸。當與佈置在腔室主體112內的環形升降環195接合時,升降銷193可在其相應的孔192內移動。支撐組件180可進一步包括圍繞支撐構件185設置的邊緣環196。The support member 185 may include a hole 192 formed therethrough to accommodate the lift pins 193, wherein one of the lift pins 193 is illustrated in FIG. 1. Each lift pin 193 is made of ceramic or ceramic-containing material, and is used for substrate processing and transportation. When engaged with the annular lifting ring 195 disposed in the chamber main body 112, the lifting pin 193 can move in its corresponding hole 192. The support assembly 180 may further include an edge ring 196 disposed around the support member 185.

支撐組件180的溫度可以由穿過嵌入支撐構件185的主體中的流體通道198循環的流體控制。在一或多個實施中,流體通道198與穿過支撐組件180的軸187設置的傳熱導管199流體連通。流體通道198圍繞支撐構件185定位,以向支撐構件185的基板接收表面提供均勻的熱傳遞。流體通道198和傳熱導管199可以使傳熱流體流動以加熱或冷卻支撐構件185。可以使用任何合適的傳熱流體,例如水、氮氣、乙二醇、或它們的混合物。支撐組件180可進一步包括嵌入式熱電偶(未圖示),用於監控支撐構件185的支撐表面的溫度。例如,來自熱電偶的信號可以在反饋迴路中使用,以控制穿過流體通道198循環的流體的溫度或流率。The temperature of the support assembly 180 may be controlled by the fluid circulating through the fluid channel 198 embedded in the main body of the support member 185. In one or more implementations, the fluid channel 198 is in fluid communication with a heat transfer tube 199 disposed through the shaft 187 of the support assembly 180. The fluid channel 198 is positioned around the support member 185 to provide uniform heat transfer to the substrate receiving surface of the support member 185. The fluid channel 198 and the heat transfer pipe 199 can flow a heat transfer fluid to heat or cool the support member 185. Any suitable heat transfer fluid can be used, such as water, nitrogen, ethylene glycol, or mixtures thereof. The support assembly 180 may further include an embedded thermocouple (not shown) for monitoring the temperature of the support surface of the support member 185. For example, the signal from the thermocouple can be used in a feedback loop to control the temperature or flow rate of the fluid circulating through the fluid channel 198.

支撐構件185可以在腔室主體112內豎直移動,從而可以控制支撐構件185和蓋組件140之間的距離。感測器(未圖示)可以提供關於支撐構件185在處理腔室100內的位置的資訊。The support member 185 can move vertically within the chamber body 112, so that the distance between the support member 185 and the cover assembly 140 can be controlled. A sensor (not shown) can provide information about the position of the support member 185 in the processing chamber 100.

系統控制器(未圖示)可用於調節處理腔室100的操作。系統控制器可以在儲存在電腦的記憶體中的電腦程式的控制下進行操作。電腦程式可包括使得能夠在處理腔室100中實行以下描述的預清潔處理的指令。例如,電腦程式可以規定處理順序和時間、氣體的混合、腔室壓力、RF功率等級、基座定位、狹縫閥的開啟和關閉、晶圓冷卻和特定處理的其他參數。A system controller (not shown) can be used to adjust the operation of the processing chamber 100. The system controller can operate under the control of a computer program stored in the computer's memory. The computer program may include instructions that enable the pre-cleaning process described below to be performed in the processing chamber 100. For example, a computer program can specify processing sequence and time, gas mixing, chamber pressure, RF power level, susceptor positioning, slit valve opening and closing, wafer cooling, and other parameters for specific processing.

第2圖是原子層沉積(atomic layer deposition,ALD)處理腔室200的一個實施例的示意性截面圖。ALD處理腔室200包括氣體輸送設備230,該氣體輸送設備230適於諸如ALD或化學氣相沉積(CVD)的循環沉積。本文所用的術語ALD和CVD是指反應物的依序引入以在基板結構上沉積薄層。可以重複反應物的依序引入以沉積複數個薄層,以形成具有所需厚度的共形層。腔室200亦可適用於其他沉積技術與微影處理。FIG. 2 is a schematic cross-sectional view of an embodiment of an atomic layer deposition (ALD) processing chamber 200. The ALD processing chamber 200 includes a gas delivery device 230 suitable for cyclic deposition such as ALD or chemical vapor deposition (CVD). The terms ALD and CVD as used herein refer to the sequential introduction of reactants to deposit thin layers on a substrate structure. The sequential introduction of reactants can be repeated to deposit a plurality of thin layers to form a conformal layer with a desired thickness. The chamber 200 is also suitable for other deposition techniques and lithography processing.

腔室200包括具有底部232的腔室主體229。穿過腔室主體229形成的狹縫閥通道233為機器人(未圖示)提供了從腔室200傳送和取回基板201(例如200 mm、300mm、或450mm的半導體基板或玻璃基板)的進入通道。The chamber 200 includes a chamber body 229 having a bottom 232. The slit valve channel 233 formed through the chamber body 229 provides access for the robot (not shown) to transfer and retrieve the substrate 201 (such as 200 mm, 300 mm, or 450 mm semiconductor substrate or glass substrate) from the chamber 200 aisle.

基板支撐件292設置在腔室200中並且在處理期間支撐基板201。基板支撐件292安裝到升降機214,以升高和降低基板支撐件292和佈置在其上的基板201。升降板216連接到控制升降板216的高度的升降板致動器218。升降板216可以升高和降低,以升高和降低可移動地設置穿過基板支撐件292的銷220。銷220用於在基板支撐件292的表面上方升高和降低基板201。基板支撐件292可包括用於在處理期間將基板201固定到基板支撐件292的表面的真空吸盤、靜電吸盤、或夾緊環。The substrate support 292 is provided in the chamber 200 and supports the substrate 201 during processing. The substrate support 292 is installed to the elevator 214 to raise and lower the substrate support 292 and the substrate 201 arranged thereon. The lifting plate 216 is connected to a lifting plate actuator 218 that controls the height of the lifting plate 216. The lifting plate 216 can be raised and lowered to raise and lower the pin 220 movably provided through the substrate support 292. The pins 220 are used to raise and lower the substrate 201 above the surface of the substrate support 292. The substrate support 292 may include a vacuum chuck, an electrostatic chuck, or a clamping ring for fixing the substrate 201 to the surface of the substrate support 292 during processing.

可以加熱基板支撐件292以加熱設置在其上的基板201。例如,可以使用諸如電阻加熱器之類的嵌入式加熱元件來加熱基板支撐件292,或者可以使用諸如設置在基板支撐件292上方的加熱燈之類的輻射熱來加熱基板支撐件292。淨化環222可設置在基板支撐件292上以界定淨化通道224,淨化通道224向基板201的周邊部分提供淨化氣體以防止在其上沉積。The substrate support 292 may be heated to heat the substrate 201 disposed thereon. For example, an embedded heating element such as a resistance heater may be used to heat the substrate support 292, or radiant heat such as a heating lamp provided above the substrate support 292 may be used to heat the substrate support 292. The purge ring 222 may be disposed on the substrate support 292 to define a purge channel 224, and the purge channel 224 provides a purge gas to the peripheral portion of the substrate 201 to prevent deposition thereon.

氣體輸送設備230設置在腔室主體229的上部,以向腔室200提供諸如處理氣體和/或淨化氣體的氣體。泵系統278與泵通道279連通,以從腔室200中排出任何所需的氣體,並幫助在腔室200的泵區域166內維持所需的壓力或所需的壓力範圍。The gas delivery device 230 is provided at the upper portion of the chamber main body 229 to provide the chamber 200 with a gas such as a processing gas and/or a purge gas. The pump system 278 communicates with the pump channel 279 to exhaust any required gas from the chamber 200 and help maintain the required pressure or the required pressure range in the pump area 166 of the chamber 200.

在一實施例中,氣體輸送設備230包括腔室蓋232。腔室蓋232包括從腔室蓋232的中央部分延伸的擴展通道237和從擴展通道237延伸到腔室蓋232的周邊部分的底表面260。底表面260的尺寸和形狀設置為基本上覆蓋設置在基板支撐件292上的基板201。腔室蓋232可在腔室蓋232的與基板201的周邊相鄰的周邊部分處具有扼流圈262。蓋部272包括擴展通道237的一部分和氣體入口236A、236B。擴展通道237具有氣體入口236A、236B,以提供來自兩個相似的閥242A、242B的氣流。來自閥242A、242B的氣流可以一起和/或分開地提供。In an embodiment, the gas delivery device 230 includes a chamber cover 232. The chamber cover 232 includes an expansion channel 237 extending from a central portion of the chamber cover 232 and a bottom surface 260 extending from the expansion channel 237 to a peripheral portion of the chamber cover 232. The size and shape of the bottom surface 260 are set to substantially cover the substrate 201 provided on the substrate support 292. The chamber cover 232 may have a choke coil 262 at a peripheral portion of the chamber cover 232 adjacent to the periphery of the substrate 201. The cover 272 includes a part of the expansion channel 237 and gas inlets 236A and 236B. The expansion channel 237 has gas inlets 236A, 236B to provide gas flow from two similar valves 242A, 242B. The air flows from the valves 242A, 242B may be provided together and/or separately.

在一個配置中,閥242A和閥242B耦接至分離的反應物氣體源,但是耦接至相同的淨化氣體源。例如,閥242A耦接至反應物氣體源238,並且閥242B耦接至反應物氣體源239,該兩個閥242A、242B均耦接至淨化氣體源240。每個閥242A、242B包括具有閥座組件244A、244B的輸送管線243A、243B,並且包括具有閥座組件246A、246B的淨化管線245A、245B。輸送管線243A、243B與反應物氣體源238、239連通,並且與擴展通道290的氣體入口237A、237B連通。輸送管線243A、243B的閥座組件244A、244B控制反應物氣體從反應物氣體源238、239到擴展通道290的流動。淨化管線245A,245B與淨化氣體源240連通並且在輸送管線243A、243B的閥座組件244A、244B的下游與輸送管線243A、243B相交。淨化管線245A、245B的閥座組件246A,246B控制淨化氣體從淨化氣體源240到輸送管線243A、243B的流動。若使用載體氣體從反應物氣體源238、239輸送反應物氣體,則可以將相同的氣體用作為載體氣體和淨化氣體(亦即,可以將氬氣同時用作為載體氣體和淨化氣體)。In one configuration, valve 242A and valve 242B are coupled to separate reactant gas sources, but to the same purge gas source. For example, the valve 242A is coupled to the reactant gas source 238, and the valve 242B is coupled to the reactant gas source 239, and the two valves 242A and 242B are both coupled to the purge gas source 240. Each valve 242A, 242B includes a delivery line 243A, 243B with a valve seat assembly 244A, 244B, and includes a purge line 245A, 245B with a valve seat assembly 246A, 246B. The delivery lines 243A and 243B are in communication with the reactant gas sources 238 and 239 and are in communication with the gas inlets 237A and 237B of the expansion channel 290. The valve seat assemblies 244A, 244B of the delivery lines 243A, 243B control the flow of the reactant gas from the reactant gas sources 238, 239 to the expansion channel 290. The purge lines 245A, 245B communicate with the purge gas source 240 and intersect the delivery lines 243A, 243B downstream of the valve seat assemblies 244A, 244B of the delivery lines 243A, 243B. The valve seat assemblies 246A, 246B of the purification pipelines 245A, 245B control the flow of the purified gas from the purified gas source 240 to the delivery pipelines 243A, 243B. If the carrier gas is used to transport the reactant gas from the reactant gas sources 238 and 239, the same gas can be used as the carrier gas and the purge gas (that is, the argon gas can be used as the carrier gas and the purge gas at the same time).

每個閥242A、242B可以是零失效容體閥(zero dead volume valve),以在當閥的閥座組件244A、244B關閉時能夠從輸送管線243A、243B沖洗反應物氣體。例如,淨化管線245A、245B可定位成鄰近輸送管線243A、243B的閥座組件244A、244B。當閥座組件244A、244B關閉時,淨化管線245A、245B可以提供淨化氣體以沖洗輸送管線243A、243B。在所示的實施例中,淨化管線245A、245B被定位成與輸送管線243A、243B的閥座組件244A、244B略微間隔開,使得淨化氣體在開啟時不被直接輸送到閥座組件244A、244B中。如本文所使用的零失效容體閥(zero dead volume valve)被定義為具有可忽略的失效容體(dead volume)(亦即,不必然是零失效容體)的閥。每個閥242A、242B可適於提供來自源238、239的反應物氣體和來自源240的淨化氣體的組合氣流和/或分離氣流。可以藉由打開和關閉淨化管線245A的閥座組件246A的隔膜(diaphragm)來提供淨化氣體的脈衝。來自反應物氣體源238的反應物氣體的脈衝可以藉由打開和關閉輸送管線243A的閥座組件244A來提供。Each valve 242A, 242B may be a zero dead volume valve to be able to flush reactant gas from the delivery line 243A, 243B when the valve seat assembly 244A, 244B of the valve is closed. For example, the purge lines 245A, 245B may be positioned adjacent to the valve seat assemblies 244A, 244B of the transfer lines 243A, 243B. When the valve seat assemblies 244A, 244B are closed, the purge lines 245A, 245B can provide purge gas to flush the delivery lines 243A, 243B. In the illustrated embodiment, the purge lines 245A, 245B are positioned slightly spaced apart from the valve seat assemblies 244A, 244B of the delivery lines 243A, 243B, so that the purge gas is not directly delivered to the valve seat assemblies 244A, 244B when opened in. A zero dead volume valve as used herein is defined as a valve with a negligible dead volume (that is, not necessarily a zero dead volume). Each valve 242A, 242B may be adapted to provide a combined gas flow and/or separate gas flow of reactant gas from sources 238, 239 and purge gas from source 240. The pulse of purge gas can be provided by opening and closing the diaphragm of the valve seat assembly 246A of the purge line 245A. The pulse of reactant gas from the reactant gas source 238 may be provided by opening and closing the valve seat assembly 244A of the delivery line 243A.

控制單元280可以耦接至腔室200以控制處理條件。控制單元280包括中央處理單元(CPU)282,支持電路284、和包含相關控制軟體283的記憶體186。控制單元280可以是可以在工業設置中用於控制各種腔室和子處理器的任何形式的通用電腦處理器之一。CPU 282可以使用任何合適的記憶體186,例如隨機存取記憶體,唯讀記憶體、軟碟驅動、光碟驅動、硬碟、或任何其他形式的本地或遠端數位儲存裝置。各種支撐電路可以耦接到CPU 282以支撐腔室200。控制單元280可以耦接到位於單獨的腔室部件附近的另一個控制器,例如閥242A、242B的可程式邏輯控制器248A、248B。控制單元280與腔室200的各個其他部件之間的雙向通訊經由統稱為信號匯流排288的大量信號電纜進行處理,其中一些信號電纜如第2圖所示。除了控制來自氣體源238、239、240和來自閥242A、242B的可程式邏輯控制器248A、248B的處理氣體和淨化氣體外,控制單元280亦可配置以負責在基板處理中使用的其他活動的自動控制,例如基板傳輸、溫度控制、腔室排空等,其中一些活動在本文其他地方進行了描述。The control unit 280 may be coupled to the chamber 200 to control processing conditions. The control unit 280 includes a central processing unit (CPU) 282, a support circuit 284, and a memory 186 containing related control software 283. The control unit 280 may be one of any form of general-purpose computer processors that can be used to control various chambers and sub-processors in an industrial setting. The CPU 282 can use any suitable memory 186, such as random access memory, read-only memory, floppy disk drive, optical disk drive, hard disk, or any other form of local or remote digital storage device. Various supporting circuits may be coupled to the CPU 282 to support the chamber 200. The control unit 280 may be coupled to another controller located near a separate chamber component, such as a programmable logic controller 248A, 248B of the valves 242A, 242B. The two-way communication between the control unit 280 and various other components of the chamber 200 is processed through a large number of signal cables collectively referred to as signal bus 288, some of which are shown in FIG. 2. In addition to controlling the processing gas and purge gas from the gas sources 238, 239, 240 and the programmable logic controllers 248A, 248B from the valves 242A, 242B, the control unit 280 can also be configured to be responsible for other activities used in substrate processing. Automatic control, such as substrate transfer, temperature control, chamber emptying, etc. Some of these activities are described elsewhere in this article.

第3圖是適於實行電漿沉積處理(例如,電漿增強CVD或金屬有機CVD)的處理腔室300的橫截面圖,該電漿沉積處理可以利用於半導體元件製造的半導體互連結構。處理腔室300可以是可從加州聖塔克拉拉的應用材料公司獲得的適當調適的,CENTURA®、PRODUCER® SE或PRODUCER® GT或PRODUCER® XP處理系統。可以想到,其他處理系統,包括由其他製造商生產的那些處理系統,可以從本文描述的實施例中受益。FIG. 3 is a cross-sectional view of a processing chamber 300 suitable for performing a plasma deposition process (for example, plasma enhanced CVD or metal organic CVD), which may be used in semiconductor interconnect structures for semiconductor device manufacturing. The processing chamber 300 may be a suitably adapted, CENTURA®, PRODUCER® SE or PRODUCER® GT or PRODUCER® XP processing system available from Applied Materials, Inc. of Santa Clara, California. It is conceivable that other processing systems, including those produced by other manufacturers, can benefit from the embodiments described herein.

處理腔室300包括腔室主體351。腔室主體351包括界定內部容積326的蓋325、側壁303、和底壁322。The processing chamber 300 includes a chamber main body 351. The chamber body 351 includes a cover 325 defining an internal volume 326, a side wall 303, and a bottom wall 322.

在腔室主體351的內部容積326中設有基板支撐底座350。底座350可由鋁、陶瓷、氮化鋁、和其他合適的材料製成。在一個實施例中,底座350由諸如氮化鋁的陶瓷材料製成,該陶瓷材料是適合在高溫環境(例如電漿處理環境)中使用的材料,而不會引起底座350的熱損壞。可以使用升降機構(未圖示)在腔室主體351內以垂直方向移動底座350。A substrate support base 350 is provided in the internal volume 326 of the chamber body 351. The base 350 may be made of aluminum, ceramic, aluminum nitride, and other suitable materials. In one embodiment, the base 350 is made of a ceramic material such as aluminum nitride, which is a material suitable for use in a high temperature environment (such as a plasma processing environment) without causing thermal damage to the base 350. A lifting mechanism (not shown) may be used to move the base 350 in the vertical direction in the chamber main body 351.

底座350可包括適合於控制支撐在底座350上的基板301的溫度的嵌入式加熱器元件370。在一實施例中,可藉由從電源306向加熱器元件370施加電流來電阻式地加熱底座350。在一個實施例中,加熱器元件370可以由封裝在鎳鐵鉻合金(例如,INCOLOY® )護套管中的鎳鉻絲製成。從電源306供應的電流由控制器310調節以控制由加熱器元件370產生的熱,從而在任何合適的溫度範圍內在膜沉積期間將基板301和底座350保持在基本恆定的溫度。在另一實施例中,可以根據需要將底座保持在室溫下。在又另一實施例中,底座350亦可根據需要包括冷卻器(未圖示),以將底座350冷卻至比所需的室溫低的範圍。可以調節所提供的電流以選擇性地將底座350的溫度控制在大約攝氏20度至大約攝氏700度之間。The base 350 may include an embedded heater element 370 suitable for controlling the temperature of the substrate 301 supported on the base 350. In one embodiment, the base 350 can be resistively heated by applying current from the power supply 306 to the heater element 370. In one embodiment, the heater element 370 may be made of nickel-chromium wire encapsulated in a nickel-iron-chromium alloy (for example, INCOLOY ® ) jacket tube. The current supplied from the power supply 306 is adjusted by the controller 310 to control the heat generated by the heater element 370 to maintain the substrate 301 and the base 350 at a substantially constant temperature during film deposition in any suitable temperature range. In another embodiment, the base can be kept at room temperature as needed. In yet another embodiment, the base 350 may also include a cooler (not shown) as required to cool the base 350 to a range lower than the required room temperature. The supplied current can be adjusted to selectively control the temperature of the base 350 between about 20 degrees Celsius to about 700 degrees Celsius.

諸如熱電偶之類的溫度感測器372可以被嵌入在基板支撐底座350中,而以常規方式監控底座350的溫度。控制器310使用所測得的溫度來控制提供給加熱器元件370的功率以將基板保持在期望的溫度。A temperature sensor 372 such as a thermocouple may be embedded in the substrate support base 350, and the temperature of the base 350 may be monitored in a conventional manner. The controller 310 uses the measured temperature to control the power supplied to the heater element 370 to maintain the substrate at a desired temperature.

底座350通常包括穿過其中佈置的複數個升降銷(未圖示),該複數個升降銷被配置成以常規方式從底座350提升基板301並且便於以機器人(未圖示)交換基板301。The base 350 generally includes a plurality of lift pins (not shown) arranged therethrough, and the plurality of lift pins are configured to lift the substrate 301 from the base 350 in a conventional manner and facilitate the exchange of the substrate 301 with a robot (not shown).

底座350包括至少一個電極392,用於將基板301保持在底座350上。電極392由夾持電源308驅動以產生靜電力,該靜電力將基板301保持在底座表面上,如常規所知。替代地,可以藉由夾緊、真空、或重力將基板301保持於底座350。The base 350 includes at least one electrode 392 for holding the substrate 301 on the base 350. The electrode 392 is driven by the clamping power supply 308 to generate electrostatic force, which holds the substrate 301 on the surface of the base, as conventionally known. Alternatively, the substrate 301 can be held on the base 350 by clamping, vacuum, or gravity.

在一個實施例中,底座350被配置為陰極,該陰極嵌入有電極392,該電極392耦接到至少一個RF偏壓電源,在第3圖中被示為兩個RF偏壓電源384、386。儘管第3圖中描繪的實例圖示了兩個RF偏壓電源384、386,然而應注意,RF偏壓電源的數量可以根據需要為任意數量。Rf偏壓電源384、386耦接在設置在底座350中的電極392與另一電極之間,例如處理腔室300的氣體分配板342或蓋325。RF偏壓電源384、386激發並維持由佈置在處理腔室300的處理區域中的氣體形成的電漿放電。In one embodiment, the base 350 is configured as a cathode with an electrode 392 embedded therein, the electrode 392 is coupled to at least one RF bias power supply, shown in Figure 3 as two RF bias power supplies 384, 386 . Although the example depicted in Figure 3 illustrates two RF bias power supplies 384, 386, it should be noted that the number of RF bias power supplies can be any number as needed. The Rf bias power supplies 384 and 386 are coupled between the electrode 392 provided in the base 350 and another electrode, such as the gas distribution plate 342 or the cover 325 of the processing chamber 300. The RF bias power supplies 384, 386 excite and maintain a plasma discharge formed by the gas arranged in the processing area of the processing chamber 300.

在第3圖所示的實施例中,雙RF偏壓電源384、386經由匹配電路304耦接到設置在底座350上的電極392。由RF偏壓電源384、386產生的信號經由匹配電路304傳遞到底座350經由單次饋送以離子化設置在處理腔室300中的氣體混合物,從而提供實行沉積或其他電漿增強的處理所需的離子能量。Rf偏壓電源384、386通常能夠產生具有從大約50 kHz到大約200 Mhz的頻率以及在大約0 瓦到大約5000 瓦之間的功率的RF信號。In the embodiment shown in FIG. 3, the dual RF bias power supplies 384 and 386 are coupled to the electrode 392 provided on the base 350 via the matching circuit 304. The signals generated by the RF bias power supplies 384 and 386 are transmitted to the base 350 via the matching circuit 304 to ionize the gas mixture provided in the processing chamber 300 via a single feed, thereby providing the necessary processing for performing deposition or other plasma enhancement Ion energy. Rf bias power supplies 384, 386 are generally capable of generating RF signals having a frequency from about 50 kHz to about 200 Mhz and a power between about 0 watts and about 5000 watts.

應注意,在本文描繪的一個實例中,僅在當根據需要在處理腔室300中實行清潔處理時才啟動電漿。It should be noted that in one example depicted herein, the plasma is only activated when the cleaning process is performed in the processing chamber 300 as needed.

真空泵302耦接至形成在腔室主體351的底部322中的端口。真空泵302用於在腔室主體351中維持期望的氣壓。真空泵302亦從腔室主體351排出後處理氣體和該處理的副產物。The vacuum pump 302 is coupled to a port formed in the bottom 322 of the chamber body 351. The vacuum pump 302 is used to maintain a desired air pressure in the chamber main body 351. The vacuum pump 302 also discharges the post-processing gas and the by-products of the processing from the chamber body 351.

處理腔室300包括一或多個經由處理腔室300的蓋325耦接的氣體輸送通道344。氣體輸送通道344和真空泵302位於處理腔室300的相對兩端,以在內部容積326內引起層流(laminar flow),以最小化顆粒污染。The processing chamber 300 includes one or more gas delivery channels 344 coupled via a cover 325 of the processing chamber 300. The gas delivery channel 344 and the vacuum pump 302 are located at opposite ends of the processing chamber 300 to induce laminar flow in the internal volume 326 to minimize particle contamination.

氣體輸送通道344經由遠端電漿源(remote plasma source,RPS)348耦接到氣體面板393,以將氣體混合物提供到內部容積326中。在一個實施例中,經由氣體輸送通道344供應的氣體混合物可以進一步經由佈置在氣體輸送通道344下方的氣體分配板342輸送。在一個實例中,具有複數個孔343的氣體分配板342在底座350上方耦接至腔室主體351的蓋325。氣體分配板342的孔343用於將來自氣體面板393的處理氣體引入腔室主體351中。孔343可具有不同的尺寸、數量、分佈、形狀、設計、和直徑,以促進各種處理氣體針對不同處理要求的流動。電漿由離開氣體分配板342的處理氣體混合物形成,以增強處理氣體的熱分解,從而導致材料沉積在基板301的表面391上。The gas delivery channel 344 is coupled to the gas panel 393 via a remote plasma source (RPS) 348 to provide the gas mixture into the internal volume 326. In one embodiment, the gas mixture supplied via the gas delivery channel 344 may be further delivered via the gas distribution plate 342 arranged below the gas delivery channel 344. In one example, a gas distribution plate 342 having a plurality of holes 343 is coupled to the cover 325 of the chamber body 351 above the base 350. The hole 343 of the gas distribution plate 342 is used to introduce the processing gas from the gas panel 393 into the chamber body 351. The holes 343 may have different sizes, numbers, distributions, shapes, designs, and diameters to promote the flow of various processing gases for different processing requirements. The plasma is formed by the process gas mixture leaving the gas distribution plate 342 to enhance the thermal decomposition of the process gas, thereby causing material to be deposited on the surface 391 of the substrate 301.

氣體分配板342和基板支撐底座350可以在內部容積326中形成一對間隔開的電極。一或多個RF源347經由匹配網路345向氣體分配板342提供偏壓電位,以促進在氣體分配板342和底座350之間產生電漿。替代地,RF源347和匹配網路345可以耦接到氣體分佈板342、基板支撐底座350、或者耦接到氣體分佈板342和基板支撐底座350兩者,或者耦接到設置在腔室主體351的外部的天線(未圖示)。在一個實施例中,RF源347可在約30 kHz至約13.6 Mhz的頻率下提供約10瓦至約3000瓦之間。替代地,RF源347可以是微波產生器,其向氣體分配板342提供微波功率,該微波功率有助於內部容積326中的電漿的產生。The gas distribution plate 342 and the substrate support base 350 may form a pair of spaced apart electrodes in the internal volume 326. One or more RF sources 347 provide a bias potential to the gas distribution plate 342 via the matching network 345 to promote the generation of plasma between the gas distribution plate 342 and the base 350. Alternatively, the RF source 347 and the matching network 345 may be coupled to the gas distribution plate 342, the substrate supporting base 350, or to both the gas distribution plate 342 and the substrate supporting base 350, or to be coupled to the chamber body. 351 external antenna (not shown). In one embodiment, the RF source 347 may provide between about 10 watts and about 3000 watts at a frequency of about 30 kHz to about 13.6 Mhz. Alternatively, the RF source 347 may be a microwave generator, which provides microwave power to the gas distribution plate 342 that contributes to the generation of plasma in the internal volume 326.

在一個實施例中,遠端電漿源(remote plasma source,RPS)348可以替代地耦接到氣體輸送通道344,以幫助從氣體面板393供應到內部容積326中的氣體形成電漿。遠端電漿源348將由氣體面板393提供的氣體混合物形成的電漿提供給處理腔室300。In one embodiment, a remote plasma source (RPS) 348 may alternatively be coupled to the gas delivery channel 344 to help the gas supplied from the gas panel 393 into the internal volume 326 to form plasma. The remote plasma source 348 provides plasma formed by the gas mixture provided by the gas panel 393 to the processing chamber 300.

控制器310包括中央處理單元(CPU)312、記憶體316、和支持電路314,其用於控制處理順序並調節來自氣體面板393的氣流。CPU 312可以是可以在工業設置中使用的任何形式的通用電腦處理器。軟體常式可以儲存在記憶體316中,記憶體例如隨機存取記憶體、唯讀記憶體、軟碟或硬碟驅動、或其他形式的數位儲存裝置。支持電路314依習知耦接到CPU 312,並且可以包括快取、時鐘電路、輸入/輸出系統、電源等。控制器310與處理腔室300的各個部件之間的雙向通訊經由統稱為信號匯流排318的大量信號電纜進行處理,其中一些信號電纜如第3圖所示。The controller 310 includes a central processing unit (CPU) 312, a memory 316, and a support circuit 314, which is used to control the processing sequence and adjust the air flow from the gas panel 393. The CPU 312 may be any form of general-purpose computer processor that can be used in an industrial setting. The software routines can be stored in the memory 316, such as random access memory, read-only memory, floppy disk or hard disk drive, or other forms of digital storage devices. The support circuit 314 is conventionally coupled to the CPU 312, and may include a cache, a clock circuit, an input/output system, a power supply, and so on. The two-way communication between the controller 310 and the various components of the processing chamber 300 is processed through a large number of signal cables collectively referred to as the signal bus 318, some of which are shown in FIG. 3.

第4圖是根據本揭示案的一個實施例的處理腔室400的示意性截面圖。處理腔室400可用於處理一或多個基板,包括在基板的上表面(例如,第4圖中描繪的基板408的上表面416)上沉積材料。處理腔室400包括連接至上圓頂428和下圓頂414的腔室主體401。在一個實施例中,上圓頂428可以由諸如不銹鋼、鋁、或包括石英的陶瓷製成,該石英包括氣泡石英(bubble quartz)(例如,具有流體包裹體的石英)、氧化鋁、氧化釔、或藍寶石。上圓頂428亦可以由塗覆的金屬或陶瓷形成。下圓頂414可以由諸如石英的光學透明或半透明材料形成。下圓頂414耦接到腔室主體401,或成為腔室主體401的整體部分。腔室主體401可包括支撐上圓頂428的基底板(base plate)460。Figure 4 is a schematic cross-sectional view of a processing chamber 400 according to an embodiment of the present disclosure. The processing chamber 400 may be used to process one or more substrates, including depositing materials on the upper surface of the substrate (eg, the upper surface 416 of the substrate 408 depicted in Figure 4). The processing chamber 400 includes a chamber body 401 connected to an upper dome 428 and a lower dome 414. In one embodiment, the upper dome 428 may be made of ceramics such as stainless steel, aluminum, or quartz including bubble quartz (for example, quartz with fluid inclusions), alumina, yttrium oxide , Or sapphire. The upper dome 428 may also be formed of coated metal or ceramic. The lower dome 414 may be formed of an optically transparent or translucent material such as quartz. The lower dome 414 is coupled to the chamber main body 401 or becomes an integral part of the chamber main body 401. The chamber main body 401 may include a base plate 460 supporting the upper dome 428.

輻射加熱燈402的陣列設置在下圓頂414下方,用於加熱設置在處理腔室400內的基板支撐件407的背面404及其他部件。在沉積期間,可將基板408帶入處理腔室400中並經由裝載端口403定位在基板支撐件407上。燈402適於將基板408加熱到預定溫度,以促進供應到處理腔室中的處理氣體的熱分解,以將材料沉積到基板408的上表面416上。燈402可以適於將基板408加熱到大約攝氏300度到大約攝氏1200度的溫度,諸如大約攝氏300度到大約攝氏950度。The array of radiant heating lamps 402 is arranged under the lower dome 414 for heating the back surface 404 and other components of the substrate support 407 arranged in the processing chamber 400. During deposition, the substrate 408 may be brought into the processing chamber 400 and positioned on the substrate support 407 via the load port 403. The lamp 402 is adapted to heat the substrate 408 to a predetermined temperature to promote thermal decomposition of the processing gas supplied into the processing chamber to deposit the material on the upper surface 416 of the substrate 408. The lamp 402 may be adapted to heat the substrate 408 to a temperature of about 300 degrees Celsius to about 1200 degrees Celsius, such as about 300 degrees Celsius to about 950 degrees Celsius.

燈402可以包括燈泡441,燈泡441被選擇性的反射器443圍繞,選擇性的反射器443設置在下圓頂414附近且在下圓頂414下方,以在處理氣體穿過其上方時加熱基板408,以促進材料沉積到基板408的上表面416上。燈402圍繞基板支撐件407的軸432以半徑增加的環形組來佈置。軸432由石英形成並且在其中包含中空部分或空腔,此減少了輻射能量在靠近基板408的中心的橫向位移,從而促進了基板408的均勻輻射。The lamp 402 may include a bulb 441 surrounded by a selective reflector 443, which is disposed near and below the lower dome 414 to heat the substrate 408 when the processing gas passes above it, This facilitates the deposition of materials on the upper surface 416 of the substrate 408. The lamps 402 are arranged in an annular group with an increased radius around the shaft 432 of the substrate support 407. The shaft 432 is formed of quartz and contains a hollow portion or cavity therein, which reduces the lateral displacement of the radiation energy near the center of the substrate 408, thereby promoting uniform radiation of the substrate 408.

在一個實施例中,每個燈402耦接到配電板(未圖示),經由配電板向每個燈402供電。燈402位於燈頭445內,燈頭445可以在處理期間或處理之後經由,例如,被引入到位於燈402之間的通道449中的冷卻流體而進行冷卻。燈頭445部分地由於燈頭445與下圓頂414的緊密接近而導熱地冷卻下圓頂414。燈頭445亦可冷卻燈壁和反射器443的壁。若需要,燈頭445可以與下圓頂414接觸。In one embodiment, each light 402 is coupled to a power distribution board (not shown), and power is supplied to each light 402 via the power distribution board. The lamp 402 is located in the lamp cap 445, and the lamp cap 445 may be cooled by, for example, a cooling fluid introduced into the passage 449 between the lamps 402 during or after the treatment. The base 445 thermally cools the lower dome 414 due in part to the close proximity of the base 445 and the lower dome 414. The lamp holder 445 can also cool the lamp wall and the wall of the reflector 443. If necessary, the lamp cap 445 may contact the lower dome 414.

基板支撐件407可以由致動器(未圖示)垂直地移動到處理位置下方的裝載位置,以允許升降銷405接觸下圓頂414。升降銷405穿過基板支撐件407中的孔411,並使基板108從基板支撐件407上升。機器人(未圖示)可接著進入處理腔室400,以經由裝載端口403接合基板408及從中移出基板408。將新的基板放置在基板支撐件407上,接著可以將其升高到處理位置以放置基板408,使上表面416與基板支撐件407的前側410接觸,其中上表面上形成的大部分元件面朝上。The substrate support 407 can be vertically moved by an actuator (not shown) to a loading position below the processing position to allow the lift pins 405 to contact the lower dome 414. The lift pin 405 passes through the hole 411 in the substrate support 407 and lifts the substrate 108 from the substrate support 407. A robot (not shown) may then enter the processing chamber 400 to bond and remove the substrate 408 from the load port 403. The new substrate is placed on the substrate support 407, and then it can be raised to the processing position to place the substrate 408 so that the upper surface 416 is in contact with the front side 410 of the substrate support 407, and most of the element surface formed on the upper surface Face up.

設置在處理腔室400中的基板支撐件407將處理腔室400的內部容積劃分為處理氣體區域456(在基板支撐件407的前側410上方)和淨化氣體區域458(在基板支撐件407下方)。基板支撐件407在處理期間由中心軸432旋轉,以最小化處理腔室400內的熱和處理氣流空間不均勻性的影響,並因此促進基板408的均勻處理。基板支撐件407由中心軸432支撐,中心軸432在裝載和卸載期間以及在某些情況下在基板408的處理期間在上下方向434上移動基板408。基板支撐件407可由具有低熱質量(thermal mass)或低熱容(heat capacity)的材料形成,從而使基板支撐件407吸收和發射的能量最小化。The substrate support 407 provided in the processing chamber 400 divides the internal volume of the processing chamber 400 into a processing gas area 456 (above the front side 410 of the substrate support 407) and a purge gas area 458 (below the substrate support 407) . The substrate support 407 is rotated by the central axis 432 during processing to minimize the influence of the heat in the processing chamber 400 and the spatial non-uniformity of the processing airflow, and thus promote uniform processing of the substrate 408. The substrate support 407 is supported by a central shaft 432 that moves the substrate 408 in the up and down direction 434 during loading and unloading and in some cases during processing of the substrate 408. The substrate support 407 may be formed of a material having a low thermal mass or low heat capacity, thereby minimizing the energy absorbed and emitted by the substrate support 407.

在一個實施例中,上圓頂428和下圓頂414由諸如石英的光學透明或半透明材料形成。上圓頂428和下圓頂414是薄的,以最小化熱記憶(thermal memory)。在一個實施例中,上圓頂428和下圓頂414可具有約 3mm至約10 mm之間的厚度,例如約4mm。可以藉由將諸如冷卻氣體的熱控制流體經由入口門426引入熱控制空間436並經由出口門430抽離熱控制流體來對上圓頂428進行熱控制。在一些實施例中,循環穿過熱控制空間436的冷卻流體可以減少在上圓頂428的內表面上的沉積。In one embodiment, the upper dome 428 and the lower dome 414 are formed of an optically transparent or translucent material such as quartz. The upper dome 428 and the lower dome 414 are thin to minimize thermal memory. In one embodiment, the upper dome 428 and the lower dome 414 may have a thickness between about 3 mm and about 10 mm, for example, about 4 mm. The upper dome 428 can be thermally controlled by introducing a thermal control fluid such as cooling gas into the thermal control space 436 through the inlet door 426 and drawing away the thermal control fluid through the outlet door 430. In some embodiments, the cooling fluid circulating through the thermal control space 436 can reduce deposition on the inner surface of the upper dome 428.

襯墊組件462可設置在腔室主體401內,並被基底板460的內周包圍。在一個實施例中,襯墊組件462可以由光學透明或半透明的材料製成,例如玻璃、石英,包括氣泡石英(bubble quartz)(例如,具有流體包裹體的石英)、藍寶石、不透明石英等。替代地,襯墊組件462可以由金屬材料製成,例如含鋁的材料,若該材料受保護不被腐蝕。The gasket assembly 462 may be disposed in the chamber body 401 and surrounded by the inner periphery of the base plate 460. In one embodiment, the gasket assembly 462 may be made of optically transparent or translucent materials, such as glass, quartz, including bubble quartz (for example, quartz with fluid inclusions), sapphire, opaque quartz, etc. . Alternatively, the gasket assembly 462 may be made of a metal material, such as an aluminum-containing material, if the material is protected from corrosion.

光學高溫計418可以設置在上圓頂428上方的區域。光學高溫計418量測基板408的上表面416的溫度。在某些實施例中,可以使用多個高溫計,並且可以將其放置在上圓頂428上方的各個位置。反射器422可以任選地放置在上圓頂428的外部,以反射從基板108輻射或由基板108透射回來的紅外光回到基板408上。由於反射的紅外光,藉由包含原可能逸出處理腔室400的熱量,將可改善加熱效率。反射器422可以由諸如鋁或不銹鋼的金屬製成。反射器422可具有入口門426和出口門430,以攜帶諸如水的流體的流動以冷卻反射器422。若需要,可以藉由在反射器區域塗上高反射塗層(例如金塗層)來提高反射效率。The optical pyrometer 418 may be provided in the area above the upper dome 428. The optical pyrometer 418 measures the temperature of the upper surface 416 of the substrate 408. In some embodiments, multiple pyrometers can be used and can be placed in various positions above the upper dome 428. The reflector 422 may optionally be placed outside the upper dome 428 to reflect infrared light radiated from the substrate 108 or transmitted back by the substrate 108 back to the substrate 408. Due to the reflected infrared light, the heating efficiency can be improved by including heat that might have escaped from the processing chamber 400. The reflector 422 may be made of metal such as aluminum or stainless steel. The reflector 422 may have an entrance door 426 and an exit door 430 to carry the flow of fluid such as water to cool the reflector 422. If necessary, the reflection efficiency can be improved by applying a highly reflective coating (such as a gold coating) on the reflector area.

可以是高溫計或光導管(例如藍寶石光導管)的複數個熱輻射感測器440可以設置在燈頭445中,用於量測基板408的熱輻射。感測器440通常設置在燈頭445中的不同位置處,以便於在處理期間觀察(亦即,感測)基板408的不同位置。在使用光管的實施例中,感測器440可以設置在燈頭445下方的腔室主體401的一部分上。使用至少兩個感測器440,但是可以使用兩個以上的感測器。A plurality of thermal radiation sensors 440 which may be pyrometers or light pipes (for example, sapphire light pipes) may be provided in the lamp holder 445 for measuring the thermal radiation of the substrate 408. The sensors 440 are generally arranged at different positions in the lamp cap 445 to facilitate observation (ie, sensing) of different positions of the substrate 408 during processing. In an embodiment using a light pipe, the sensor 440 may be provided on a part of the chamber body 401 under the lamp cap 445. At least two sensors 440 are used, but more than two sensors can be used.

每個感測器440觀察基板408的區域並感測該區域的熱狀態。在一些實施例中,區域可以徑向地定向。例如,在旋轉基板408的實施例中,感測器440可以查看,或界定,基板408的中心部分中的中心區域,該中心區域具有與基板408的中心基本相同的中心,其中有一或多個區域圍繞中心區域並與其同心。區域不需要是同心的並且徑向地定向。在一些實施例中,區域可以以非徑向的方式佈置在基板408的不同位置。Each sensor 440 observes an area of the substrate 408 and senses the thermal state of the area. In some embodiments, the regions may be oriented radially. For example, in an embodiment of rotating the substrate 408, the sensor 440 can view, or define, a central area in the central portion of the substrate 408, the central area having a center substantially the same as the center of the substrate 408, of which one or more The area surrounds and is concentric with the central area. The areas need not be concentric and radially oriented. In some embodiments, the regions may be arranged at different positions on the substrate 408 in a non-radial manner.

從處理氣體供應源473供應的處理氣體經由形成在基底板460的側壁中的處理氣體入口端口475被引入處理氣體區域456。經由與其耦接的真空泵480,可以促進經由氣體出口端口478的處理氣體的移除。從淨化氣體源463供應的淨化氣體經由形成在基底板460的側壁中的淨化氣體入口端口464被引入到淨化氣體區域458。淨化氣體入口端口464佈置在處理氣體入口端口475下方的高度處。淨化氣體入口端口464配置以沿大致徑向向內的方向引導淨化氣體。若需要,淨化氣體入口端口464可以被配置成以向上的方向引導淨化氣體。在膜形成處理中,基板支撐件407位於使得淨化氣體沿著流動路徑461流過基板支撐件407的背側404的位置。不受任何特定理論的限制,淨化氣體的流動被認為是防止或基本上避免了處理氣體的流動進入淨化氣體區域458,或減少了進入淨化氣體區域458的處理氣體的擴散(亦即,基板支撐件407下方的區域)。淨化氣體離開淨化氣體區域458(沿著流動路徑466),並經由位於相對於淨化氣體入口端口464的處理腔室400的相對側上的氣體出口端口478從處理腔室中排出。The processing gas supplied from the processing gas supply source 473 is introduced into the processing gas area 456 via the processing gas inlet port 475 formed in the side wall of the base plate 460. Via the vacuum pump 480 coupled thereto, the removal of the processing gas via the gas outlet port 478 can be facilitated. The purge gas supplied from the purge gas source 463 is introduced into the purge gas area 458 via the purge gas inlet port 464 formed in the side wall of the base plate 460. The purge gas inlet port 464 is arranged at a height below the processing gas inlet port 475. The purge gas inlet port 464 is configured to guide the purge gas in a generally radially inward direction. If desired, the purge gas inlet port 464 may be configured to direct the purge gas in an upward direction. In the film formation process, the substrate support 407 is located at a position such that the purge gas flows through the back side 404 of the substrate support 407 along the flow path 461. Without being limited by any particular theory, the flow of purge gas is considered to prevent or substantially avoid the flow of processing gas into the purge gas region 458, or to reduce the diffusion of the processing gas into the purge gas region 458 (that is, the substrate support The area below piece 407). The purge gas leaves the purge gas region 458 (along the flow path 466) and exits the processing chamber via a gas outlet port 478 located on the opposite side of the processing chamber 400 from the purge gas inlet port 464.

在處理期間,控制器482從感測器440接收資料,並基於該資料分別調整傳遞到每個燈402或各別的燈群或燈區域的功率。控制器482可包括獨立地為各個燈402或燈區域供電的電源484。控制器482可被配置以在基板408上產生期望的溫度輪廓,並且基於比較從感測器440接收的資料,控制器482可調整對燈和/或燈區域的功率以使所觀察到的(亦即,感測到的)熱資料符合所需的溫度分佈,該熱資料指示基板的橫向溫度輪廓。控制器482亦可調整到燈和/或燈區域的功率,以使一個基板的熱處理與另一基板的熱處理相符合,以防止腔室效能隨時間漂移。During processing, the controller 482 receives data from the sensor 440 and adjusts the power delivered to each lamp 402 or individual lamp groups or lamp regions based on the data. The controller 482 may include a power source 484 that independently powers each light 402 or light area. The controller 482 can be configured to generate a desired temperature profile on the substrate 408, and based on comparing the data received from the sensor 440, the controller 482 can adjust the power to the lamp and/or the lamp area to make the observed ( That is, the sensed thermal data conforms to the required temperature distribution, and the thermal data indicates the lateral temperature profile of the substrate. The controller 482 can also adjust the power to the lamp and/or the lamp area so that the heat treatment of one substrate matches the heat treatment of the other substrate to prevent the chamber efficiency from drifting over time.

第5圖是實例性群集處理系統500的示意性頂視圖,該群集處理系統500包括併入且整合在其中的處理腔室100、200、300、400中的一或多個。在一個實施例中,群集處理系統500可以是可從位於加州聖塔克拉拉的應用材料公司購買的Centura®或Endura®整合處理系統。可設想到,其他處理系統(包括來自其他製造商的處理系統)可以適於由本揭示案中受益。Figure 5 is a schematic top view of an exemplary cluster processing system 500 that includes one or more of the processing chambers 100, 200, 300, 400 incorporated and integrated therein. In one embodiment, the cluster processing system 500 may be a Centura® or Endura® integrated processing system available from Applied Materials, Inc. in Santa Clara, California. It is envisaged that other processing systems (including processing systems from other manufacturers) may be adapted to benefit from this disclosure.

群集處理系統500包括真空密封的處理平台504、工廠介面502、和系統控制器544。平台504包括複數個處理腔室100、200、300、400和至少一個裝載鎖腔室522,該裝載鎖腔室522耦接到真空基板傳送腔室536。在第5圖中圖示了兩個裝載鎖腔室522。工廠介面502經由裝載鎖腔室522耦接到傳送腔室536。The cluster processing system 500 includes a vacuum-sealed processing platform 504, a factory interface 502, and a system controller 544. The platform 504 includes a plurality of processing chambers 100, 200, 300, 400 and at least one load lock chamber 522, which is coupled to the vacuum substrate transfer chamber 536. In FIG. 5, two load lock chambers 522 are shown. The factory interface 502 is coupled to the transfer chamber 536 via the load lock chamber 522.

在一實施例中,工廠介面502包括至少一個對接站508和至少一個工廠介面機器人514,以促進基板的傳送。對接站508被配置以接受一或多個前開式晶圓傳送盒(front opening unified pod,FOUP)。在第5圖的實施例中圖示了兩個FOUP 506A-506B。工廠介面機器人514具有佈置在機器人514的一端上的刀片516,該工廠介面機器人514被配置以將基板從工廠介面502轉移到處理平台504,以經由裝載鎖腔室522進行處理。任選地,一或多個計量站518可以連接到工廠介面502的端部526,以促進從FOUP 506A-506B量測基板。In one embodiment, the factory interface 502 includes at least one docking station 508 and at least one factory interface robot 514 to facilitate the transfer of substrates. The docking station 508 is configured to accept one or more front opening unified pods (FOUP). In the embodiment of Figure 5, two FOUPs 506A-506B are illustrated. The factory interface robot 514 has a blade 516 arranged on one end of the robot 514, which is configured to transfer substrates from the factory interface 502 to the processing platform 504 for processing via the load lock chamber 522. Optionally, one or more metering stations 518 can be connected to the end 526 of the factory interface 502 to facilitate the measurement of substrates from the FOUP 506A-506B.

每個裝載鎖腔室522具有耦接到工廠介面502的第一端口和耦接到傳送腔室536的第二端口。裝載鎖腔室522耦接到壓力控制系統(未圖示),該壓力控制系統抽空並使裝載鎖腔室522排氣以促進基板在傳送腔室536的真空環境和工廠介面502的環境的基本環境(例如,大氣)之間穿過。Each load lock chamber 522 has a first port coupled to the factory interface 502 and a second port coupled to the transfer chamber 536. The load lock chamber 522 is coupled to a pressure control system (not shown). The pressure control system evacuates and vents the load lock chamber 522 to promote the substrate in the vacuum environment of the transfer chamber 536 and the basic environment of the factory interface 502. Pass between environments (for example, atmosphere).

傳送腔室536具有設置在其中的真空機器人530。真空機器人530具有能夠在裝載鎖腔室522、計量系統510和處理腔室100、200、300、400之間轉移基板524的刀片534。The transfer chamber 536 has a vacuum robot 530 provided therein. The vacuum robot 530 has a blade 534 capable of transferring a substrate 524 between the load lock chamber 522, the metering system 510, and the processing chambers 100, 200, 300, 400.

在群集處理系統500的一個實施例中,群集處理系統500可以包括處理腔室100、200、300、400中的一或多個,其可以是沉積腔室(例如,物理氣相沉積腔室、化學氣相沉積,原子層沉積或其他沉積腔室)、退火腔室(例如,高壓退火腔室、RTP腔室、雷射退火腔室)、蝕刻腔室、清潔腔室、預清潔腔室、固化腔室、光刻曝光腔室、或其他類似類型的半導體處理腔室。在群集處理系統500的一些實施例中,處理腔室100、200、300、400、傳送腔室536、工廠介面502、和/或至少一個裝載鎖腔室522中的一個或多個。In an embodiment of the cluster processing system 500, the cluster processing system 500 may include one or more of the processing chambers 100, 200, 300, 400, which may be deposition chambers (e.g., physical vapor deposition chambers, Chemical vapor deposition, atomic layer deposition or other deposition chambers), annealing chambers (for example, high-pressure annealing chamber, RTP chamber, laser annealing chamber), etching chamber, cleaning chamber, pre-cleaning chamber, A curing chamber, a photolithography exposure chamber, or other similar types of semiconductor processing chambers. In some embodiments of the cluster processing system 500, one or more of the processing chambers 100, 200, 300, 400, the transfer chamber 536, the factory interface 502, and/or at least one load lock chamber 522.

系統控制器544耦接到群集處理系統500。系統控制器544,其可包括計算裝置501或者可被包括在計算裝置501內,系統控制器544使用對群集處理系統500的處理腔室100、200、300、400的直接控制來控制群集處理系統500的操作。替代地,系統控制器544可以控制與處理腔室100、200、300、400和群集處理系統500相關聯的電腦(或控制器)。在操作中,系統控制器544亦能夠從各個腔室收集資料和回饋,以最佳化群集處理系統500的效能。The system controller 544 is coupled to the cluster processing system 500. The system controller 544, which may include the computing device 501 or may be included in the computing device 501, the system controller 544 uses direct control of the processing chambers 100, 200, 300, 400 of the cluster processing system 500 to control the cluster processing system 500 operations. Alternatively, the system controller 544 may control a computer (or controller) associated with the processing chambers 100, 200, 300, 400 and the cluster processing system 500. In operation, the system controller 544 can also collect data and feedback from each chamber to optimize the performance of the cluster processing system 500.

系統控制器544與上述計算裝置501非常相似,通常包括中央處理單元(CPU)538、記憶體540和支持電路542。CPU 538可以是可以在工業設置中使用的一種或任意形式的通用電腦處理器。支持電路542依習知耦接到CPU 538,並且可以包括快取、時鐘電路、輸入/輸出子系統、電源等。軟體常式將CPU 538轉換為專用電腦(控制器)544。軟體常式亦可以由遠離群集處理系統500定位的第二控制器(未圖示)儲存和/或執行。The system controller 544 is very similar to the aforementioned computing device 501, and usually includes a central processing unit (CPU) 538, a memory 540, and a support circuit 542. The CPU 538 may be one or any form of general-purpose computer processor that can be used in an industrial setting. The support circuit 542 is conventionally coupled to the CPU 538, and may include a cache, a clock circuit, an input/output subsystem, a power supply, and so on. The software routine converts the CPU 538 into a dedicated computer (controller) 544. The software routines can also be stored and/or executed by a second controller (not shown) located away from the cluster processing system 500.

第6圖是在用於半導體結構的含金屬的材料上形成具有覆蓋保護結構的含金屬材料的一個實例的流程圖。該結構可以是形成在半導體基板上的任何合適的結構,例如具有導電和非導電區域的元件或通道結構、鰭結構、閘結構、接觸結構、前端結構、後端結構、或半導體應用中使用的任何其他合適的結構。第7A圖至第7D圖是對應於處理600的各個階段的基板702的一部分的示意性截面圖。處理600可以用於在基板上形成的導電和非導電區域兩者的通道結構,以便形成在互連結構的不同位置上形成的期望材料。FIG. 6 is a flowchart of an example of forming a metal-containing material with a covering protective structure on a metal-containing material used for a semiconductor structure. The structure can be any suitable structure formed on a semiconductor substrate, such as an element or channel structure with conductive and non-conductive regions, fin structure, gate structure, contact structure, front-end structure, back-end structure, or used in semiconductor applications Any other suitable structure. 7A to 7D are schematic cross-sectional views of a part of the substrate 702 corresponding to each stage of the process 600. The process 600 may be used for the channel structure of both conductive and non-conductive regions formed on the substrate in order to form the desired material formed at different positions of the interconnect structure.

藉由提供諸如第7A圖所示的用於處理的基板702之類的基板,處理600在操作602處開始。在一個實施例中,基板702可具有要形成在基板702上的元件結構750。基板702可具有基本上平坦的表面、不平坦表面、或具有在其上形成的結構的基本平坦的表面。第7A圖中所示的基板702包括形成在基板702上的結構或材料層704。結構或材料層704可以是任何合適的結構,例如閘結構、接觸結構、互連結構、或僅根據需要形成在基板702上的覆蓋層。在一個實施例中,基板702可以是諸如晶體矽(例如,Si >100>或Si >111>)、氧化矽、應變矽、矽鍺、摻雜或未摻雜的多晶矽、摻雜或未摻雜的矽晶圓以及圖案化或未圖案化晶圓的矽絕緣體(silicon on insulator,SOI)、碳摻雜的氧化矽、氮化矽、摻雜的矽、鍺、砷化鎵、玻璃、藍寶石。基板702可具有各種尺寸,例如直徑為200 mm、300 mm、或450 mm的晶圓,以及矩形或正方形面板。除非另有說明,否則本文描述的實施例和實例在直徑為300 mm或450 mm的基板上進行。The process 600 begins at operation 602 by providing a substrate such as the substrate for processing 702 shown in FIG. 7A. In one embodiment, the substrate 702 may have an element structure 750 to be formed on the substrate 702. The substrate 702 may have a substantially flat surface, an uneven surface, or a substantially flat surface with a structure formed thereon. The substrate 702 shown in FIG. 7A includes a structure or material layer 704 formed on the substrate 702. The structure or material layer 704 may be any suitable structure, such as a gate structure, a contact structure, an interconnection structure, or a cover layer formed on the substrate 702 only as needed. In one embodiment, the substrate 702 may be, for example, crystalline silicon (for example, Si>100> or Si>111>), silicon oxide, strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped Hybrid silicon wafers and patterned or unpatterned silicon on insulators (SOI), carbon-doped silicon oxide, silicon nitride, doped silicon, germanium, gallium arsenide, glass, sapphire . The substrate 702 may have various sizes, such as wafers with diameters of 200 mm, 300 mm, or 450 mm, and rectangular or square panels. Unless otherwise stated, the embodiments and examples described herein are performed on substrates with a diameter of 300 mm or 450 mm.

在操作604,基板702接著轉移到處理腔室中,例如如第1圖所示的預清潔腔室100,其可與第5圖所示的群集處理系統500結合以在基板702上實行預清潔處理。應注意,基於基板表面條件,操作604處的預清潔處理是選擇性的。在一些實施例中,在操作604實行的預清潔處理可以幫助從基板表面移除表面污染或表面自然氧化物。在一些實施例中,預清潔處理可能不是必要的。In operation 604, the substrate 702 is then transferred to a processing chamber, such as the pre-cleaning chamber 100 shown in FIG. 1, which can be combined with the cluster processing system 500 shown in FIG. 5 to perform pre-cleaning on the substrate 702 deal with. It should be noted that the pre-cleaning process at operation 604 is optional based on the substrate surface condition. In some embodiments, the pre-cleaning process performed in operation 604 can help remove surface contamination or surface natural oxides from the substrate surface. In some embodiments, pre-cleaning treatment may not be necessary.

在一個實例中,可藉由供應包括氫蝕刻劑的預清潔氣體混合物來實行預清潔處理。預清潔氣體混合物至少包括含氫氣體。在預清潔氣體混合物中供應含氫氣體時,亦可在預清潔處理中任選地供應惰性氣體。含氫氣體的合適實例包括H2 、H2 O、H2 O2 等。惰性氣體的合適的實例亦可根據需要供應到預清潔氣體混合物中。在氣體混合物中供應的惰性氣體的實例包括Ar、He、Ne、Kr、Xe等。在一個特定實例中,預清潔氣體混合物包含H2In one example, the pre-cleaning process can be performed by supplying a pre-cleaning gas mixture including a hydrogen etchant. The pre-cleaning gas mixture includes at least hydrogen-containing gas. When hydrogen-containing gas is supplied in the pre-cleaning gas mixture, an inert gas can also be optionally supplied in the pre-cleaning process. Suitable examples of hydrogen-containing gas include H 2 , H 2 O, H 2 O 2 and the like. Suitable examples of inert gases can also be supplied to the pre-cleaning gas mixture as required. Examples of the inert gas supplied in the gas mixture include Ar, He, Ne, Kr, Xe and the like. In a specific example, the pre-cleaning gas mixture contains H 2 .

預清潔氣體混合物經由腔室電漿區域121被供應到基板處理區域141中,以從預清潔氣體混合物在腔室電漿區域121中形成遠端電漿源,以移除表面污染物和自然氧化物。從預清潔氣體混合物引入到處理腔室100中的氣體的量可以改變和調節以適應例如天然氧化物的厚度或要移除的表面污染物的量。The pre-cleaning gas mixture is supplied into the substrate processing area 141 via the chamber plasma area 121 to form a remote plasma source in the chamber plasma area 121 from the pre-cleaning gas mixture to remove surface contaminants and natural oxidation Things. The amount of gas introduced from the pre-cleaning gas mixture into the processing chamber 100 can be changed and adjusted to suit, for example, the thickness of natural oxides or the amount of surface contaminants to be removed.

產生來自電源152的遠端電漿功率,以在操作604處從供應的預清潔氣體混合物在腔室電漿區域121中形成電漿。在操作604的預清潔處理期間,在腔室電漿區域121中遠端產生的電漿可以使蝕刻劑解離以形成相對溫和且和緩的蝕刻劑,從而緩慢地、柔和且逐漸地蝕刻表面污染物和自然氧化物,例如,等向性蝕刻處理。遠端電漿處理為界面清潔提供了良好的控制,並提高了蝕刻選擇性。The remote plasma power from the power supply 152 is generated to form plasma in the chamber plasma region 121 from the supplied pre-cleaning gas mixture at operation 604. During the pre-cleaning process of operation 604, the plasma generated at the distal end of the chamber plasma region 121 can dissociate the etchant to form a relatively gentle and gentle etchant, thereby slowly, gently and gradually etching surface contaminants And natural oxide, for example, isotropic etching treatment. The remote plasma treatment provides good control of interface cleaning and improves etching selectivity.

在操作606處,實行第一沉積處理以在基板702上的材料層704上形成含過渡金屬的材料706,如第7B圖所示。沉積處理可以是在第2圖所示的ALD處理腔室200上實行的ALD處理,或在第4圖所示的CVD處理腔室400上實行的CVD處理,或合併在群集處理系統500中的其他合適的處理腔室。在一個實施例中,含過渡金屬的材料706是二維過渡金屬硫族化物(two-dimensional transition metal dichalcogenide)層。含過渡金屬的材料706可以以結晶態或非晶態形成。二維結晶或非晶過渡金屬硫族化物層706的厚度為0.5 nm至100 nm。二維結晶或非晶過渡金屬硫族化物層706具有式MX2 ,其中M包括鉬(Mo)或鎢(W),及X包括硫(S)、硒(Se)、或碲(Te)。二維過渡金屬硫族化物層706的合適的實例包括MoS2 、WS2 、MoSe2 、WSe2 等。At operation 606, a first deposition process is performed to form a transition metal-containing material 706 on the material layer 704 on the substrate 702, as shown in FIG. 7B. The deposition process may be the ALD process performed on the ALD processing chamber 200 shown in FIG. 2, or the CVD process performed on the CVD processing chamber 400 shown in FIG. 4, or a combination in the cluster processing system 500 Other suitable processing chambers. In one embodiment, the transition metal-containing material 706 is a two-dimensional transition metal dichalcogenide layer. The transition metal-containing material 706 may be formed in a crystalline state or an amorphous state. The thickness of the two-dimensional crystalline or amorphous transition metal chalcogenide layer 706 is 0.5 nm to 100 nm. The two-dimensional crystalline or amorphous transition metal chalcogenide layer 706 has the formula MX 2 , where M includes molybdenum (Mo) or tungsten (W), and X includes sulfur (S), selenium (Se), or tellurium (Te). Suitable examples of the two-dimensional transition metal chalcogenide layer 706 include MoS 2 , WS 2 , MoSe 2 , WSe 2 and the like.

在一個實施例中,可藉由將包括含金屬的前驅物的沉積氣體混合物供應到處理腔室中來實行沉積處理。含金屬的前驅物的合適的實例包括Mo(NMe2 )4 、MoCl5 、MoF6 、四甲基-3,5-庚二酸 (Mo(thd)3 )、Mo(CO)6 、WF6 、W2 (NMe2 )6 等。亦可以在沉積氣體混合物中提供一些反應物氣體。反應物氣體的合適的實例包括H2 S、H2 Se、1,2-乙二硫醇、二甲基二硫化物、二乙基二硫化物、二乙硫醚等。亦可根據需要向其他淨化氣體和/或稀釋氣體(例如Ar、He、N2 、N2 O、NO2 、NH3 )提供沉積氣體混合物。在沉積處理是MOCVD處理的實例中,沉積氣體混合物包括Mo(CO)6 和H2 S或二乙硫醚氣體。In one embodiment, the deposition process may be performed by supplying a deposition gas mixture including a metal-containing precursor into the processing chamber. Suitable examples of metal-containing precursors include Mo(NMe 2 ) 4 , MoCl 5 , MoF 6 , tetramethyl-3,5-pimelic acid (Mo(thd) 3 ), Mo(CO) 6 , WF 6 , W 2 (NMe 2 ) 6 and so on. It is also possible to provide some reactant gas in the deposition gas mixture. Suitable examples of reactant gases include H 2 S, H 2 Se, 1,2-ethanedithiol, dimethyl disulfide, diethyl disulfide, diethyl sulfide, and the like. Other purification gases and/or dilution gases (such as Ar, He, N 2 , N 2 O, NO 2 , NH 3 ) can also be provided with deposition gas mixtures as required. In an example where the deposition process is a MOCVD process, the deposition gas mixture includes Mo(CO) 6 and H 2 S or diethyl sulfide gas.

在一個實例性實施例中,處理壓力被調節在大約10 mTorr至大約5000 mTorr之間,例如在大約400 mTorr至大約2000 mTorr之間。RF源功率或RF偏壓功率可以或可以不被提供給含矽氣體。基板溫度維持在約攝氏25度至約攝氏450度之間。In an exemplary embodiment, the processing pressure is adjusted between about 10 mTorr and about 5000 mTorr, for example, between about 400 mTorr and about 2000 mTorr. The RF source power or the RF bias power may or may not be provided to the silicon-containing gas. The temperature of the substrate is maintained at approximately 25 degrees Celsius to approximately 450 degrees Celsius.

在操作608,在基板702上接著實行熱退火處理以熱處理基板702,從而形成經處理的金屬層708,如第7C圖所示。可以在處理腔室中實行熱退火處理,例如第4圖中描繪的熱處理腔室400,該處理腔室被結合於第5圖中描繪的群集處理系統500中。實行熱退火處理以修復、緻密化、和增強二維過渡金屬硫族化物層706的晶格結構。例如,在熱/退火處理之後,與例如,沒有熱/退火處理相比,二維金屬硫族化物層706在XRD分析下可以具有更強(100)或更銳利的(110)平面峰,從而增強了二維過渡金屬硫族化物層706的結晶度。亦可藉由移除雜質或添加或移除硫族化物以形成期望的MX2 化學計量,來實行熱退火處理以改變二維金屬硫族化物層706的組成。In operation 608, a thermal annealing process is then performed on the substrate 702 to heat the substrate 702 to form a processed metal layer 708, as shown in FIG. 7C. The thermal annealing process may be performed in a processing chamber, such as the thermal processing chamber 400 depicted in Figure 4, which is incorporated in the cluster processing system 500 depicted in Figure 5. The thermal annealing process is performed to repair, densify, and enhance the lattice structure of the two-dimensional transition metal chalcogenide layer 706. For example, after the heat/anneal treatment, the two-dimensional metal chalcogenide layer 706 may have a stronger (100) or sharper (110) plane peak under XRD analysis compared to, for example, no heat/anneal treatment, thereby The crystallinity of the two-dimensional transition metal chalcogenide layer 706 is enhanced. The thermal annealing process can also be performed to change the composition of the two-dimensional metal chalcogenide layer 706 by removing impurities or adding or removing chalcogenide to form the desired stoichiometry of MX 2 .

可以在諸如第4圖中描繪的處理腔室400的熱退火腔室中實行熱退火處理。替代地,可以在被配置以向設置在基板702上的二維過渡金屬硫族化物層706提供足夠的熱能的任何處理腔室中實行退火處理。熱退火處理可以將基板702加熱到大於攝氏400度的溫度,例如,在大約攝氏600度和大約攝氏1500度之間,例如在大約攝氏800度和大約攝氏1200度之間,以使二維過渡金屬硫族化物層706重結晶。The thermal annealing process may be performed in a thermal annealing chamber such as the processing chamber 400 depicted in FIG. 4. Alternatively, the annealing process may be performed in any processing chamber configured to provide sufficient thermal energy to the two-dimensional transition metal chalcogenide layer 706 provided on the substrate 702. The thermal annealing process can heat the substrate 702 to a temperature greater than 400 degrees Celsius, for example, between about 600 degrees Celsius and about 1500 degrees Celsius, such as between about 800 degrees Celsius and about 1200 degrees Celsius, so as to make a two-dimensional transition. The metal chalcogenide layer 706 is recrystallized.

在退火期間,可以供應退火氣體混合物。可以在退火氣體混合物中供應的氣體可以包括諸如NH3 、N2 等的含氮氣體,諸如Ar、He、Ne、Kr、Xe等的惰性氣體,或諸如H2S、二乙硫醚,二乙基二硫化物,元素硫等的含硫氣體,或諸如H2 Se的含硒氣體。During annealing, an annealing gas mixture may be supplied. The gas that can be supplied in the annealing gas mixture may include nitrogen-containing gas such as NH 3 , N 2 , etc., inert gas such as Ar, He, Ne, Kr, Xe, etc., or such as H2S, diethyl sulfide, diethyl Disulfide, sulfur-containing gas such as elemental sulfur, or selenium-containing gas such as H 2 Se.

在熱退火處理之後,如第7C圖所示,二維過渡金屬硫族化物層706可以變成具有期望的結晶度的經處理的金屬層708,其具有晶體取向主要在一個平面上,例如(001)平面。在熱退火處理中提供的熱能有助於將二維過渡金屬硫族化物層706從非晶態結晶為結晶態或增強二維過渡金屬硫族化物層706的結晶(例如,增大晶粒尺寸、減少晶界、或者使晶體更好地對準基板等),從而有效地增強二維過渡金屬硫族化物層706的電效能。在操作608的熱退火處理期間提供的熱能幫助將晶粒從非晶態生長成大尺寸而成結晶晶粒,從而增強二維過渡金屬硫族化物層706的結晶度。After the thermal annealing treatment, as shown in Figure 7C, the two-dimensional transition metal chalcogenide layer 706 can become a treated metal layer 708 with a desired crystallinity, which has a crystal orientation mainly on one plane, for example (001 )flat. The thermal energy provided in the thermal annealing treatment helps crystallize the two-dimensional transition metal chalcogenide layer 706 from an amorphous state to a crystalline state or enhance the crystallization of the two-dimensional transition metal chalcogenide layer 706 (for example, increase the grain size , Reduce grain boundaries, or better align the crystals with the substrate, etc.), thereby effectively enhancing the electrical performance of the two-dimensional transition metal chalcogenide layer 706. The thermal energy provided during the thermal annealing process of operation 608 helps to grow the crystal grains from an amorphous state to a large size into crystalline grains, thereby enhancing the crystallinity of the two-dimensional transition metal chalcogenide layer 706.

在一些實例中,在操作608處實行的熱退火處理可以是快速熱退火處理、雷射退火處理、爐退火處理或根據需要的任何合適的熱退火處理。In some examples, the thermal annealing process performed at operation 608 may be a rapid thermal annealing process, a laser annealing process, a furnace annealing process, or any suitable thermal annealing process as needed.

在操作610,在熱退火處理之後,可以任選地(optionally)及選擇性地(selectively)在經處理的金屬層708上形成覆蓋層710,如第7D圖所示。覆蓋層710亦可以是經由在第2圖中描繪的ALD處理腔室200處實行的ALD處理或在第4圖中描繪的CVD處理腔室400處實行的CVD處理而形成的含金屬層,該ALD處理腔室和CVD處理腔室兩者均結合在第5圖所描繪的群集處理系統500中。In operation 610, after the thermal annealing treatment, a capping layer 710 may be optionally and selectively formed on the processed metal layer 708, as shown in FIG. 7D. The cover layer 710 may also be a metal-containing layer formed by the ALD process performed at the ALD processing chamber 200 depicted in FIG. 2 or the CVD process performed at the CVD processing chamber 400 depicted in FIG. Both the ALD processing chamber and the CVD processing chamber are integrated in the cluster processing system 500 depicted in FIG. 5.

在一個實例中,覆蓋層710可以覆蓋經處理的金屬層708,以減小金屬元素在隨後的處理週期中過度擴散或從表面氧化的可能性,從而降低電子遷移或其他元件故障的可能性。覆蓋層710被選擇由具有相對良好的界面阻擋效能的材料所製成,以防止一旦基板702被從群集處理系統500移出並暴露於空氣,來自經處理的金屬層708的金屬元素向外擴散到附近的絕緣材料,或防止表面氧化。在一個實施例中,覆蓋層710可以是含金屬的層,例如含鈷的材料、含鎢的材料、含鎳的材料、含鋁的材料、含釕的材料、含鉬的材料、或含錳的材料。在另一實例中,覆蓋層710可以是介電材料,諸如SiN、SiO2 、SiON、SiC、SiOC、HfO2 、ZrO2 、Al2 O3 等。在一個實施例中,覆蓋層710為SiN、SiO2 、或HfO2In one example, the cover layer 710 may cover the processed metal layer 708 to reduce the possibility of excessive diffusion of metal elements or oxidation from the surface during subsequent processing cycles, thereby reducing the possibility of electron migration or other component failures. The cover layer 710 is selected to be made of a material with relatively good interfacial barrier performance to prevent the metal elements from the processed metal layer 708 from diffusing outward once the substrate 702 is removed from the cluster processing system 500 and exposed to air Nearby insulating materials, or prevent surface oxidation. In one embodiment, the covering layer 710 may be a metal-containing layer, such as a cobalt-containing material, a tungsten-containing material, a nickel-containing material, an aluminum-containing material, a ruthenium-containing material, a molybdenum-containing material, or a manganese-containing material. s material. In another example, the capping layer 710 may be a dielectric material, such as SiN, SiO 2 , SiON, SiC, SiOC, HfO 2 , ZrO 2 , Al 2 O 3, etc. In one embodiment, the capping layer 710 is SiN, SiO 2 , or HfO 2 .

在一個實例中,覆蓋層710由週期性層沉積(cyclical layer deposition,CLD)、原子層沉積(atomic layer deposition,ALD)、化學氣相沉積(chemical vapor deposition,CVD)、熱CVD處理、脈衝-CVD處理、PE-CVD處理、脈衝PE-CVD處理、或熱ALD處理所形成。In one example, the cover layer 710 is composed of cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), thermal CVD, pulse- CVD treatment, PE-CVD treatment, pulse PE-CVD treatment, or thermal ALD treatment.

應注意,可以在一個群集處理系統中,例如在第5圖中描繪的群集處理系統500中,原位地沉積並完成二維過渡金屬硫族化物層706、經處理的金屬層708、和覆蓋層710。在一些實例中,可以根據需要在多腔室處理系統的不同處理腔室中異位地沉積覆蓋層沉積或退火處理中的一種處理。二維過渡金屬硫族化物層706、經處理的金屬層708、和覆蓋層710的原位形成可以減少金屬元件結構中表面氧化和污染的可能性,從而增強元件的電效能以及減少製造週期時間和成本。It should be noted that in a cluster processing system, such as the cluster processing system 500 depicted in Figure 5, the two-dimensional transition metal chalcogenide layer 706, the processed metal layer 708, and the overlay can be deposited and completed in situ.层710. In some examples, one of the coating layer deposition or annealing treatment may be deposited ex-situ in different processing chambers of the multi-chamber processing system as needed. The in-situ formation of the two-dimensional transition metal chalcogenide layer 706, the treated metal layer 708, and the cover layer 710 can reduce the possibility of surface oxidation and contamination in the metal device structure, thereby enhancing the electrical performance of the device and reducing the manufacturing cycle time And cost.

因此,提供了一種用於形成具有對諸如通道結構的元件結構的覆蓋保護的含金屬的材料的方法和設備。覆蓋層連同含金屬的材料及其熱處理,在一個群集處理系統中而沒有破壞真空,可以有效地保護金屬線免於擴散和表面污染,從而消除了電子遷移或電流洩漏的可能性,並保持了良好的界面控制。藉由對在群集處理腔室中整體形成的元件結構的含金屬的材料利用適當的覆蓋保護和熱處理,金屬元件結構可以以期望的電特性和表面保護來控制,從而提高元件效能。Therefore, there is provided a method and apparatus for forming a metal-containing material with covering protection of an element structure such as a channel structure. The covering layer together with the metal-containing material and its heat treatment, in a cluster processing system without breaking the vacuum, can effectively protect the metal wire from diffusion and surface contamination, thereby eliminating the possibility of electron migration or current leakage, and maintaining Good interface control. By applying appropriate covering protection and heat treatment to the metal-containing material of the device structure integrally formed in the cluster processing chamber, the metal device structure can be controlled with desired electrical characteristics and surface protection, thereby improving device performance.

儘管前述內容是針對本揭示案的實施例,但可在不脫離本揭示案的基本範疇的情況下設計本揭示案的其他和進一步的實施例,並且其中之範疇由隨附申請專利範圍來界定。Although the foregoing content is directed to the embodiments of this disclosure, other and further embodiments of this disclosure can be designed without departing from the basic scope of this disclosure, and the scope of which is defined by the scope of the attached application .

100:處理腔室 109:第一通道 110:遠端電漿系統 111:氣體入口組件 112:腔室主體 113:第二通道 114:狹縫閥開口 115:通道 116:開口 120:襯墊 121:腔室電漿區域 123:蓋組件 124:絕緣環 125:孔 126:長度 129:泵通道 130:真空泵 131:真空端口 132:節流閥 141:基板處理區域 151:中空體積 152:電源 153:穿孔隔板 156:通孔 166:泵區域 180:支撐組件 183:升降機構 185:支撐構件 186:記憶體 187:軸 188:波紋管 192:孔 193:升降銷 195:升降環 196:邊緣環 198:流體通道 199:傳熱導管 200:處理腔室 201:基板 214:升降機 216:升降板 218:升降板致動器 220:銷 222:淨化環 224:淨化通道 229:腔室主體 230:氣體輸送設備 232:腔室蓋 233:狹縫閥通道 236A:氣體入口 236B:氣體入口 237:擴展通道 237A:氣體入口 237B:氣體入口 238:反應物氣體源 239:反應物氣體源 240:淨化氣體源 242A:閥 242B:閥 243A:輸送管線 243B:輸送管線 244A:閥座組件 244B:閥座組件 245A:淨化管線 245B:淨化管線 246A:閥座組件 246B:閥座組件 248A:邏輯控制器 248B:邏輯控制器 260:底表面 262:扼流圈 272:蓋部 278:泵系統 279:泵通道 280:控制單元 282:CPU 283:軟體 284:支持電路 288:匯流排 290:擴展通道 292:基板支撐件 300:處理腔室 301:基板 302:真空泵 303:側壁 304:匹配電路 306:電源 308:夾持電源 310:控制器 312:中央處理單元 314:支持電路 316:記憶體 318:匯流排 322:底部 325:蓋 326:內部容積 342:氣體分配板 343:孔 344:氣體輸送通道 345:匹配網路 347:RF源 348:遠端電漿源 350:底座 351:腔室主體 370:加熱器元件 372:溫度感測器 384:電源 386:電源 391:表面 392:電極 393:氣體面板 400:處理腔室 401:腔室主體 402:加熱燈 403:裝載端口 404:背側 405:升降銷 407:基板支撐件 408:基板 410:前側 411:孔 414:下圓頂 416:上表面 418:光學高溫計 422:反射器 426:入口門 428:上圓頂 430:出口門 432:軸 434:方向 436:熱控制空間 440:熱輻射感測器 441:燈泡 443:反射器 445:燈頭 449:通道 456:處理氣體區域 458:淨化氣體區域 460:基底板 461:流動路徑 462:襯墊組件 463:淨化氣體源 464:淨化氣體入口端口 473:處理氣體供應源 475:處理氣體入口端口 478:氣體出口端口 480:真空泵 482:控制器 484:電源 500:群集處理系統 501:計算裝置 502:工廠介面 504:處理平台 506A-506B:FOUP 508:對接站 510:計量系統 514:機器人 516:刀片 518:計量站 522:裝載鎖腔室 524:基板 526:端部 530:機器人 534:刀片 536:傳送腔室 538:中央處理單元 540:記憶體 542:支持電路 544:系統控制器 600:處理 602:操作 604:操作 606:操作 608:操作 610:操作 612:操作 702:基板 704:結構或材料層 706:材料 708:金屬層 710:覆蓋層 750:元件結構100: processing chamber 109: First channel 110: Remote Plasma System 111: Gas inlet assembly 112: Chamber body 113: second channel 114: slit valve opening 115: Channel 116: open 120: liner 121: chamber plasma area 123: cover assembly 124: Insulating ring 125: hole 126: length 129: Pump channel 130: Vacuum pump 131: Vacuum port 132: Throttle Valve 141: Substrate processing area 151: hollow volume 152: Power 153: Perforated partition 156: Through hole 166: pump area 180: support components 183: Lifting mechanism 185: support member 186: Memory 187: Shaft 188: bellows 192: Hole 193: Lift Pin 195: Lifting ring 196: Edge Ring 198: Fluid Channel 199: Heat transfer pipe 200: processing chamber 201: Substrate 214: Lift 216: Lifting board 218: Lifting plate actuator 220: pin 222: Purification Ring 224: Purification Channel 229: Chamber body 230: Gas delivery equipment 232: chamber cover 233: slit valve channel 236A: Gas inlet 236B: Gas inlet 237: extended channel 237A: Gas inlet 237B: Gas inlet 238: Reactant Gas Source 239: reactant gas source 240: Purified gas source 242A: Valve 242B: Valve 243A: Transmission pipeline 243B: Transmission pipeline 244A: Valve seat assembly 244B: Valve seat assembly 245A: Purification line 245B: Purification line 246A: Valve seat assembly 246B: Valve seat assembly 248A: Logic Controller 248B: Logic Controller 260: bottom surface 262: Choke 272: Cover 278: Pump System 279: pump channel 280: control unit 282: CPU 283: Software 284: Support circuit 288: Bus 290: extended channel 292: substrate support 300: processing chamber 301: Substrate 302: Vacuum pump 303: Sidewall 304: matching circuit 306: Power 308: clamping power supply 310: Controller 312: Central Processing Unit 314: Support circuit 316: Memory 318: Bus 322: bottom 325: cover 326: Internal volume 342: Gas Distribution Plate 343: hole 344: Gas Delivery Channel 345: matching network 347: RF source 348: Remote Plasma Source 350: base 351: Chamber body 370: heater element 372: Temperature Sensor 384: Power 386: Power 391: Surface 392: Electrode 393: Gas Panel 400: processing chamber 401: Chamber body 402: Heating lamp 403: load port 404: back side 405: Lift pin 407: substrate support 408: substrate 410: front 411: hole 414: lower dome 416: upper surface 418: Optical Pyrometer 422: reflector 426: Entrance Door 428: upper dome 430: Exit Door 432: Axis 434: direction 436: Thermal Control Space 440: Thermal radiation sensor 441: Bulb 443: reflector 445: Lamp holder 449: Channel 456: Processing gas area 458: Clean Gas Area 460: base plate 461: Flow Path 462: Pad assembly 463: Purifying Gas Source 464: Purge gas inlet port 473: Process gas supply source 475: Process gas inlet port 478: Gas outlet port 480: Vacuum pump 482: Controller 484: Power 500: cluster processing system 501: Computing Device 502: Factory Interface 504: Processing Platform 506A-506B: FOUP 508: docking station 510: Metering System 514: Robot 516: Blade 518: Metering Station 522: Load Lock Chamber 524: substrate 526: End 530: Robot 534: Blade 536: Transfer Chamber 538: Central Processing Unit 540: Memory 542: Support circuit 544: System Controller 600: processing 602: Operation 604: Operation 606: Operation 608: Operation 610: Operation 612: Operation 702: substrate 704: structure or material layer 706: material 708: Metal layer 710: Overlay 750: component structure

為了可以詳細地理解本揭示案的上述特徵的方法,可以藉由參考實施例來對本揭示案進行更詳細的描述,該揭示案的詳細描述如上面簡要概述,其中一些實施例在隨附圖式中圖示。然而,應當注意,隨附圖式僅圖示本揭示案的典型實施例,且因此不應將其視為限制其範疇,因為本揭示案可以認可其他等效的實施例。In order to understand the above features of the present disclosure in detail, the present disclosure can be described in more detail by referring to the embodiments. The detailed description of the disclosure is briefly summarized above, and some of the embodiments are shown in the accompanying drawings. In the icon. However, it should be noted that the accompanying drawings only illustrate typical embodiments of the present disclosure, and therefore should not be regarded as limiting its scope, because the present disclosure may recognize other equivalent embodiments.

第1圖描繪了可用於在基板上實行預清潔處理的預清潔處理腔室;Figure 1 depicts a pre-cleaning processing chamber that can be used to perform pre-cleaning processing on a substrate;

第2圖描繪了根據本揭示案的一個實施例的可用於實行原子層沉積(atomic layer deposition,ALD)處理製程的設備;Figure 2 depicts a device that can be used to perform an atomic layer deposition (ALD) process according to an embodiment of the present disclosure;

第3圖描繪了根據本揭示案的一個實施例的可用於實行化學氣相沉積(CVD)處理製程的設備;Figure 3 depicts an apparatus that can be used to implement a chemical vapor deposition (CVD) process according to an embodiment of the present disclosure;

第4圖描繪了根據本揭示案的一個實施例的可用於實行熱退火處理製程的設備;Figure 4 depicts a device that can be used to implement a thermal annealing process according to an embodiment of the present disclosure;

第5圖描繪了群集處理系統的一個實施例,群集處理系統可以具有來自第1圖至第4圖的處理腔室,該等處理腔室與其結合以用於實施本揭示案的一個實施例;FIG. 5 depicts an embodiment of a cluster processing system. The cluster processing system may have processing chambers from FIG. 1 to FIG. 4, which are combined with the processing chambers for implementing an embodiment of the present disclosure;

第6圖圖示了用於在基板上形成含金屬的材料的方法的實例的流程圖;和Figure 6 illustrates a flowchart of an example of a method for forming a metal-containing material on a substrate; and

第7A圖至第7D圖描繪了根據第6圖所示的處理在製造處理期間在基板上形成含金屬的材料的順序的一個實施例。FIGS. 7A to 7D depict an example of the sequence of forming a metal-containing material on the substrate during the manufacturing process according to the process shown in FIG. 6.

為了便於理解,在可能的情況下,已使用相同的元件符號來表示圖中共同的相同元件。可以設想的是,一個實施例的元件和特徵可以有益地併入其他實施例中而無需進一步敘述。For ease of understanding, where possible, the same element symbols have been used to represent the same elements that are common in the drawings. It is conceivable that the elements and features of one embodiment can be beneficially incorporated into other embodiments without further description.

然而,應當注意,隨附圖式僅圖示本揭示案的實例實施例,且因此不應將其視為限制其範疇,因為本揭示案可承認其他等效的實施例。However, it should be noted that the accompanying drawings only illustrate example embodiments of the present disclosure, and therefore should not be considered as limiting its scope, as the present disclosure may recognize other equivalent embodiments.

國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無Domestic deposit information (please note in the order of deposit institution, date and number) no Foreign hosting information (please note in the order of hosting country, institution, date and number) no

600:處理 600: processing

602:操作 602: Operation

604:操作 604: Operation

606:操作 606: Operation

608:操作 608: Operation

610:操作 610: Operation

612:操作 612: Operation

Claims (20)

一種用於半導體元件的一元件結構的方法,包括以下步驟: 在設置在一群集處理系統中的一第一處理腔室中的一基板上形成一二維過渡金屬硫族化物層; 對該二維過渡金屬硫族化物層進行熱處理以在設置在該群集處理系統中的一第二處理腔室中形成一經處理的金屬層;及 在設置在該群集處理系統中的一第三處理腔室中的該經處理的金屬層上形成一覆蓋層。A method for a device structure of a semiconductor device includes the following steps: Forming a two-dimensional transition metal chalcogenide layer on a substrate arranged in a first processing chamber in a cluster processing system; Heat-treating the two-dimensional transition metal chalcogenide layer to form a processed metal layer in a second processing chamber provided in the cluster processing system; and A covering layer is formed on the processed metal layer disposed in a third processing chamber in the cluster processing system. 如請求項1所述之方法,其中該二維過渡金屬硫族化物層是MoS2 、WS2 、MoSe2 、和WSe2 中的至少一種。The method according to claim 1, wherein the two-dimensional transition metal chalcogenide layer is at least one of MoS 2 , WS 2 , MoSe 2 , and WSe 2 . 如請求項1所述之方法,其中該二維過渡金屬硫族化物層是由一原子層沉積處理所形成的。The method according to claim 1, wherein the two-dimensional transition metal chalcogenide layer is formed by an atomic layer deposition process. 如請求項1所述之方法,其中該覆蓋層是由一化學氣相沉積處理所形成的。The method according to claim 1, wherein the covering layer is formed by a chemical vapor deposition process. 如請求項1所述之方法,其中該覆蓋層是SiN、SiO2 、SiON、SiC、SiOC、HfO2 、ZrO2 、和Al2 O3 中的至少一種。The method according to claim 1, wherein the covering layer is at least one of SiN, SiO 2 , SiON, SiC, SiOC, HfO 2 , ZrO 2 , and Al 2 O 3 . 如請求項1所述之方法,其中對該二維過渡金屬硫族化物層進行熱處理的步驟進一步包括: 保持一基板溫度在約攝氏600度至約攝氏1500度之間。The method according to claim 1, wherein the step of heat-treating the two-dimensional transition metal chalcogenide layer further comprises: Maintain a substrate temperature between about 600 degrees Celsius to about 1500 degrees Celsius. 如請求項1所述之方法,其中形成該二維過渡金屬硫族化物進一步包括: 提供一沉積氣體混合物,該沉積氣體混合物包括一含金屬的前驅物和一反應物氣體,其中該含金屬的前驅物是選自由Mo(NMe2 )4 、MoCl5 、MoF6 、四甲基-3,5-庚二酸 (Mo(thd)3 )、Mo(CO)6 、WF6 、和W2 (NMe2 )6 組成的群組。The method according to claim 1, wherein forming the two-dimensional transition metal chalcogenide further comprises: providing a deposition gas mixture, the deposition gas mixture including a metal-containing precursor and a reactant gas, wherein the metal-containing The precursor is selected from Mo(NMe 2 ) 4 , MoCl 5 , MoF 6 , tetramethyl-3,5-pimelic acid (Mo(thd) 3 ), Mo(CO) 6 , WF 6 , and W 2 ( NMe 2 ) A group consisting of 6 . 如請求項7所述之方法,其中反應物氣體是選自由H2 S、H2 Se、1,2-乙二硫醇、二甲基二硫化物、二乙基二硫化物和二乙硫醚組成的群組。The method according to claim 7, wherein the reactant gas is selected from H 2 S, H 2 Se, 1,2-ethanedithiol, dimethyl disulfide, diethyl disulfide and diethyl sulfide The group of ethers. 如請求項7所述之方法,其中該沉積氣體混合物包括Mo(CO)6 和H2 S或二乙硫醚。The method according to claim 7, wherein the deposition gas mixture includes Mo(CO) 6 and H 2 S or diethyl sulfide. 如請求項1所述之方法,其中對該二維過渡金屬硫族化物層進行熱處理的步驟進一步包括: 將該二維過渡金屬硫族化物層轉化為一結晶態。The method according to claim 1, wherein the step of heat-treating the two-dimensional transition metal chalcogenide layer further comprises: The two-dimensional transition metal chalcogenide layer is converted into a crystalline state. 如請求項1所述之方法,進一步包括以下步驟: 在形成該二維過渡金屬硫族化物層之前,在整合於該群集處理系統中的一預清潔腔室中預清潔該基板。The method described in claim 1, further comprising the following steps: Before forming the two-dimensional transition metal chalcogenide layer, the substrate is pre-cleaned in a pre-cleaning chamber integrated in the cluster processing system. 如請求項11所述之方法,其中該基板是經由一遠端電漿源而預清潔,該遠端電漿源是由該預清潔腔室內的一含氫氣體混合物所產生的。The method according to claim 11, wherein the substrate is pre-cleaned by a remote plasma source, and the remote plasma source is generated by a hydrogen-containing gas mixture in the pre-cleaning chamber. 如請求項1所述之方法,其中該二維過渡金屬硫族化物層、該經處理的金屬層、和該覆蓋層是在該群集處理腔室中沒有破壞真空而形成。The method of claim 1, wherein the two-dimensional transition metal chalcogenide layer, the processed metal layer, and the covering layer are formed without breaking vacuum in the cluster processing chamber. 如請求項1所述之方法,其中該覆蓋層是SiN、SiO2 、和HfO2 中的至少一種。The method according to claim 1, wherein the covering layer is at least one of SiN, SiO 2 , and HfO 2 . 一種用於半導體元件的一元件結構的方法,包括以下步驟: 在一群集處理系統中而不破壞真空下在一基板上實行一第一沉積處理以形成一二維過渡金屬硫族化物層; 在該群集處理系統中而不破壞真空下對該二維過渡金屬硫族化物層實行一熱處置處理;和 在該群集處理系統中而不破壞真空下實行一第二沉積處理以在該二維過渡金屬硫族化物層上形成一覆蓋層。A method for a device structure of a semiconductor device includes the following steps: Perform a first deposition process on a substrate in a cluster processing system without breaking the vacuum to form a two-dimensional transition metal chalcogenide layer; Perform a heat treatment process on the two-dimensional transition metal chalcogenide layer in the cluster processing system without breaking the vacuum; and In the cluster processing system, a second deposition process is performed without breaking the vacuum to form a cover layer on the two-dimensional transition metal chalcogenide layer. 如請求項15所述之方法,其中該二維過渡金屬硫族化物層是MoS2 、WS2 、MoSe2 、和WSe2 中的至少一種。The method according to claim 15, wherein the two-dimensional transition metal chalcogenide layer is at least one of MoS 2 , WS 2 , MoSe 2 , and WSe 2 . 如請求項15所述之方法,其中該第一沉積處理是一原子層沉積處理或一化學氣相沉積處理。The method according to claim 15, wherein the first deposition process is an atomic layer deposition process or a chemical vapor deposition process. 如請求項15所述之方法,其中該第二沉積處理是一原子層沉積處理或一化學氣相沉積處理。The method according to claim 15, wherein the second deposition process is an atomic layer deposition process or a chemical vapor deposition process. 如請求項15所述之方法,其中藉由提供包括Mo(CO)6 和H2 S或二乙硫醚的氣體混合物來實行該第一沉積處理,以形成該二維過渡金屬硫族化物層。The method according to claim 15, wherein the first deposition process is performed by providing a gas mixture including Mo(CO) 6 and H 2 S or diethyl sulfide to form the two-dimensional transition metal chalcogenide layer . 一種群集處理系統,包括: 一第一沉積腔室,該第一沉積腔室經配置以形成一二維過渡金屬硫族化物層,其中該第一沉積腔室是一原子層沉積腔室或一化學氣相沉積腔室。 一退火腔室; 一第二沉積腔室;和 一預清潔腔室。A cluster processing system includes: A first deposition chamber configured to form a two-dimensional transition metal chalcogenide layer, wherein the first deposition chamber is an atomic layer deposition chamber or a chemical vapor deposition chamber. An annealing chamber; A second deposition chamber; and A pre-cleaning chamber.
TW108140950A 2018-11-20 2019-11-12 A cluster processing system for forming a transition metal material TWI842772B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/197,048 2018-11-20
US16/197,048 US11756828B2 (en) 2018-11-20 2018-11-20 Cluster processing system for forming a transition metal material

Publications (2)

Publication Number Publication Date
TW202027198A true TW202027198A (en) 2020-07-16
TWI842772B TWI842772B (en) 2024-05-21

Family

ID=

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI775516B (en) * 2021-07-07 2022-08-21 國立雲林科技大學 Method for making transition metal chalcogenide films

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI775516B (en) * 2021-07-07 2022-08-21 國立雲林科技大學 Method for making transition metal chalcogenide films

Also Published As

Publication number Publication date
WO2020106386A1 (en) 2020-05-28
US20230377958A1 (en) 2023-11-23
US11756828B2 (en) 2023-09-12
US20200161176A1 (en) 2020-05-21

Similar Documents

Publication Publication Date Title
US10837122B2 (en) Method and apparatus for precleaning a substrate surface prior to epitaxial growth
US11101174B2 (en) Gap fill deposition process
US20220013716A1 (en) Methods for forming structures with desired crystallinity for mram applications
US20230377958A1 (en) Cluster processing system for forming a metal containing material
TWI691612B (en) Direct deposition of nickel silicide nanowire
US9528183B2 (en) Cobalt removal for chamber clean or pre-clean process
US7011866B1 (en) Method and apparatus for film deposition
TWI557799B (en) Methods for oxidation of a semiconductor device
TWI640040B (en) Methods for stabilizing an interface post etch to minimize queue time issues before next processing step
US7524769B2 (en) Method and system for removing an oxide from a substrate
TW200915402A (en) Method and apparatus for cleaning a substrate surface
US11993845B2 (en) High selectivity atomic layer deposition process
KR20200024360A (en) Methods and Apparatus for Deposition of Low-K Films
TWI842772B (en) A cluster processing system for forming a transition metal material
JP2009071163A (en) Method of manufacturing semiconductor device, apparatus for manufacturing semiconductor device, and display device
US11569245B2 (en) Growth of thin oxide layer with amorphous silicon and oxidation
WO2010070981A1 (en) Method and apparatus for manufacturing semiconductor device
JPH02298270A (en) Film formation
JP2013047388A (en) Microcrystalline silicon film forming method, microcrystalline silicon film deposition apparatus, and display device