TW202017046A - Semiconductor device and method of forming the same - Google Patents

Semiconductor device and method of forming the same Download PDF

Info

Publication number
TW202017046A
TW202017046A TW108128853A TW108128853A TW202017046A TW 202017046 A TW202017046 A TW 202017046A TW 108128853 A TW108128853 A TW 108128853A TW 108128853 A TW108128853 A TW 108128853A TW 202017046 A TW202017046 A TW 202017046A
Authority
TW
Taiwan
Prior art keywords
etch stop
stop layer
semiconductor device
layer
manufacturing
Prior art date
Application number
TW108128853A
Other languages
Chinese (zh)
Other versions
TWI716067B (en
Inventor
鄭凱方
鄧志霖
黃心巖
陳海清
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/451,432 external-priority patent/US11315828B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202017046A publication Critical patent/TW202017046A/en
Application granted granted Critical
Publication of TWI716067B publication Critical patent/TWI716067B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A semiconductor device formation method includes providing a dielectric layer; forming a metal line in the dielectric layer; forming an etch stop layer on the metal line, wherein the etch stop layer includes a metal atom bonded with a hydroxyl group; performing a treatment process to the etch stop layer to displace hydrogen in the hydroxyl group with an element other than hydrogen; partially etching the etch stop layer to expose the metal line; and forming a conductive feature above the etch stop layer and in physical contact with the metal line.

Description

半導體裝置及其製造方法Semiconductor device and its manufacturing method

本發明實施例係關於一種半導體技術,且特別是關於一種半導體裝置及其製造方法。The embodiments of the present invention relate to a semiconductor technology, and particularly to a semiconductor device and a method of manufacturing the same.

半導體積體電路(IC)工業歷經了指數式增長。積體電路(IC)材料及設計的技術進展已經產生了幾世代積體電路(IC),其中每一世代都具有比上一世代更小和更複雜的電路。在積體電路(IC)演變製程中,功能密度(即,每晶片面積的內連接裝置的數量)通常會增加,而幾何尺寸(即,可使用製造製程產生的最小部件(或線))卻縮小。這種按比例微縮製程通常透過提高生產效率及降低相關成本而帶來益處。這種按比例微縮也增加了加工及製造積體電路(IC)的複雜性。The semiconductor integrated circuit (IC) industry has experienced exponential growth. The technical progress of integrated circuit (IC) materials and design has produced several generations of integrated circuits (ICs), each of which has smaller and more complex circuits than the previous generation. In an integrated circuit (IC) evolution process, the functional density (ie, the number of interconnect devices per chip area) usually increases, while the geometric size (ie, the smallest component (or line) that can be produced using the manufacturing process) is Zoom out. This scaling process usually brings benefits by increasing production efficiency and reducing related costs. This scaling down also increases the complexity of processing and manufacturing integrated circuits (ICs).

作為半導體製造的一部分,可形成導電元件以提供積體電路(IC)中的各種部件電性內連接。舉例來說,可以透過蝕刻金屬層間介電(IMD)層中的開口來形成用於內連接 不同金屬層的導電線及介層孔電極(via)。金屬氧化物複合物可用於形成作為終點控制的蝕刻停止層,進而提供高蝕刻選擇比。然而,氫氧基(-OH)經常存在於含金屬氧化物的膜層中,此可能導致導電特徵部件下方的金屬元素的氧化。因此,儘管蝕刻停止層形成製程通常已經足夠用於其預期目的,但其在每方面並非完全令人滿意的。As part of semiconductor manufacturing, conductive elements can be formed to provide electrical interconnection of various components in an integrated circuit (IC). For example, conductive lines and vias for interconnecting different metal layers can be formed by etching the openings in the intermetal dielectric (IMD) layer. The metal oxide compound can be used to form an etch stop layer as an endpoint control, thereby providing a high etch selectivity. However, hydroxyl groups (-OH) are often present in the metal oxide-containing film, which may lead to the oxidation of metal elements under the conductive features. Therefore, although the etch stop layer formation process is usually sufficient for its intended purpose, it is not completely satisfactory in every aspect.

一種半導體裝置之製造方法包括:提供一介電層;形成一金屬線於介電層內;形成一蝕刻停止層於金屬線上,其中蝕刻停止層包括與氫氧基鍵結的金屬原子;對蝕刻停止層進行一處理製程,以用氫以外的元素來置換氫氧基中的氫;局部蝕刻蝕刻停止層以露出金屬線;以及形成一導電特徵部件於蝕刻停止層上,且與金屬線實體接觸。A method for manufacturing a semiconductor device includes: providing a dielectric layer; forming a metal line in the dielectric layer; forming an etch stop layer on the metal line, wherein the etch stop layer includes metal atoms bonded to hydroxyl groups; The stop layer undergoes a process to replace hydrogen in the hydroxyl group with elements other than hydrogen; partially etch the etch stop layer to expose the metal line; and form a conductive feature on the etch stop layer and make physical contact with the metal line .

一種半導體裝置之製造方法包括:提供一基底,具有一第一介電層及埋入於第一介電層內的一導電特徵部件;形成一蝕刻停止層於第一介電層及導電特徵部件上,其中蝕刻停止層包括一金屬氧化物;將一含矽摻雜物沉積至蝕刻停止層,其中含矽摻雜物與金屬氧化物反應而產生M-O-Si基團,M代表金屬氧化物中的金屬原子;形成一第二介電層於蝕刻停止層上;以及形成一導電結構於第二介電層內,其中導電結構與導電特徵部件電性連接。A method for manufacturing a semiconductor device includes: providing a substrate having a first dielectric layer and a conductive feature buried in the first dielectric layer; forming an etch stop layer on the first dielectric layer and the conductive feature Above, where the etch stop layer includes a metal oxide; a silicon-containing dopant is deposited onto the etch stop layer, wherein the silicon-containing dopant reacts with the metal oxide to generate MO-Si groups, and M represents the metal oxide Forming a second dielectric layer on the etch stop layer; and forming a conductive structure in the second dielectric layer, wherein the conductive structure is electrically connected to the conductive feature.

一種半導體裝置包括:一第一導電元件,設置於第一介電層內;一蝕刻停止層,設置於第一介電層上,其中蝕刻停止層包括M-O-X基團,M代表金屬元素,X代表氫以外的元素;一第二介電層,設置於蝕刻停止層上;以及一第二導電元件,埋入於第二介電層內,並穿過蝕刻停止層,其中第二導電元件與第一導電元件實體接觸。A semiconductor device includes: a first conductive element disposed in the first dielectric layer; an etch stop layer disposed on the first dielectric layer, wherein the etch stop layer includes a MOX group, M represents a metal element, and X represents An element other than hydrogen; a second dielectric layer, disposed on the etch stop layer; and a second conductive element, buried in the second dielectric layer, and passing through the etch stop layer, wherein the second conductive element and the second A conductive element is in physical contact.

以下的揭露內容提供許多不同的實施例或範例,以實施本發明的不同特徵部件。而以下的揭露內容是敘述各個構件及其排列方式的特定範例,以求簡化本揭露內容。當然,這些僅為範例說明並非用以限定本發明。舉例來說,若是以下的揭露內容敘述了將一第一特徵部件形成於一第二特徵部件之上或上方,即表示其包含了所形成的上述第一特徵部件與上述第二特徵部件是直接接觸的實施例,亦包含了尚可將附加的特徵部件形成於上述第一特徵部件與上述第二特徵部件之間,而使上述第一特徵部件與上述第二特徵部件可能未直接接觸的實施例。另外,本揭露內容在各個不同範例中會重複標號及/或文字。重複是為了達到簡化及明確目的,而非自行指定所探討的各個不同實施例及/或配置之間的關係。The following disclosure provides many different embodiments or examples to implement different features of the present invention. The following disclosure content is a specific example describing the various components and their arrangement, in order to simplify the disclosure content. Of course, these are only examples and are not intended to limit the present invention. For example, if the following disclosure describes forming a first feature on or above a second feature, it means that it includes the formed first feature and the second feature directly The contact embodiment also includes an implementation in which additional feature parts can be formed between the first feature part and the second feature part, so that the first feature part and the second feature part may not be in direct contact example. In addition, the content of this disclosure will repeat the label and/or text in different examples. The repetition is for simplicity and clarity, rather than specifying the relationship between the various embodiments and/or configurations discussed.

再者,在空間上的相關用語,例如"下方"、"之下"、"下"、"上方"、"上"等等在此處係用以容易表達出本說明書中所繪示的圖式中元件或特徵部件與另外的元件或特徵部件的關係。這些空間上的相關用語除了涵蓋圖式所繪示的方位外,還涵蓋裝置於使用或操作中的不同方位。此裝置可具有不同方位(旋轉90度或其他方位)且此處所使用的空間上的相關符號同樣有相應的解釋。此外,當使用“約”、“近似”等描述數字或數字範圍時,除非另有說明,否則此用語旨在包括所述數字的+/- 10%內的數字。舉例來說,用語 “約5nm”包括4.5nm至5.5nm的尺寸範圍。Furthermore, spatially related terms, such as "below", "below", "below", "above", "upper", etc. are used here to easily express the figures shown in this specification In the formula, the relationship between an element or a feature part and another element or a feature part. These spatially related terms not only cover the orientation shown in the drawings, but also cover different orientations of the device in use or operation. This device can have different orientations (rotate 90 degrees or other orientations) and the relevant spatial symbols used here also have corresponding interpretations. In addition, when using "about", "approximately", etc. to describe a number or a range of numbers, unless otherwise stated, this term is intended to include numbers within +/- 10% of the number. For example, the term "about 5 nm" includes a size range of 4.5 nm to 5.5 nm.

本揭露實施例通常與積體電路相關,更具體係關於積體電路中的內連接結構及其形成方法,更具體係關於蝕刻停止層的形成。The embodiments of the present disclosure are generally related to integrated circuits, more systematically regarding the interconnection structure and formation method in the integrated circuit, and more specifically regarding the formation of an etch stop layer.

積體電路包含由佈線間間隔(inter-wiring spacing)所隔開的多個圖案化金屬線。通常垂直間隔的金屬化層的金屬圖案透過介層孔電極而電性內連接。在溝槽狀開口中形成的金屬線通常實質上平行延伸於半導體基底。根據現有技術,這種類型的半導體裝置可包括八層或更多層金屬化層,以滿足裝置幾何形狀及微型化要求。The integrated circuit includes a plurality of patterned metal lines separated by an inter-wiring spacing. Generally, the metal patterns of the vertically spaced metallization layers are electrically connected through the via electrode. The metal line formed in the trench-shaped opening generally extends substantially parallel to the semiconductor substrate. According to the prior art, this type of semiconductor device may include eight or more metallization layers to meet device geometry and miniaturization requirements.

用於形成金屬線或插塞的常用製程稱作 “鑲嵌”。通常,上述製程係關於在介電內層中形成開口,上述開口將垂直間隔的金屬化層隔開。通常使用傳統的微影和蝕刻技術形成開口。在一些實施例中,在形成開口之後,用金屬或金屬合金(例如,銅或銅合金)填充開口,以形成金屬線及可能形成介層孔電極。然後透過化學機械平坦化(chemical mechanical planarization CMP)去除介電內層表面上方的過量金屬材料。A common process for forming metal wires or plugs is called "mosaic". Generally, the above process involves forming an opening in the dielectric inner layer, the opening separating the vertically spaced metallization layers. The openings are usually formed using traditional lithography and etching techniques. In some embodiments, after the opening is formed, the opening is filled with metal or metal alloy (eg, copper or copper alloy) to form a metal line and possibly a via electrode. Then the excess metal material above the surface of the dielectric inner layer is removed by chemical mechanical planarization (CMP).

為了精確地控制鑲嵌開口的形成,通常使用蝕刻停止層。 堆疊在下面的導電特徵部件(例如,金屬線)與介電內層(例如,低k值介電層)之間的蝕刻停止層提供隔離來作為阻擋層,且當開口形成在介電內層內時,還在後續蝕刻製程期間提供終點控制。In order to precisely control the formation of damascene openings, an etch stop layer is usually used. The etch stop layer stacked between the underlying conductive features (eg, metal lines) and the dielectric inner layer (eg, low-k dielectric layer) provides isolation as a barrier layer, and when the opening is formed in the dielectric inner layer It also provides end point control during the subsequent etching process.

選擇蝕刻停止層的材料組成,使蝕刻停止層與介電內層之間存在蝕刻選擇比,使蝕刻製程蝕刻穿過介電內層將停止於蝕刻停止層處而不會導致下方導電特徵部件蝕刻損壞。 這裡使用的用語“蝕刻選擇比”是指介電內層的蝕刻速率除以蝕刻停止層的蝕刻速率。 舉例來說,約10的蝕刻選擇比將導致在蝕刻製程期間介電內層去除速率比去除蝕刻停止層快約10倍。Select the material composition of the etch stop layer so that there is an etch selectivity between the etch stop layer and the dielectric inner layer, so that the etching process through the dielectric inner layer will stop at the etch stop layer without causing the underlying conductive features to etch damage. The term "etch selectivity ratio" as used herein refers to the etching rate of the dielectric inner layer divided by the etching rate of the etching stop layer. For example, an etch selection ratio of about 10 will result in a dielectric inner layer removal rate that is about 10 times faster than the etch stop layer removal during the etching process.

含金屬氧化物的材料(也稱作金屬氧化物複合物)通常對於低k值電介質材料提供高蝕刻選擇比。因此,在現代技術世代中,蝕刻停止層可包括金屬氧化物複合物,例如氧化鋁或氮氧化鋁。儘管如此,含有金屬氧化物的蝕刻停止層仍然存在缺點。含金屬氧化物的材料通常包括氫氧基(-OH),其含有與氫鍵結的氧。氫氧基在整個含金屬氧化物的材料中擴散,但在蝕刻停止層的頂表面上具有最高濃度。氫氧基透過H2 O分子的解離化學吸附形成,並且通常認為水化(hydration)及氫氧基化(hydroxylation)發生在表面上露出的晶格金屬離子配位,因為晶格金屬離子是強路易斯酸(Lewis acid)。大多數氫氧基是表面氫氧基的形式,其停留在蝕刻停止層的表面上。當蝕刻停止層較厚時,當距離遠離表面時,氫氧基的濃度急劇下降。然而,當蝕刻停止層較薄時,例如小於約100Å(埃),蝕刻停止層底部的氫氧基濃度仍可能高到足以引起下面的導電特徵部件內的金屬元素的氧化。此外,一些表面氫氧基更容易滲透到下方與下方的導電特徵部件的界面並氧化其中的金屬元素。這種氧化於下方的導電特徵部件內產生空位(有時是奈米級),其中金屬的體積受氧化而消耗。減少空位形成的一種方法是增加蝕刻停止層的厚度,以增加用以降低氫氧基濃度至某個閾值以下的深度。在一些實施例中,蝕刻停止層的厚度為約10nm至約20nm,以避免氧化。隨著半導體技術世代繼續按比例微縮小,厚的蝕刻停止層增加了寄生電容並降低了半導體裝置的速度。因此需要一種解決方案。Metal oxide-containing materials (also known as metal oxide composites) generally provide high etch selectivity for low-k dielectric materials. Therefore, in the modern technology generation, the etch stop layer may include a metal oxide compound, such as aluminum oxide or aluminum oxynitride. Nevertheless, the etch stop layer containing metal oxide still has disadvantages. Metal oxide-containing materials generally include a hydroxyl group (-OH), which contains hydrogen-bonded oxygen. The hydroxyl group diffuses throughout the metal oxide-containing material, but has the highest concentration on the top surface of the etch stop layer. Hydroxyl groups are formed through the dissociation chemisorption of H 2 O molecules, and it is generally believed that hydration and hydroxylation occur on the lattice metal ions exposed on the surface because the lattice metal ions are strong Lewis acid. Most hydroxyl groups are in the form of surface hydroxyl groups, which stay on the surface of the etch stop layer. When the etch stop layer is thick, when the distance is far from the surface, the concentration of the hydroxyl group drops sharply. However, when the etch stop layer is thin, for example, less than about 100Å (Angstroms), the concentration of hydroxyl groups at the bottom of the etch stop layer may still be high enough to cause oxidation of the metal elements within the underlying conductive features. In addition, some surface hydroxyl groups are more likely to penetrate the interface between the underlying conductive features and oxidize the metal elements therein. This oxidation creates vacancies (sometimes on the order of nanometers) in the conductive features below, where the volume of the metal is consumed by oxidation. One way to reduce the formation of vacancies is to increase the thickness of the etch stop layer to increase the depth used to reduce the hydroxyl group concentration below a certain threshold. In some embodiments, the thickness of the etch stop layer is about 10 nm to about 20 nm to avoid oxidation. As semiconductor technology generations continue to scale down proportionally, thick etch stop layers increase parasitic capacitance and reduce the speed of semiconductor devices. Therefore, a solution is needed.

以下詳細討論本發明實施例的製備及使用。一種方法顯著減少或實質上消除來自蝕刻停止層的氫氧基,且得到積體電路的新型內連接結構,其允許蝕刻停止層的厚度小於50埃(例如,薄至約20埃)。以下示出製造上述實施例的中間階段且 討論了實施例的變化。 在本文的各種視圖及說明性實施例中,相同的圖式標記用於表示相同的部件。然而,可理解的是,實施例提供了許多可以在各種特定場合下實施的可應用的發明概念。 所討論的具體實施例僅說明製造及使用本發明的特定方式,並非限制本發明的範圍。The preparation and use of embodiments of the present invention are discussed in detail below. One method significantly reduces or substantially eliminates the hydroxyl groups from the etch stop layer, and results in a new interconnect structure for integrated circuits that allows the thickness of the etch stop layer to be less than 50 Angstroms (eg, as thin as about 20 Angstroms). The following shows the intermediate stages of manufacturing the above-described embodiment and discusses changes of the embodiment. In the various views and illustrative embodiments herein, the same graphical notations are used to represent the same components. However, it is understandable that the embodiments provide many applicable inventive concepts that can be implemented in various specific occasions. The specific embodiments discussed are merely illustrative of specific ways to make and use the invention, and do not limit the scope of the invention.

第1A、1B、1C及1D圖係繪示出了根據一些實施例的形成積體電路的方法100的流程圖。可於方法100之前,期間及之後提供額外的操作步驟,且對於方法100的其他實施例,可以進行替換或排除所述的某些操作步驟。以下方法100係配合第2-14圖進行討論。第2、3、5、9、10、11、12及13圖係繪示出了根據一些實施例的方法100的各種製造階段期間的示例性積體電路200的剖面示意圖。第 4、6、7、8及14圖係繪示出了金屬氧化物複合物及相關物理性質的示例性化學式。FIGS. 1A, 1B, 1C, and 1D are flowcharts illustrating a method 100 of forming an integrated circuit according to some embodiments. Additional operation steps may be provided before, during, and after the method 100, and for other embodiments of the method 100, certain operation steps described may be replaced or excluded. The following method 100 is discussed in conjunction with Figures 2-14. Figures 2, 3, 5, 9, 10, 11, 12, and 13 are schematic cross-sectional views illustrating an exemplary integrated circuit 200 during various manufacturing stages of the method 100 according to some embodiments. Figures 4, 6, 7, 8 and 14 show exemplary chemical formulas of metal oxide composites and related physical properties.

請參照第1A圖,方法100始於操作步驟102,提供或接收一裝置200,其包括如第2圖所示的一基底202。在一些實施例中,基底202包括矽。或者,根據一些實施例,基底202可包括其他元素半導體,例如鍺。在一些實施例中,基底202另外地或替代地包括化合物半導體,諸如碳化矽、砷化鎵、砷化銦及磷化銦。在一些實施例中,基底202包括合金半導體,諸如矽鍺、碳化矽鍺、磷化鎵砷及磷化鎵銦。Referring to FIG. 1A, the method 100 starts at operation 102, providing or receiving a device 200, which includes a substrate 202 as shown in FIG. In some embodiments, the substrate 202 includes silicon. Alternatively, according to some embodiments, the substrate 202 may include other element semiconductors, such as germanium. In some embodiments, the substrate 202 additionally or alternatively includes compound semiconductors such as silicon carbide, gallium arsenide, indium arsenide, and indium phosphide. In some embodiments, the substrate 202 includes alloy semiconductors, such as silicon germanium, silicon germanium carbide, gallium arsenide phosphide, and gallium indium phosphide.

在一些實施例中,基底202包括絕緣體覆半導體(semiconductor-on-insulator, SOI)結構。舉例來說,基底202可包括透過氧離子佈植隔離法(separation by implanted oxygen, SIMOX)的製程形成的埋入式氧化物(BOX)層。在各種實施例中,基底202包括各種p型摻雜區及/或n型摻雜區,例如透過諸如離子佈植及/或擴散的製程形成p型井區、n型井區、p型源極/汲極特徵部件及/或n型源極/ 汲極特徵部件。 基底202可包括其他功能特徵部件,例如電阻器、電容器、二極體、電晶體(例如,場效應電晶體(field effect transistor, FET))。基底202可包括橫向隔離特徵部件,其配置為將形成於基底202上的各種裝置分離。In some embodiments, the substrate 202 includes a semiconductor-on-insulator (SOI) structure. For example, the substrate 202 may include a buried oxide (BOX) layer formed by a process of separation by implanted oxygen (SIMOX). In various embodiments, the substrate 202 includes various p-type doped regions and/or n-type doped regions, for example, p-type well regions, n-type well regions, and p-type sources are formed through processes such as ion implantation and/or diffusion Pole/drain feature and/or n-type source/drain feature. The substrate 202 may include other functional features such as resistors, capacitors, diodes, and transistors (for example, field effect transistors (FETs)). The substrate 202 may include lateral isolation features configured to separate various devices formed on the substrate 202.

基底202可包括形成於上表面上的一介電層204。在一些實施例中,介電層204為金屬層間介電(IMD)層,其介電常數值(k值)約在1至約5的範圍內。舉例來說,低於約3.5的低k值。低k值介電層可包括常用的低k值介電材料,例如含碳介電材料,且也可包含氮、氫、氧及其組合。The substrate 202 may include a dielectric layer 204 formed on the upper surface. In some embodiments, the dielectric layer 204 is an inter-metal dielectric (IMD) layer with a dielectric constant value (k value) in the range of about 1 to about 5. For example, a low k value below about 3.5. The low-k dielectric layer may include commonly used low-k dielectric materials, such as carbon-containing dielectric materials, and may also include nitrogen, hydrogen, oxygen, and combinations thereof.

請再參照第1A及2圖,方法100包括一操作步驟104,形成一擴散阻障層208及埋入於介電層204內的一或多個下方導電特徵部件206。擴散阻障層208可包括鈦、氮化鈦、鉭、氮化鉭、或其他替代物。在第2圖所示的實施例中,形成一下方導電特徵部件206。Referring again to FIGS. 1A and 2, the method 100 includes an operation step 104 to form a diffusion barrier layer 208 and one or more underlying conductive features 206 embedded in the dielectric layer 204. The diffusion barrier layer 208 may include titanium, titanium nitride, tantalum, tantalum nitride, or other alternatives. In the embodiment shown in FIG. 2, a lower conductive feature 206 is formed.

在一些實施例中,下方導電特徵部件206是金屬特徵部件,例如金屬線、金屬介層孔電極或金屬接觸特徵部件。在一些實施例中,下方導電特徵部件206包括金屬線和金屬介層孔電極,其透過合適的製程形成,例如雙鑲嵌製程或其他合適的製程(包括原子層沉積(atomic layer deposition, ALD)、化學氣相沉積(chemical vapor deposition, CVD)、物理氣相沉積(physical vapor deposition, PVD)、無電金屬沉積(electroless metal deposition, ELD)或電​​化學鍍(electrochemical plating, ECP)製程。下方導電特徵部件206的材料可包括銅(Cu)或銅合金。或者,也可由其他導電材料形成或包括其他導電材料,例如鎳(Ni)、鈷(Co)、釕(Ru)、銥(Ir)、鋁(Al)、鉑(Pt)、鈀( Pd)、金(Au)、銀(Ag)、鋨(Os)、鎢(W)等。形成下方導電特徵部件206的操作步驟可包括形成一鑲嵌開口於低k值介電層204內、形成一擴散阻障層208於鑲嵌開口內、沉積銅或銅合金的薄種子層以及填充鑲嵌開口(例如,透過電鍍)。然後進行化學機械平坦化(CMP)以使表面平整,得到如第2圖所示的結構。In some embodiments, the lower conductive features 206 are metal features, such as metal wires, metal via electrodes, or metal contact features. In some embodiments, the lower conductive features 206 include metal lines and metal via electrodes, which are formed by suitable processes, such as dual damascene processes or other suitable processes (including atomic layer deposition (ALD), Processes of chemical vapor deposition (CVD), physical vapor deposition (PVD), electroless metal deposition (ELD) or electrochemical plating (ECP). Conductivity underneath The material of the feature part 206 may include copper (Cu) or copper alloy. Alternatively, it may be formed of other conductive materials or include other conductive materials, such as nickel (Ni), cobalt (Co), ruthenium (Ru), iridium (Ir), Aluminum (Al), platinum (Pt), palladium (Pd), gold (Au), silver (Ag), osmium (Os), tungsten (W), etc. The steps of forming the underlying conductive features 206 may include forming a damascene Opening in the low-k dielectric layer 204, forming a diffusion barrier layer 208 in the damascene opening, depositing a thin seed layer of copper or copper alloy, and filling the damascene opening (for example, by electroplating). Then chemical mechanical planarization ( CMP) to smooth the surface to obtain the structure shown in Figure 2.

或者,下方導電特徵部件206可為其他導電特徵部件。 在一些實施例中,下方導電特徵部件206為摻雜的半導體特徵部件,例如源極/汲極特徵部件,而未被擴散阻障層208包圍。在進一步的實施例中,形成矽化物於摻雜的半導體特徵部件的上表面上。 在一些實施例中,下方導電特徵部件206為閘電極、電容器或電阻器。在進一步的實施例中,金屬形成於閘電極的上表面(例如金屬閘極)、電容器(例如電容器的金屬電極)或電阻器上。Alternatively, the lower conductive features 206 may be other conductive features. In some embodiments, the lower conductive features 206 are doped semiconductor features, such as source/drain features, and are not surrounded by the diffusion barrier layer 208. In a further embodiment, silicide is formed on the upper surface of the doped semiconductor feature. In some embodiments, the lower conductive feature 206 is a gate electrode, capacitor, or resistor. In a further embodiment, the metal is formed on the upper surface of the gate electrode (eg metal gate), capacitor (eg metal electrode of the capacitor) or resistor.

在第2圖所示的實施例中,下方導電特徵部件206為多層內連接(multilayer interconnection, MLI)結構中一金屬層內的一金屬線。多層內連接(MLI)結構包括多個金屬層內的金屬線。 不同金屬層內的金屬線可透過垂直導電特徵部件連接,這些導電特徵部件被稱為介層孔電極特徵部件。多層內連接結構也包括配置成將金屬線連接到基底202上的閘電極及/或摻雜特徵部件的接觸點。多層內連接(MLI)結構係設計成耦接各種裝置特徵部件(諸如各種p型及n型摻雜區、閘極電極及/或被動裝置)以形成功能電路。在進一步的實施例中,介電層204為多層內連接(MLI)結構的第一介電材料層,而下方導電特徵部件206為多層內連接(MLI)結構的底部金屬層中的金屬線。In the embodiment shown in FIG. 2, the lower conductive feature 206 is a metal line in a metal layer in a multilayer interconnection (MLI) structure. A multi-layer interconnection (MLI) structure includes metal lines in multiple metal layers. The metal wires in different metal layers can be connected through vertical conductive features, which are called via electrode features. The multi-layer interconnection structure also includes contact points configured to connect metal lines to the gate electrode and/or doped features on the substrate 202. The multi-layer interconnect (MLI) structure is designed to couple various device features (such as various p-type and n-type doped regions, gate electrodes, and/or passive devices) to form functional circuits. In a further embodiment, the dielectric layer 204 is the first dielectric material layer of the multilayer interconnection (MLI) structure, and the lower conductive feature 206 is a metal line in the bottom metal layer of the multilayer interconnection (MLI) structure.

請參照第1及3圖,方法100進行到操作步驟106,形成一蝕刻停止層210於介電層204及下方導電特徵部件206上。在一些實施例中,蝕刻停止層210包括金屬氧化物複合物。在金屬氧化物複合物中,一些金屬原子與氧原子鍵結而一些金屬原子不與氧原子鍵結。金屬 - 氧鍵結(M-O鍵結,M代表金屬元素)濃度定義為與氧鍵結的金屬原子數除以給定體積中金屬原子總數。金屬-氧(M-O)鍵結濃度可簡稱為氧濃度。當金屬氧化物具有較高的氧濃度時,更多的金屬原子與氧鍵結,反之亦然。在一些示例中,金屬氧化物的金屬-氧(M-O)鍵結濃度大於80%,例如約90%。 在其他示例中,金屬氧化物的金屬-氧(M-O)鍵結濃度約為30%至約60%,例如約50%。Referring to FIGS. 1 and 3, the method 100 proceeds to operation step 106 to form an etch stop layer 210 on the dielectric layer 204 and the underlying conductive features 206. In some embodiments, the etch stop layer 210 includes a metal oxide compound. In the metal oxide composite, some metal atoms are bonded to oxygen atoms and some metal atoms are not bonded to oxygen atoms. Metal-oxygen bonding (M-O bonding, M stands for metal element) concentration is defined as the number of metal atoms bonded to oxygen divided by the total number of metal atoms in a given volume. The metal-oxygen (M-O) bonding concentration can be referred to simply as the oxygen concentration. When the metal oxide has a higher oxygen concentration, more metal atoms are bound to oxygen, and vice versa. In some examples, the metal oxide has a metal-oxygen (M-O) bonding concentration greater than 80%, such as about 90%. In other examples, the metal oxide has a metal-oxygen (M-O) bonding concentration of about 30% to about 60%, for example about 50%.

在一些實施例中,蝕刻停止層210包括金屬氧化物、氮化物、氮氧化物或其組合,其中包括選自鉿(Hf)、釕(Ru)、鋯(Zr)、 鋁(Al),鈦(Ti)或其組合的金屬。可透過原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、旋塗製程或其他合適的方法來沉積蝕刻停止層210。In some embodiments, the etch stop layer 210 includes metal oxide, nitride, oxynitride, or a combination thereof, including selected from hafnium (Hf), ruthenium (Ru), zirconium (Zr), aluminum (Al), titanium (Ti) or a combination of metals. The etch stop layer 210 may be deposited by atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), spin coating process, or other suitable methods.

進一步配合第1B、1C及1D圖所示加以敘述。操作步驟106包括顯著減少或實質上排除來自蝕刻停止層210的氫氧基的特殊處理。沒有上述特殊處理下,蝕刻停止層將富含氫氧基(特別是對於表面氫氧基)且金屬-氧(M-O)鍵結可由以下化學式表示材料組成的一部分:

Figure 02_image001
在此特定示例中,金屬氧化物組成還包含氮,其中金屬元素鍵結在氮與氧之間,並且氧進一步與氫鍵結,從而形成氫氧基(-OH)。 換句話說,金屬-氧(M-O)鍵為金屬-氫氧(M-OH)基(或N-M-OH基)的一部分。如以上所述,在一些實施例中,金屬可為鉿(Hf)、釕(Ru)、鋯(Zr)、鋁(Al)及鈦(Ti)或其組合中的一種。在進一步的實施例中,蝕刻停止層210中的金屬為鋁(Al),且金屬氧化物組成可表示為第4圖中所示的化學式。含有氧化鋁及富含氫氧基的蝕刻停止層的密度可能約為2.64g/cm3 。This will be further described in conjunction with Figures 1B, 1C and 1D. Operation step 106 includes a special treatment that significantly reduces or substantially excludes the hydroxyl groups from the etch stop layer 210. Without the above special treatment, the etch stop layer will be rich in hydroxyl groups (especially for surface hydroxyl groups) and the metal-oxygen (MO) bond can be represented by the following chemical formula as part of the material composition:
Figure 02_image001
In this particular example, the metal oxide composition also contains nitrogen, where the metal element is bonded between nitrogen and oxygen, and oxygen is further bonded with hydrogen, thereby forming a hydroxyl group (-OH). In other words, the metal-oxygen (MO) bond is part of a metal-oxygen (M-OH) group (or NM-OH group). As described above, in some embodiments, the metal may be one of hafnium (Hf), ruthenium (Ru), zirconium (Zr), aluminum (Al), and titanium (Ti), or a combination thereof. In a further embodiment, the metal in the etch stop layer 210 is aluminum (Al), and the metal oxide composition can be expressed as the chemical formula shown in FIG. 4. The density of the etch stop layer containing aluminum oxide and rich in hydroxyl groups may be about 2.64 g/cm 3 .

氫氧基於蝕刻停止層的上表面上具有最高濃度。即使是下方導電特徵部件206的鄰近區域,上表面下方也存在少量氫氧基。由於氫氧基可滲透而氧化下方導電特徵部件206,因此通常需要厚的蝕刻停止層, 例如約10nm至約20nm,以降低其下表面附近的氫氧基濃度,以防止金屬覆蓋於氧化物下方。以下將討論操作步驟106中的特殊處理的各種實施例,其允許蝕刻停止層210實質上不含氫氧基,且在一些特定示例中實現小於約50Å的厚度,例如厚度約在10Å至30Å的範圍(例如,20Å)。The hydroxyl group has the highest concentration on the upper surface of the etch stop layer. Even in the vicinity of the lower conductive feature 206, a small amount of hydroxyl groups are present under the upper surface. Because the hydroxyl groups are permeable to oxidize the underlying conductive features 206, a thick etch stop layer is usually required, such as about 10 nm to about 20 nm, to reduce the concentration of hydroxyl groups near its lower surface to prevent the metal from covering under the oxide . Various embodiments of the special processing in operation step 106 will be discussed below, which allows the etch stop layer 210 to be substantially free of hydroxyl groups, and in some specific examples to achieve a thickness of less than about 50Å, for example, a thickness of about 10Å to 30Å Range (for example, 20Å).

請參照第1B圖,在一實施例中,操作步驟106包括操作步驟122以形成一金屬氧化物層作為蝕刻停止層210。金屬氧化物層包括金屬-氫氧(M-OH)基。在示例性實施例中,操作步驟122包括原子層沉積(ALD)製程。 在進一步的實施例中,蝕刻停止層210的形成在原子層沉積(ALD)製程的每個循環中使用含金屬的化學物質和含氧化學物質(例如依序地)。 舉例來說,含金屬化學物質包括肆(乙基甲基胺基)鉿(tetrakis(ethylmethylamino) hafnium, TEMA-Hf),肆(乙基甲基醯胺基)鋯(tetrakis(ethylmethylamido) zirconium (TEMA-Zr), TEMA-Zr),三甲基鋁(trimethyl aluminum, TMA),參(二甲基醯胺基)鋁(tris(dimethylamido) aluminum, TDMAA)及其組合。在各種示例中,肆(乙基甲基胺基)鉿(TEMA-Hf)用於形成氧化鉿;肆(乙基甲基醯胺基)鋯(TEMA-Zr)用於形成氧化鋯;及三甲基鋁(TMA)或參(二甲基醯胺基)鋁(TDMAA)用於形成氧化鋁。根據一些實施例,含氧化學物質包括氧分子(O2 )、臭氧(O3 )、水(H2 O)或其組合。Please refer to FIG. 1B. In an embodiment, operation step 106 includes operation step 122 to form a metal oxide layer as the etch stop layer 210. The metal oxide layer includes metal-oxygen (M-OH) groups. In an exemplary embodiment, operation step 122 includes an atomic layer deposition (ALD) process. In a further embodiment, the formation of the etch stop layer 210 uses metal-containing chemicals and oxidizing chemicals in each cycle of the atomic layer deposition (ALD) process (eg, sequentially). For example, metal-containing chemicals include tetrakis (ethylmethylamino) hafnium (tetrakis (ethylmethylamino) hafnium, TEMA-Hf), tetrakis (ethylmethylamido) zirconium (TEMA -Zr), TEMA-Zr), trimethyl aluminum (TMA), tris(dimethylamido) aluminum (TDMAA) and their combinations. In various examples, hafnium (ethylmethylamino) hafnium (TEMA-Hf) is used to form hafnium oxide; haze (ethylmethylamino) zirconium (TEMA-Zr) is used to form zirconium oxide; and three Methyl aluminum (TMA) or ginseng (dimethylamide) aluminum (TDMAA) is used to form alumina. According to some embodiments, the oxidant-containing substance includes oxygen molecules (O 2 ), ozone (O 3 ), water (H 2 O), or a combination thereof.

可以透過適當的原子層沉積(ALD)製程形成蝕刻停止層210,例如具有升溫的熱原子層沉積(ALD)製程,具有電漿輔助的電漿原子層沉積(ALD)製程,或者熱加電漿原子層沉積(ALD)製程。在一些實施例中,形成蝕刻停止層210的原子層沉積(ALD)製程包括製程溫度約在200ºC至400ºC範圍。在一些實施例中,形成蝕刻停止層210的原子層沉積(ALD)製程包括用於含金屬化學物質的製程溫度約在50ºC至100ºC範圍,以及蒸汽壓約在0.05Torr至0.5Torr的範圍。在一示例中,形成蝕刻停止層210的原子層沉積(ALD)製程包括用於肆(乙基甲基醯胺基)鉿(TEMA-Hf)或肆(乙基甲基醯胺基)鋯(TEMA-Zr)的製程溫度約在70ºC,以及蒸汽壓約在0.05Torr至0.2Torr的範圍。在另一個示例中,形成蝕刻停止層210的原子層沉積(ALD)製程包括用於三甲基鋁(TMA)的製程溫度約在70ºC,蒸汽壓約在0.1Torr至0.4Torr的範圍。在又一個特定示例中,形成蝕刻停止層210的原子層沉積(ALD)製程包括用於參(二甲基醯胺基)鋁(TDMAA)的製程溫度約在70ºC,蒸汽壓力約在50Torr至200Torr的範圍。The etch stop layer 210 may be formed through an appropriate atomic layer deposition (ALD) process, such as a thermal atomic layer deposition (ALD) process with a temperature rise, a plasma-assisted plasma atomic layer deposition (ALD) process with plasma assist, or thermally-added plasma Atomic layer deposition (ALD) process. In some embodiments, the atomic layer deposition (ALD) process for forming the etch stop layer 210 includes a process temperature in the range of approximately 200°C to 400°C. In some embodiments, the atomic layer deposition (ALD) process for forming the etch stop layer 210 includes a process temperature for metal-containing chemicals of about 50°C to 100°C, and a vapor pressure of about 0.05 Torr to 0.5 Torr. In one example, the atomic layer deposition (ALD) process for forming the etch stop layer 210 includes zirconium (TEMA-Hf) or zirconium (ethylmethylamide) hafnium (ethylmethylamide) TEMA-Zr) process temperature is about 70ºC, and vapor pressure is about 0.05Torr to 0.2Torr. In another example, the atomic layer deposition (ALD) process for forming the etch stop layer 210 includes a process temperature for trimethyl aluminum (TMA) of about 70°C and a vapor pressure of about 0.1 Torr to 0.4 Torr. In yet another specific example, the atomic layer deposition (ALD) process for forming the etch stop layer 210 includes a process temperature for para-(dimethylamino) aluminum (TDMAA) at about 70ºC and a steam pressure at about 50 Torr to 200 Torr Scope.

請再參照第1B圖,在一實施例中,操作步驟106更包括操作步驟124,沉積摻雜物212(第5圖)至含金屬氧化物的材料內。在所示實施例中,摻雜物包括矽基單體。單體是能夠但不與其他單體交聯的分子。矽基單體與氫氧基發生反應,且用金屬-氧化物-矽(M-O-Si)基團取代金屬-氫氧(M-OH)基團。操作步驟124可為具有操作步驟122的原位摻雜製程,這意味著操作步驟122及操作步驟124可在相同的製程反應室中進行。此外,在操作步驟122及操作步驟124之間可不發生破真空。在一些實施例中,摻雜物源被導入摻雜物浴槽中,溫度範圍約在350 ºC至400 ºC,壓力範圍介於約500 mTorr至800 mTorr,例如利用快速熱化學氣相沉積技術。在一些實施例中,透過電漿浸沒佈植(plasma immersion implantation)技術將矽基單體植入至蝕刻停止層210中。在一些替代實施例中,蝕刻停止層210由含矽基單體的溶液進行沖洗,然後進行加熱處理以蒸發溶液,而在蝕刻停止層210的表面上保有矽基單體。Please refer to FIG. 1B again. In an embodiment, the operation step 106 further includes the operation step 124 to deposit a dopant 212 (FIG. 5) into the metal oxide-containing material. In the illustrated embodiment, the dopant includes a silicon-based monomer. Monomers are molecules that can, but do not crosslink with other monomers. The silicon-based monomer reacts with the hydroxyl group and replaces the metal-oxygen (M-OH) group with a metal-oxide-silicon (M-O-Si) group. The operation step 124 may be an in-situ doping process having the operation step 122, which means that the operation step 122 and the operation step 124 may be performed in the same process reaction chamber. In addition, no vacuum break may occur between operation step 122 and operation step 124. In some embodiments, the dopant source is introduced into the dopant bath at a temperature ranging from approximately 350 ºC to 400 ºC and a pressure ranging from approximately 500 mTorr to 800 mTorr, for example using rapid thermal chemical vapor deposition techniques. In some embodiments, the silicon-based monomer is implanted into the etch stop layer 210 by plasma immersion implantation technology. In some alternative embodiments, the etch stop layer 210 is rinsed with a solution containing a silicon-based monomer, and then subjected to a heat treatment to evaporate the solution, while the silicon-based monomer remains on the surface of the etch stop layer 210.

第6圖顯示了以矽基單體作為摻雜物的示例性化學式。矽基單體212包括位於矽原子所有四側上的官能基團。具體地,單體212的四個側包括官能基團R 1、R 2、R 3及R 4,其中每個官能基團可以獨立地與一個或其他官能基團相同或不同。在一示例中,單體212具有四個相同的官能基團,例如四個甲基。在另一示例中,單體212具有四個不同的官能基團。每個官能基團可獨立地代表多種元素或分子,包括但不限於氫、甲基或乙基。在一些進一步的實施例中,官能基團可不直接與矽(Si)原子鍵結,而是透過氧鍵結,形成Si-O-R基。 在一些實施例中,一或多種官能基團可能能夠提供與另一種單體的交聯能力。Figure 6 shows an exemplary chemical formula using silicon-based monomers as dopants. The silicon-based monomer 212 includes functional groups on all four sides of the silicon atom. Specifically, the four sides of the monomer 212 include functional groups R1, R2, R3, and R4, where each functional group may independently be the same as or different from one or other functional groups. In an example, the monomer 212 has four identical functional groups, such as four methyl groups. In another example, the monomer 212 has four different functional groups. Each functional group can independently represent multiple elements or molecules, including but not limited to hydrogen, methyl, or ethyl. In some further embodiments, the functional groups may not be directly bonded to silicon (Si) atoms, but are bonded through oxygen to form Si-O-R groups. In some embodiments, one or more functional groups may be able to provide cross-linking ability with another monomer.

在一些實施例中,單體212可包括具有1-20個碳的烷基(C1 -C20 )的配位,其具有非環狀結構或環狀結構。舉例來說,環狀結構可為芳環(aromatic ring)。在其他示例中,烷基進一步包括官能基團,例如-I、-Br、-Cl、-NH2 、-COOH、-OH、-SH、-N3 、-S(= O)- 、烯烴、炔烴、亞胺、醚、酯、醛、酮、醯胺、碸、乙酸、氰化物或其組合。在一些實施例中,單體212可包括為芳族基團或雜環基團的配位。芳族基團可包括發色團(chromophore)且包括具有3-20個碳的烷基(C3-C20)。 在一些實施例中,芳族基團可以是苯基、萘基、菲基、蒽基、丙烯合萘基(phenalenyl)或含有一至五元環的其他芳族衍生物。在本實施例中,每個官能基團為甲基、乙基或苯基。In some embodiments, the monomer 212 may include a coordination of an alkyl group having 1 to 20 carbons (C 1 -C 20 ), which has an acyclic structure or a cyclic structure. For example, the ring structure may be an aromatic ring. In other examples, the alkyl group further includes functional groups, such as -I, -Br, -Cl, -NH 2 , -COOH, -OH, -SH, -N 3 , -S(=O)-, alkene, Alkynes, imines, ethers, esters, aldehydes, ketones, amides, ballast, acetic acid, cyanide, or combinations thereof. In some embodiments, the monomer 212 may include coordination that is an aromatic group or a heterocyclic group. The aromatic group may include a chromophore and include an alkyl group having 3 to 20 carbons (C3-C20). In some embodiments, the aromatic group may be phenyl, naphthyl, phenanthrenyl, anthracenyl, phenalenyl, or other aromatic derivatives containing one to five-membered rings. In this embodiment, each functional group is methyl, ethyl or phenyl.

關於被矽基單體替代的M-OH基團中的氫的化學反應(如第7圖中所示)可描述為​​自組裝單層(self-assembled monolayer)形成。在自組裝單層形成中,單體的官能基團(例如,R4)透過氫鍵與金屬氧化物表面相互作用。由於吸引力如凡德瓦(van der Walls)烷基鏈之間的相互作用及頭基之間的相互作用(例如,偶極-偶極相互作用),發生單體在氧化物表面上的順序及R4與金屬氧化物-OH基團的縮合。在R4為-O-CH2 CH3 的示例中,縮合產生乙醇分子(CH3 CH2 -OH)並透過共價鍵將Si與M-O-基團中的氧鍵結而形成M-O-Si基團。其他三個官能基團(R1-R3)可保留於Si原子的其他三個配位上。在第7圖中,為簡化起見,R1-R3僅示出位於一個矽原子上。因此,金屬氧化物複合物可表示為MOx Siy Cz 。透過將M-OH基團轉換至M-O-Si基團,消除了氫氧基並失去氧化能力。The chemical reaction about the hydrogen in the M-OH group replaced by the silicon-based monomer (as shown in Figure 7) can be described as the formation of a self-assembled monolayer. In the formation of a self-assembled monolayer, the functional groups of the monomer (for example, R4) interact with the metal oxide surface through hydrogen bonding. Due to attractive forces such as van der Walls (van der Walls) interactions between alkyl chains and head groups (eg, dipole-dipole interactions), the order of monomers on the oxide surface occurs And the condensation of R4 with the metal oxide-OH group. In the example where R4 is -O-CH 2 CH 3 , condensation produces an ethanol molecule (CH 3 CH 2 -OH) and bonds Si to oxygen in the MO- group through a covalent bond to form a MO-Si group . The other three functional groups (R1-R3) can remain on the other three coordinations of the Si atom. In Figure 7, for simplicity, R1-R3 are shown only on one silicon atom. Therefore, the metal oxide composite can be expressed as MO x Si y C z . By converting the M-OH group to the MO-Si group, the hydroxyl group is eliminated and the oxidation ability is lost.

操作步驟124可以進一步包括電漿表面處理,例如Ar電漿表面處理或CO2 電漿表面處理,以促進氫氧基與矽基單體之間的反應,以用矽置換M-OH基團中的氫(其也可以視為置換反應)。在一些實施例中,至少80%的氫氧基被消除。在一些實施例中,超過99%的氫氧基被消除。在一些實施例中,操作步驟124可包括兩步加熱製程,其包括摻雜單體並在低於溫度閾值的第一溫度下產生置換反應,以觸發交聯反應,然後進行烘烤製程,其引起單體之間在高於第一溫度的第二溫度下的交聯反應。在烘烤製程期間,含矽單體變得不穩定,並且組成-Si-R組分可能容易水解並變成-Si-OH。來自相鄰-Si-R的另一個R進一步從Si-OH組分中奪取氫。失去氫之後,Si-OH組分變成Si-O- ,其在取代R基與Si之間的其他單體鍵結方面更有活性,導致Si-O-Si鍵連接兩個單體。特別地,M-O-Si-O-Si-M基團可由交聯作用形成,如第8圖所示。在進一步的實施例中,操作步驟124可進一步包括另一加熱製程,其具有高於第一及第二溫度的第三溫度,以驅除(例如,蒸發)交聯副產物。Operation step 124 may further include plasma surface treatment, such as Ar plasma surface treatment or CO 2 plasma surface treatment, to promote the reaction between hydroxyl groups and silicon-based monomers to replace M-OH groups with silicon Hydrogen (which can also be regarded as a displacement reaction). In some embodiments, at least 80% of the hydroxyl groups are eliminated. In some embodiments, more than 99% of the hydroxyl groups are eliminated. In some embodiments, operation step 124 may include a two-step heating process, which includes doping the monomer and generating a substitution reaction at a first temperature below a temperature threshold to trigger a cross-linking reaction, and then performing a baking process, which It causes a cross-linking reaction between monomers at a second temperature higher than the first temperature. During the baking process, the silicon-containing monomer becomes unstable, and the constituent -Si-R component may easily hydrolyze and become -Si-OH. Another R from the adjacent -Si-R further abstracts hydrogen from the Si-OH component. After the loss of hydrogen, Si-OH component into Si-O -, which is bonded to other monomers between the substituent groups R and Si aspect more active, resulting in Si-O-Si bonds two monomers. In particular, the MO-Si-O-Si-M group can be formed by crosslinking, as shown in Figure 8. In a further embodiment, operation step 124 may further include another heating process having a third temperature higher than the first and second temperatures to drive off (eg, evaporate) cross-linked by-products.

在以矽替代氫的情況下,蝕刻停止層210的密度增加,例如從約15%增加至約40%。以含氧化鋁材料為例,密度可從約2.64g/cm3 增加至約3.3g/cm3 ,增加約25%。消除氫氧基的益處可在第14圖中說明。第14圖係繪示出了不同組的下方導電特徵部件的反射率量測。金屬的氧化降低其反射率。因此,反射率測量用作下方導電特徵部件的氧化程度的基準。第14圖中的I組示出了在其上方沉積蝕刻停止層之前下方導電特徵部件206的反射率,其將參考點標記為實質上沒有氧化的金屬。在II組中,反射率顯著下降,表示在其上沉積了一層薄的蝕刻停止層之後,下方導電特徵部件206遭受氧化。在III組中,作為比較,對於在氫氧基消除處理之後具有蝕刻停止層的另一下方導電特徵部件206,反射率與I組的參考點實質上相同,這證明這種處理可保護下方導電特徵部件206免遭受氧化,甚至具有相當薄的蝕刻停止層。In the case of replacing hydrogen with silicon, the density of the etch stop layer 210 increases, for example, from about 15% to about 40%. Taking an alumina-containing material as an example, the density can be increased from about 2.64 g/cm 3 to about 3.3 g/cm 3 , an increase of about 25%. The benefits of eliminating hydroxyl groups can be illustrated in Figure 14. Figure 14 depicts the reflectance measurements of different sets of conductive features below. The oxidation of the metal reduces its reflectivity. Therefore, the reflectance measurement serves as a reference for the degree of oxidation of the underlying conductive features. Group I in Figure 14 shows the reflectivity of the conductive feature 206 below before the etch stop layer is deposited thereon, which marks the reference point as a metal that is substantially free of oxidation. In Group II, the reflectivity drops significantly, indicating that after a thin etch stop layer is deposited thereon, the underlying conductive features 206 are subject to oxidation. In Group III, for comparison, for another lower conductive feature 206 having an etch stop layer after the hydroxyl elimination process, the reflectivity is substantially the same as the reference point of Group I, which proves that this process can protect the lower conductive The feature 206 is protected from oxidation and even has a relatively thin etch stop layer.

請參照第1C圖,在另一實施例中,操作步驟106包括操作步驟132(沉積前驅物至裝置200)及操作步驟134(造成前驅物之間的反應),以形成包括M-O-Si基團的蝕刻停止層。第1B與1C圖之間的一個差異是第1C圖中的操作步驟106繞過產生間歇性產物M-OH的操作步驟(例如,第1B圖中的操作步驟122),但直接形成M-O-Si。如一示例,操作步驟132可包括提供三甲基鋁(TMA)的前驅物氣體。製程壓力約在2.2 torr至2.4 torr的範圍,製程溫度可約在200ºC至400ºC的範圍。操作步驟132可進一步包括提供含有矽基單體的前驅物氣體,例如前述第6圖所討論的單體。氧化劑氣體可含有H2 O、H2 、O2 、O3 或其組合。操作步驟134可包括電漿處理,例如Ar電漿處理或CO2 電漿處理,以促進M-O-Si基團的形成。在一個示例中,在三甲基鋁(TMA)浸泡約4秒至12秒之後,在原子層沉積(ALD)製程期間,電漿處理可在約350ºC至400ºC的範圍內持續約2秒至6秒的持續時間。操作步驟134可進一步包括一烘烤製程,以觸發單體之間的交聯作用,例如前述第8圖所討論。Please refer to FIG. 1C. In another embodiment, operation step 106 includes operation step 132 (depositing a precursor to device 200) and operation step 134 (causing a reaction between the precursors) to form groups including MO-Si Etch stop layer. One difference between FIGS. 1B and 1C is that operation step 106 in FIG. 1C bypasses the operation step that produces the intermittent product M-OH (for example, operation step 122 in FIG. 1B), but directly forms MO-Si . As an example, operation step 132 may include providing trimethyl aluminum (TMA) precursor gas. The process pressure is approximately in the range of 2.2 torr to 2.4 torr, and the process temperature can be approximately in the range of 200ºC to 400ºC. Operation step 132 may further include providing a precursor gas containing a silicon-based monomer, such as the monomer discussed in FIG. 6 above. The oxidant gas may contain H 2 O, H 2 , O 2 , O 3 or a combination thereof. Operation step 134 may include plasma treatment, such as Ar plasma treatment or CO 2 plasma treatment, to promote the formation of MO-Si groups. In one example, after immersion in trimethylaluminum (TMA) for about 4 seconds to 12 seconds, during the atomic layer deposition (ALD) process, the plasma treatment may last in the range of about 350ºC to 400ºC for about 2 seconds to 6 The duration in seconds. Operation step 134 may further include a baking process to trigger the cross-linking between monomers, such as discussed in Figure 8 above.

請參照第1D圖,在又一實施例中,操作步驟106包括類似於第1B圖中的操作步驟122。形成含有M-OH基團的金屬氧化物層。操作步驟106更包括操作步驟154,其包括對蝕刻停止層進行電漿處理以消除氫氧基。在一些實施例中,電漿處理是包含N2 的電漿處理或包含NH3 的電漿處理。可以使用混頻(mixed-frequency)射頻(RF)能量產生電漿。射頻(RF)能量用於約13.56 MHz及約350 kHz的頻帶。根據處理時間,電漿處理使金屬氧化物層的表面氮化且緻密化至約10Å至200Å的深度。硝化作用以氮取代氫氧基中的氫。換句話說,M-OH基團被轉換到M-O-N基團,其也削弱了蝕刻停止層的強氧化能力。在一示例中,電漿處理包括NH3 ,溫度在約200ºC至400ºC的範圍,壓力在約2.2 torr至2.4 torr的範圍,持續約2秒至6秒。Please refer to FIG. 1D. In yet another embodiment, operation step 106 includes operation step 122 similar to that in FIG. 1B. A metal oxide layer containing M-OH groups is formed. Operation step 106 further includes operation step 154, which includes plasma treatment of the etch stop layer to eliminate hydroxyl groups. In some embodiments, the plasma treatment is a plasma treatment including N 2 or a plasma treatment including NH 3 . The plasma can be generated using mixed-frequency radio frequency (RF) energy. Radio frequency (RF) energy is used in frequency bands of approximately 13.56 MHz and approximately 350 kHz. Depending on the treatment time, the plasma treatment nitrides and densifies the surface of the metal oxide layer to a depth of about 10Å to 200Å. Nitrification replaces hydrogen in the hydroxyl group with nitrogen. In other words, the M-OH group is converted to the MON group, which also weakens the strong oxidation ability of the etch stop layer. In one example, the plasma treatment includes NH 3 , the temperature is in the range of about 200°C to 400°C, and the pressure is in the range of about 2.2 torr to 2.4 torr for about 2 to 6 seconds.

在操作步驟106之後,在各種實施例中,M-O鍵結中存在的大多數氧與氫以外的元素鍵結,形成M-O-X鍵(X表示除氫以外的元素,例如矽或氮)。因此,氫氧基的濃度大大降低。此外,由於位移前的氫在蝕刻停止層的上表面上具有最高濃度,因此元素X在蝕刻停止層的上表面上具有最高濃度且當深度遠離上表面時具有遞減的濃度梯度。換句話說,元素X在蝕刻停止層的上部比在其下部具有更高的濃度。After operation 106, in various embodiments, most of the oxygen present in the M-O bond bonds with elements other than hydrogen to form an M-O-X bond (X represents an element other than hydrogen, such as silicon or nitrogen). Therefore, the concentration of hydroxyl groups is greatly reduced. In addition, since the hydrogen before the displacement has the highest concentration on the upper surface of the etch stop layer, element X has the highest concentration on the upper surface of the etch stop layer and has a decreasing concentration gradient when the depth is far from the upper surface. In other words, the element X has a higher concentration in the upper part of the etch stop layer than in the lower part.

請參照第1A及9圖,方法100進行至操作步驟108,形成一蓋層218於蝕刻停止層210上具有與蝕刻停止層210的成分不同的成分,且可由無氮材料形成,例如SiC、摻氧碳化矽(SiCO,也稱為ODC)或其他合適的材料。可在形成蝕刻停止層210的情況下原位形成蓋層218,這意味著蝕刻停止層210與蓋層218可形成於相同的製程反應室內。此外,形成蝕刻停止層210與形成蓋層218之間不發生破真空。蝕刻停止層210及蓋層218的沉積都可在升高的溫度下進行,例如,在原位形成時,可連續加熱對應的晶圓(裝置200所在的晶圓),並且可不需要冷卻晶圓,且在形成蝕刻停止層210與形成蓋層218之間再次加熱晶圓,此造成較少的熱預算。Referring to FIGS. 1A and 9, the method 100 proceeds to operation step 108, forming a cap layer 218 on the etch stop layer 210 having a composition different from that of the etch stop layer 210, and may be formed of a nitrogen-free material, such as SiC, doped Silicon oxycarbide (SiCO, also known as ODC) or other suitable materials. The cap layer 218 may be formed in situ with the formation of the etch stop layer 210, which means that the etch stop layer 210 and the cap layer 218 may be formed in the same process reaction chamber. In addition, no vacuum break occurs between the formation of the etch stop layer 210 and the formation of the cap layer 218. Both the deposition of the etch stop layer 210 and the cap layer 218 can be performed at an elevated temperature, for example, when formed in situ, the corresponding wafer (the wafer on which the device 200 is located) can be continuously heated, and there is no need to cool the wafer And, the wafer is heated again between the formation of the etch stop layer 210 and the formation of the cap layer 218, which results in less thermal budget.

用於形成蓋層218的前驅物可包括SiH4 、Si(CH34 (4MS)、Si(CH33 H(3MS)、甲基二乙氧基矽烷(methyldiethoxysilane, mDEOS)及其組合。在一實施例中,蝕刻停止層210及蓋層218具有共同前驅物(例如3MS及/或4MS作為用於形成蝕刻停止層210的矽基單體)。在形成蝕刻停止層210之後,若有需要,可添加額外的前驅物以繼續形成蓋層218。在一實施例中,蓋層218由摻氧碳化矽(ODC)形成,前驅物可包括CO2 、Si(CH34 、Si(CH33 H、He、O2 、N2 、Xe等。在一些實施例中,蓋層218是無氮層。 蓋層218的厚度可約在20Å至50Å之間。在一特定示例中,蓋層218是約30Å厚的ODC層,且蝕刻停止層210小於約30Å,例如約20Å。The precursor used to form the cap layer 218 may include SiH 4 , Si(CH 3 ) 4 (4MS), Si(CH 3 ) 3 H(3MS), methyldiethoxysilane (mDEOS), and combinations thereof . In one embodiment, the etch stop layer 210 and the cap layer 218 have a common precursor (for example, 3MS and/or 4MS as the silicon-based monomer used to form the etch stop layer 210). After forming the etch stop layer 210, if necessary, additional precursors may be added to continue forming the cap layer 218. In one embodiment, the cap layer 218 is formed of oxygen-doped silicon carbide (ODC), and the precursors may include CO 2 , Si(CH 3 ) 4 , Si(CH 3 ) 3 H, He, O 2 , N 2 , Xe Wait. In some embodiments, the cap layer 218 is a nitrogen-free layer. The thickness of the cap layer 218 may be between about 20Å and 50Å. In a particular example, the cap layer 218 is an ODC layer about 30Å thick, and the etch stop layer 210 is less than about 30Å, such as about 20Å.

在形成蓋層218之後,可進行鑲嵌製程以形成上方結構,例如,介層孔電極及位於上方的金屬線(例如,銅線)。如所習知的,介層孔電極及位於上方的金屬線可透過單鑲嵌製程或雙鑲嵌製程形成。請參照第1及10圖,方法100進行至操作步驟110,形成一介電層220於蓋層218上。在一些實施例中,介電層220為多個金屬層間介電(IMD)層其中之一層。在進一步的實施例中,介電層220更包括一介層孔金屬層間介電(IMD)層222及一溝槽金屬層間介電(IMD)層224。首先在蓋層218上形成介層孔金屬層間介電(IMD)層222。介層孔金屬層間介電(IMD)層222可為低k值小於約3.5的介電層或k值小於約2.7的超低k介電層,可包括摻碳氧化矽、氟摻雜氧化矽、有機低k值材料及多孔性低k值材料。形成方法包括旋塗、化學氣相沉積(CVD)或其他已知方法。然後在介層孔金屬層間介電(IMD)層222上形成溝槽金屬層間介電(IMD)層224.可使用與介層孔金屬層間介電(IMD)層222類似的方法和類似材料形成溝槽金屬層間介電(IMD)層224。在一些實施例中,溝槽金屬層間介電(IMD)層224及介層孔金屬層間介電(IMD)層222由多孔性材料製成。After the cap layer 218 is formed, a damascene process may be performed to form an upper structure, for example, a via electrode and a metal line (for example, a copper line) located above. As is known, the via electrode and the metal line located above can be formed through a single damascene process or a dual damascene process. Referring to FIGS. 1 and 10, the method 100 proceeds to operation step 110 to form a dielectric layer 220 on the cap layer 218. In some embodiments, the dielectric layer 220 is one of a plurality of inter-metal dielectric (IMD) layers. In a further embodiment, the dielectric layer 220 further includes a via hole metal interlayer dielectric (IMD) layer 222 and a trench metal interlayer dielectric (IMD) layer 224. First, a via metal interlayer dielectric (IMD) layer 222 is formed on the cap layer 218. The via metal interlayer dielectric (IMD) layer 222 may be a dielectric layer with a low-k value of less than about 3.5 or an ultra-low-k dielectric layer with a k value of less than about 2.7, and may include carbon-doped silicon oxide and fluorine-doped silicon oxide , Organic low-k materials and porous low-k materials. The forming method includes spin coating, chemical vapor deposition (CVD), or other known methods. Then, a trench metal interlayer dielectric (IMD) layer 224 is formed on the via metal interlayer dielectric (IMD) layer 222. A method and materials similar to those of the via metal interlayer dielectric (IMD) layer 222 may be used Trench metal interlayer dielectric (IMD) layer 224. In some embodiments, the trench metal interlayer dielectric (IMD) layer 224 and the via hole metal interlayer dielectric (IMD) layer 222 are made of a porous material.

請參照第1及11圖,方法100進行至操作步驟112,透過一或多個蝕刻製程形成一開口230於介電層220內,其中開口230至少局部與下方導電特徵部件206對準。在所示實施例中,開口230包括介層孔開口232及溝槽開口234。介層孔開口232及溝槽開口234的形成可由用以定義圖案光阻來輔助。第11圖係繪示出用於定義溝槽開口234的圖案的光阻226。須注意的是蓋層218為無氮的,因此實質上消除了氮對光阻的不利影響(稱作PR毒化),因為蓋層218防止了氮從下方釋放至光阻226。特別地,當形成介層孔開口232時,蓋層218還可防止氮氣使光阻(未示出)發生毒化。然後以合適的製程除去光阻226,例如光阻剝離或氧灰化。Referring to FIGS. 1 and 11, the method 100 proceeds to operation step 112, and an opening 230 is formed in the dielectric layer 220 through one or more etching processes, wherein the opening 230 is at least partially aligned with the conductive features 206 below. In the illustrated embodiment, the opening 230 includes a via opening 232 and a trench opening 234. The formation of via openings 232 and trench openings 234 can be assisted by the photoresist used to define the pattern. FIG. 11 illustrates the photoresist 226 used to define the pattern of the trench opening 234. It should be noted that the cap layer 218 is nitrogen-free, thus substantially eliminating the adverse effect of nitrogen on the photoresist (called PR poisoning) because the cap layer 218 prevents the release of nitrogen from below to the photoresist 226. In particular, when the via opening 232 is formed, the cap layer 218 can also prevent nitrogen from poisoning the photoresist (not shown). Then the photoresist 226 is removed by a suitable process, such as photoresist stripping or oxygen ashing.

請參照第1及12圖,方法100進行至操作步驟114,使用導電材料填充開口230。開口230包括以蝕刻製程從介層孔開口232的底部局部去除蓋層218和蝕刻停止層210,並露出下方導電特徵部件206。在隨後的操作步驟中,形成一擴散阻障層242。然後用諸如銅或銅合金的導電材料填充餘留的介層孔開口234及溝槽開口234。 然後進行化學機械研磨(chemical mechanical polish, CMP)以除去多餘的材料。導電材料的餘留部分形成介層孔電極244及導線246。Referring to FIGS. 1 and 12, the method 100 proceeds to operation step 114, and the opening 230 is filled with a conductive material. The opening 230 includes partially removing the cap layer 218 and the etch stop layer 210 from the bottom of the via opening 232 in an etching process, and exposing the conductive features 206 below. In the subsequent operation steps, a diffusion barrier layer 242 is formed. The remaining via opening 234 and trench opening 234 are then filled with a conductive material such as copper or copper alloy. Then chemical mechanical polish (CMP) is performed to remove excess material. The remaining portion of the conductive material forms the via electrode 244 and the wire 246.

請參照第13圖,在替代實施例中,方法100可以略過蓋層218的形成(即,操作步驟108)而形成介電層220於蝕刻停止層210的正上方。在一特定實施例中,在其上方沒有蓋層218的蝕刻停止層210的厚度小於約50Å,例如約為40Å。方法100可進行進一步的後續操作,以完成裝置200的製造。例如,方法100可包括形成比多層內連接(MLI)結構更高的膜層並形成連接電晶體的閘極或源極/汲極特徵部件的金屬內連接至裝置200的其他部分而形成完整的IC。Please refer to FIG. 13. In an alternative embodiment, the method 100 may skip the formation of the cap layer 218 (ie, operation step 108) and form the dielectric layer 220 directly above the etch stop layer 210. In a particular embodiment, the thickness of the etch stop layer 210 without the cap layer 218 thereon is less than about 50Å, such as about 40Å. The method 100 may perform further subsequent operations to complete the manufacturing of the device 200. For example, the method 100 may include forming a higher film layer than a multi-layer interconnection (MLI) structure and forming a metal interconnection connecting the gate or source/drain features of the transistor to other parts of the device 200 to form a complete IC.

儘管不在於限定,但是本文的一或多個實施例為半導體裝置及其形成方法提供了許多益處。舉例來說,本公開的實施例提供厚度僅為幾十埃的蝕刻停止層。蝕刻停止層實質上不含氫氧基,此保護下方導電特徵部件免於氧化,也減小寄生電容,且進一步地增加積體電路的操作速度。此外,蝕刻停止層形成方法可以容易地整合至現有的半導體製造製程中。Although not limiting, one or more embodiments herein provide many benefits for semiconductor devices and methods of forming the same. For example, the embodiments of the present disclosure provide an etch stop layer with a thickness of only a few tens of Angstroms. The etch stop layer is substantially free of hydroxyl groups, which protects the underlying conductive features from oxidation, reduces parasitic capacitance, and further increases the operating speed of the integrated circuit. In addition, the etch stop layer formation method can be easily integrated into existing semiconductor manufacturing processes.

在一個示例性型態中,本實施例提供一種半導體裝置之製造方法。上述方法包括提供一介電層;形成一金屬線於介電層內;形成一蝕刻停止層於金屬線上,其中蝕刻停止層包括與氫氧基鍵結的金屬原子;對蝕刻停止層進行一處理製程,以用氫以外的元素來置換氫氧基中的氫;局部蝕刻蝕刻停止層以露出金屬線;以及形成一導電特徵部件於蝕刻停止層上,且與金屬線實體接觸。在一些實施例中,處理製程包括含氮的電漿處理,且上述元素為氮。在一些實施例中,處理製程包括沉積一摻雜物,且上述元素為矽。在一些實施例中,摻雜物包括一矽基單體。在一些實施例中,矽基單體包括選自甲基、乙基或苯基的至少一種官能基團。在一些實施例中,在進行處理製程之後,蝕刻停止層包括M-O-Si基團,M代表金屬原子。在一些實施例中,在進行處理製程之後,蝕刻停止層包括Si-O-Si基團。在一些實施例中,Si-O-Si基團為M-O-Si-O-Si-M基團的一部分,M代表金屬原子。在一些實施例中,金屬原子選自鉿、鋯及鋁的其中一者。在一些實施例中,處理製程包括具有第一溫度及後續為高於第一溫度的第二溫度的兩操作步驟加熱製程。在一些實施例中,上述元素位於蝕刻停止層的上部內具有比位於蝕刻停止層的下部內更高的濃度。在一些實施例中,上述方法更包括形成一蓋層於蝕刻停止層上,其中蓋層的形成與進行處理製程包括使用相同的前驅物。In an exemplary type, this embodiment provides a method of manufacturing a semiconductor device. The above method includes providing a dielectric layer; forming a metal line in the dielectric layer; forming an etch stop layer on the metal line, wherein the etch stop layer includes metal atoms bonded to hydroxyl groups; and performing a treatment on the etch stop layer In the process, elements other than hydrogen are used to replace hydrogen in the hydroxyl group; the etching stop layer is partially etched to expose the metal line; and a conductive feature is formed on the etching stop layer and is in physical contact with the metal line. In some embodiments, the treatment process includes plasma treatment with nitrogen, and the above element is nitrogen. In some embodiments, the processing process includes depositing a dopant, and the above element is silicon. In some embodiments, the dopant includes a silicon-based monomer. In some embodiments, the silicon-based monomer includes at least one functional group selected from methyl, ethyl, or phenyl. In some embodiments, after the processing process is performed, the etch stop layer includes M-O-Si groups, where M represents a metal atom. In some embodiments, after the processing process is performed, the etch stop layer includes Si—O—Si groups. In some embodiments, the Si-O-Si group is part of the M-O-Si-O-Si-M group, and M represents a metal atom. In some embodiments, the metal atom is selected from one of hafnium, zirconium and aluminum. In some embodiments, the processing process includes a two-step heating process having a first temperature followed by a second temperature higher than the first temperature. In some embodiments, the above elements have a higher concentration in the upper portion of the etch stop layer than in the lower portion of the etch stop layer. In some embodiments, the above method further includes forming a cap layer on the etch stop layer, wherein the formation of the cap layer and the processing process include using the same precursor.

在另一示例性型態中,本實施例提供一種半導體裝置之製造方法。上述方法包括提供一基底,具有一第一介電層及埋入於第一介電層內的一導電特徵部件;形成一蝕刻停止層於第一介電層及導電特徵部件上,其中蝕刻停止層包括一金屬氧化物;將一含矽摻雜物沉積至蝕刻停止層,其中含矽摻雜物與金屬氧化物反應而產生M-O-Si基團,M代表金屬氧化物中的金屬原子;形成一第二介電層於蝕刻停止層上;以及形成一導電結構於第二介電層內,其中導電結構與導電特徵部件電性連接。在一些實施例中,含矽摻雜物為一單體,具有與矽原子鍵結的四個官能基團。在一些實施例中,四個官能基團中的至少一者選自甲基、乙基或苯基。在一些實施例中,上述方法更包括在沉積含矽摻雜物之後的一電漿表面處理。在一些實施例中,在沉積含矽摻雜物之後,蝕刻停止層實質上不含氫氧基。In another exemplary type, this embodiment provides a method of manufacturing a semiconductor device. The above method includes providing a substrate having a first dielectric layer and a conductive feature buried in the first dielectric layer; forming an etch stop layer on the first dielectric layer and the conductive feature, wherein the etching stops The layer includes a metal oxide; a silicon-containing dopant is deposited to the etch stop layer, wherein the silicon-containing dopant reacts with the metal oxide to generate a MO-Si group, and M represents a metal atom in the metal oxide; forming A second dielectric layer on the etch stop layer; and forming a conductive structure in the second dielectric layer, wherein the conductive structure is electrically connected to the conductive feature. In some embodiments, the silicon-containing dopant is a monomer having four functional groups bonded to silicon atoms. In some embodiments, at least one of the four functional groups is selected from methyl, ethyl, or phenyl. In some embodiments, the above method further includes a plasma surface treatment after depositing the silicon-containing dopant. In some embodiments, after depositing the silicon-containing dopant, the etch stop layer is substantially free of hydroxyl groups.

在又一示例性型態中,本實施例提供一種半導體裝置,半導體裝置包括一第一導電元件,設置於第一介電層內;一蝕刻停止層,設置於第一介電層上,其中蝕刻停止層包括M-O-X基團,M代表金屬元素,X代表氫以外的元素;一第二介電層,設置於蝕刻停止層上;以及一第二導電元件,埋入於第二介電層內,並穿過蝕刻停止層,其中第二導電元件與第一導電元件實體接觸。在一些實施例中,除了氫之外的元素,其位於蝕刻停止層的上部內具有比位於蝕刻停止層的下部內更高的濃度。在一些實施例中,蝕刻停止層的厚度小於50埃。In yet another exemplary type, the present embodiment provides a semiconductor device including a first conductive element disposed in the first dielectric layer; an etch stop layer disposed on the first dielectric layer, wherein The etch stop layer includes a MOX group, M represents a metal element, and X represents an element other than hydrogen; a second dielectric layer is disposed on the etch stop layer; and a second conductive element is buried in the second dielectric layer , And through the etch stop layer, where the second conductive element is in physical contact with the first conductive element. In some embodiments, elements other than hydrogen have a higher concentration in the upper portion of the etch stop layer than in the lower portion of the etch stop layer. In some embodiments, the thickness of the etch stop layer is less than 50 Angstroms.

以上概略說明了本發明數個實施例的特徵,使所屬技術領域中具有通常知識者對於本揭露的型態可更為容易理解。任何所屬技術領域中具有通常知識者應瞭解到可輕易利用本揭露作為其它製程或結構的變更或設計基礎,以進行相同於此處所述實施例的目的及/或獲得相同的優點。任何所屬技術領域中具有通常知識者也可理解與上述等同的結構並未脫離本揭露之精神和保護範圍內,且可在不脫離本揭露之精神和範圍內,當可作更動、替代與潤飾。The above outlines the features of several embodiments of the present invention, so that those skilled in the art can more easily understand the type of the present disclosure. Those of ordinary skill in the art should understand that the present disclosure can be easily used as a basis for changes or design of other processes or structures to perform the same purposes and/or obtain the same advantages as the embodiments described herein. Any person with ordinary knowledge in the technical field can also understand that the structure equivalent to the above does not deviate from the spirit and scope of this disclosure, and can be changed, replaced, and retouched without departing from the spirit and scope of this disclosure. .

100:方法 102、104、106、108、110、112、114、122、124、132、134、154:操作步驟 200:裝置 202:基底 204、220:介電層 206:下方導電特徵部件 208、242:擴散阻障層 210:蝕刻停止層 212:單體/摻雜物 218:蓋層 222:介層孔金屬層間介電(IMD)層 224:溝槽金屬層間介電(IMD)層 226:光阻 230:開口 232:介層孔開口 234:溝槽開口 244:介層孔電極 246:導線100: Method 102, 104, 106, 108, 110, 112, 114, 122, 124, 132, 134, 154: operation steps 200: device 202: base 204, 220: dielectric layer 206: Lower conductive features 208, 242: diffusion barrier 210: Etching stop layer 212: monomer/dopant 218: Cover 222: via metal interlayer dielectric (IMD) layer 224: Trench metal interlayer dielectric (IMD) layer 226: Photoresist 230: opening 232: via opening 234: Groove opening 244: via electrode 246: Wire

第1A、1B、1C及1D圖係繪示出根據一些實施例之具有蝕刻停止層的半導體裝置的製造方法的流程圖。 第2、3、5、9、10、11、12及13圖係繪示出根據一些實施例之依照第1A、1B、1C及1D圖的方法的製程期間的半導體結構的剖面示意圖。 第4、6、7、8及14圖係繪示出金屬氧化物複合物和相關物理性質的示例性化學式。FIGS. 1A, 1B, 1C, and 1D are flowcharts illustrating a method of manufacturing a semiconductor device having an etch stop layer according to some embodiments. FIGS. 2, 3, 5, 9, 10, 11, 12, and 13 are schematic cross-sectional views illustrating semiconductor structures during the manufacturing process according to the methods of FIGS. 1A, 1B, 1C, and 1D according to some embodiments. Figures 4, 6, 7, 8 and 14 illustrate exemplary chemical formulas of metal oxide composites and related physical properties.

no

100:方法 100: Method

102、104、106、108、110、112、114:操作步驟 102, 104, 106, 108, 110, 112, 114: operation steps

Claims (20)

一種半導體裝置之製造方法,包括: 一提供一介電層; 形成一金屬線於該介電層內; 形成一蝕刻停止層於該金屬線上,其中該蝕刻停止層包括與一氫氧基鍵結的一金屬原子; 對該蝕刻停止層進行一處理製程,以用氫以外的一元素來置換該氫氧基中的氫; 局部蝕刻該蝕刻停止層以露出該金屬線;以及 形成一導電特徵部件於該蝕刻停止層上,且與該金屬線實體接觸。A method of manufacturing a semiconductor device, including: One provides a dielectric layer; Forming a metal line in the dielectric layer; Forming an etch stop layer on the metal line, wherein the etch stop layer includes a metal atom bonded to a hydroxyl group; Performing a treatment process on the etch stop layer to replace the hydrogen in the hydroxyl group with an element other than hydrogen; Partially etching the etch stop layer to expose the metal line; and A conductive feature is formed on the etch stop layer and is in physical contact with the metal line. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中該處理製程包括含氮的電漿處理,且該元素為氮。The method for manufacturing a semiconductor device as described in item 1 of the patent application scope, wherein the processing process includes a plasma treatment containing nitrogen, and the element is nitrogen. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中該處理製程包括沉積一摻雜物,且該元素為矽。The method for manufacturing a semiconductor device as described in item 1 of the patent application scope, wherein the processing process includes depositing a dopant and the element is silicon. 如申請專利範圍第3項所述之半導體裝置之製造方法,其中該摻雜物包括一矽基單體。The method for manufacturing a semiconductor device as described in item 3 of the patent application range, wherein the dopant includes a silicon-based monomer. 如申請專利範圍第4項所述之半導體裝置之製造方法,其中該矽基單體包括選自甲基、乙基或苯基的至少一種官能基團。The method for manufacturing a semiconductor device as described in item 4 of the patent application, wherein the silicon-based monomer includes at least one functional group selected from methyl, ethyl, or phenyl. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中在進行該處理製程之後,該蝕刻停止層包括一M-O-Si基團,M代表該金屬原子。The method for manufacturing a semiconductor device as described in item 1 of the patent application scope, wherein after the processing process is performed, the etch stop layer includes an M-O-Si group, and M represents the metal atom. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中在進行該處理製程之後,該蝕刻停止層包括一Si-O-Si基團。The method for manufacturing a semiconductor device as described in item 1 of the patent application scope, wherein after the processing process is performed, the etch stop layer includes a Si—O—Si group. 如申請專利範圍第7項所述之半導體裝置之製造方法,其中該Si-O-Si基團為M-O-Si-O-Si-M基團的一部分,M代表該金屬原子。The method for manufacturing a semiconductor device as described in item 7 of the patent application scope, wherein the Si-O-Si group is a part of the M-O-Si-O-Si-M group, and M represents the metal atom. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中該金屬原子選自鉿、鋯及鋁的其中一者。The method for manufacturing a semiconductor device as described in item 1 of the patent application, wherein the metal atom is selected from one of hafnium, zirconium and aluminum. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中該處理製程包括具有一第一溫度及後續為高於該第一溫度的一第二溫度的兩操作步驟加熱製程。The method for manufacturing a semiconductor device as described in item 1 of the patent application range, wherein the processing process includes a two-step heating process having a first temperature followed by a second temperature higher than the first temperature. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中該元素位於該蝕刻停止層的一上部內具有比位於該蝕刻停止層的一下部內更高的濃度。The method of manufacturing a semiconductor device as described in item 1 of the patent application range, wherein the element is located in an upper portion of the etch stop layer with a higher concentration than in a lower portion of the etch stop layer. 如申請專利範圍第1項所述之半導體裝置之製造方法,更包括形成一蓋層於該蝕刻停止層上,其中該蓋層的形成與進行該處理製程包括使用相同的前驅物。The method for manufacturing a semiconductor device as described in item 1 of the scope of patent application further includes forming a cap layer on the etch stop layer, wherein the formation of the cap layer and the processing process include using the same precursor. 一種半導體裝置之製造方法,包括: 提供一基底,具有一第一介電層及埋入於該第一介電層內的一導電特徵部件; 形成一蝕刻停止層於該第一介電層及該導電特徵部件上,其中該蝕刻停止層包括一金屬氧化物; 將一含矽摻雜物沉積至該蝕刻停止層,其中該含矽摻雜物與該金屬氧化物反應而產生M-O-Si基團,M代表該金屬氧化物中的一金屬原子; 形成一第二介電層於該蝕刻停止層上;以及 形成一導電結構於該第二介電層內,其中該導電結構與該導電特徵部件電性連接。A method of manufacturing a semiconductor device, including: Providing a substrate with a first dielectric layer and a conductive feature embedded in the first dielectric layer; Forming an etch stop layer on the first dielectric layer and the conductive feature, wherein the etch stop layer includes a metal oxide; Depositing a silicon-containing dopant to the etch stop layer, wherein the silicon-containing dopant reacts with the metal oxide to generate an M-O-Si group, and M represents a metal atom in the metal oxide; Forming a second dielectric layer on the etch stop layer; and A conductive structure is formed in the second dielectric layer, wherein the conductive structure is electrically connected to the conductive feature. 如申請專利範圍第13項所述之半導體裝置之製造方法,其中該含矽摻雜物為一單體,具有與一矽原子鍵結的四個官能基團。The method for manufacturing a semiconductor device as described in item 13 of the patent application range, wherein the silicon-containing dopant is a monomer having four functional groups bonded to a silicon atom. 如申請專利範圍第14項所述之半導體裝置之製造方法,其中該四個官能基團中的至少一者選自甲基、乙基或苯基。The method for manufacturing a semiconductor device as described in item 14 of the patent application range, wherein at least one of the four functional groups is selected from methyl, ethyl or phenyl. 如申請專利範圍第13項所述之半導體裝置之製造方法,更包括在沉積該含矽摻雜物之後的一電漿表面處理。The method for manufacturing a semiconductor device as described in item 13 of the patent application scope further includes a plasma surface treatment after depositing the silicon-containing dopant. 如申請專利範圍第13項所述之半導體裝置之製造方法,其中在沉積該含矽摻雜物之後,該蝕刻停止層實質上不含一氫氧基。The method for manufacturing a semiconductor device as described in item 13 of the patent application range, wherein after depositing the silicon-containing dopant, the etch stop layer is substantially free of a hydroxyl group. 一種半導體裝置,包括: 一第一導電元件,設置於一第一介電層內; 一蝕刻停止層,設置於該第一介電層上,其中該蝕刻停止層包括一M-O-X基團,M代表一金屬元素,X代表氫以外的一元素; 一第二介電層,設置於該蝕刻停止層上;以及 一第二導電元件,埋入於該第二介電層內,並穿過該蝕刻停止層,其中該第二導電元件與該第一導電元件實體接觸。A semiconductor device, including: A first conductive element disposed in a first dielectric layer; An etch stop layer is disposed on the first dielectric layer, wherein the etch stop layer includes an M-O-X group, M represents a metal element, and X represents an element other than hydrogen; A second dielectric layer disposed on the etch stop layer; and A second conductive element is buried in the second dielectric layer and passes through the etch stop layer, wherein the second conductive element is in physical contact with the first conductive element. 如申請專利範圍第18項所述之半導體裝置,其中除了氫之外的該元素,位於該蝕刻停止層的一上部內具有比位於該蝕刻停止層的一下部內更高的濃度。The semiconductor device as described in item 18 of the patent application range, wherein the element other than hydrogen has a higher concentration in an upper portion of the etching stop layer than in a lower portion of the etching stop layer. 如申請專利範圍第18項所述之半導體裝置,其中該蝕刻停止層的厚度小於50埃。The semiconductor device as described in item 18 of the patent application range, wherein the thickness of the etch stop layer is less than 50 angstroms.
TW108128853A 2018-08-15 2019-08-14 Semiconductor device and method of forming the same TWI716067B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862764867P 2018-08-15 2018-08-15
US62/764,867 2018-08-15
US16/451,432 2019-06-25
US16/451,432 US11315828B2 (en) 2018-08-15 2019-06-25 Metal oxide composite as etch stop layer

Publications (2)

Publication Number Publication Date
TW202017046A true TW202017046A (en) 2020-05-01
TWI716067B TWI716067B (en) 2021-01-11

Family

ID=69523374

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108128853A TWI716067B (en) 2018-08-15 2019-08-14 Semiconductor device and method of forming the same

Country Status (2)

Country Link
KR (1) KR102329022B1 (en)
TW (1) TWI716067B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11996327B2 (en) 2021-04-22 2024-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and methods of forming the same

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6297162B1 (en) * 1999-09-27 2001-10-02 Taiwan Semiconductor Manufacturing Company Method to reduce silicon oxynitride etch rate in a silicon oxide dry etch
US7053010B2 (en) 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
US7094709B2 (en) 2004-06-15 2006-08-22 Braggone Oy Method of synthesizing hybrid metal oxide materials and applications thereof
US7531404B2 (en) * 2005-08-30 2009-05-12 Intel Corporation Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer
DE102007004867B4 (en) * 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale A method of increasing the reliability of copper-based metallization structures in a microstructure device by using aluminum nitride
US8877083B2 (en) * 2012-11-16 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Surface treatment in the formation of interconnect structure
KR102154112B1 (en) * 2013-08-01 2020-09-09 삼성전자주식회사 a semiconductor device including metal interconnections and method for fabricating the same
US9496169B2 (en) 2015-02-12 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect structure having an air gap and structure thereof
KR20170038988A (en) * 2015-09-30 2017-04-10 삼성디스플레이 주식회사 Silane coupling agent and method for manufacturing wire grid pattern using the same
US10199223B2 (en) * 2016-01-26 2019-02-05 Asm Ip Holding B.V. Semiconductor device fabrication using etch stop layer
US10685873B2 (en) * 2016-06-29 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Etch stop layer for semiconductor devices

Also Published As

Publication number Publication date
TWI716067B (en) 2021-01-11
KR102329022B1 (en) 2021-11-22
KR20200019835A (en) 2020-02-25

Similar Documents

Publication Publication Date Title
CN104733378B (en) Semiconductor structure and its manufacturing method
US8993435B2 (en) Low-k Cu barriers in damascene interconnect structures
US8211800B2 (en) Ru cap metal post cleaning method and cleaning chemical
US8173538B2 (en) Method of selectively forming a conductive barrier layer by ALD
US9899258B1 (en) Metal liner overhang reduction and manufacturing method thereof
TW201539652A (en) Integrated metal spacer and air gap interconnect
TWI795800B (en) Semiconductor device and methods thereof
JP7027432B2 (en) Interconnection structure and its formation method
US20080083989A1 (en) Semiconductor Device and Method for Fabricating the Same
CN110223921A (en) The manufacturing method of semiconductor structure
TWI810612B (en) Integrated circuit structure and method of forming the same
US11961803B2 (en) Semiconductor structure having high breakdown voltage etch-stop layer
TW202029359A (en) Formation method of semiconductor device
US20050266679A1 (en) Barrier structure for semiconductor devices
TWI716067B (en) Semiconductor device and method of forming the same
US20220246468A1 (en) Metal Oxide Composite As Etch Stop Layer
KR100939773B1 (en) Metal line of semiconductor device and method for manufacturing the same
KR100910225B1 (en) Method for forming multi layer metal wiring of semiconductor device
WO2007043634A1 (en) Method for manufacturing multilayer wiring
TWI594370B (en) Metal-containing films as dielectric capping barrier for advanced interconnects
JP5218214B2 (en) Manufacturing method of semiconductor device
TWI495059B (en) Semiconductor device, semiconductor apparatus and method for manufacturing the same
KR100480480B1 (en) Method of manufacturing a semiconductor device
JP2015133382A (en) Method of manufacturing semiconductor device
TW202348825A (en) Selective inhibition for selective metal deposition