TW202003369A - Selective deposition of metal silicides - Google Patents

Selective deposition of metal silicides Download PDF

Info

Publication number
TW202003369A
TW202003369A TW107117916A TW107117916A TW202003369A TW 202003369 A TW202003369 A TW 202003369A TW 107117916 A TW107117916 A TW 107117916A TW 107117916 A TW107117916 A TW 107117916A TW 202003369 A TW202003369 A TW 202003369A
Authority
TW
Taiwan
Prior art keywords
substrate
amount
mosi
exposing
megal
Prior art date
Application number
TW107117916A
Other languages
Chinese (zh)
Other versions
TWI780157B (en
Inventor
雷蒙德 洪
男成 金
史林尼法斯 奈馬尼
怡利 葉
正 崔
克里斯托佛 阿勒斯
安德魯 古莫
Original Assignee
美商應用材料股份有限公司
加州大學董事會
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司, 加州大學董事會 filed Critical 美商應用材料股份有限公司
Priority to TW107117916A priority Critical patent/TWI780157B/en
Publication of TW202003369A publication Critical patent/TW202003369A/en
Application granted granted Critical
Publication of TWI780157B publication Critical patent/TWI780157B/en

Links

Images

Landscapes

  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Embodiments of the disclosure relate to selective metal silicide deposition methods. In one embodiment, a substrate having a silicon containing surface is heated and the silicon containing surface is hydrogen terminated. The substrate is exposed to sequential cycles of a MoF6 precursor and a Si2 H6 precursor which is followed by an additional Si2 H6 overdose exposure to selectively deposit a MoSix material comprising MoSi2 on the silicon containing surface of the substrate.

Description

金屬矽化物的選擇性沉積Selective deposition of metal silicide

本揭示案的實施例一般係關於用於金屬矽化物沉積的方法。The embodiments of the present disclosure generally relate to methods for metal silicide deposition.

在奈米尺度的器件上準確定位材料對於操縱下一代奈米電子的原子級特性至關重要。對於半導體製造,利用具有優異正形性(conformality)和化學計量的材料的詳細定位來滿足對成本、產率和產量的需求。隨著金屬氧化物半導體場效電晶體(MOSFET)被縮放到小於<10nm的通道長度,需要克服源於由上而下(top-down)製程的限制,如來自反應離子蝕刻的損壞和在三維(3D)表面上結構對齊的結構複雜性。Accurate positioning of materials on nanoscale devices is essential to manipulate the atomic properties of next-generation nanoelectrons. For semiconductor manufacturing, the detailed positioning of materials with excellent conformality and stoichiometry is used to meet the demands for cost, yield and yield. As metal oxide semiconductor field-effect transistors (MOSFETs) are scaled to channel lengths of less than <10 nm, it is necessary to overcome limitations resulting from top-down processes, such as damage from reactive ion etching and three-dimensional (3D) Structural complexity of structural alignment on the surface.

最近,由於MOSFET器件已經以3D結構(FinFET)製造,因此在保持正形膜品質的同時,對奈米尺度區域選擇性沉積的興趣日益增加。區域選擇性沉積的一種方法是利用自組裝單層(SAM)作為鈍化層結合原子層沉積(ALD)製程。鈍化層阻擋或消除對ALD前驅物有反應性的表面官能基,使得可以獲得選擇性;然而,SAM方法仍然利用鈍化層的選擇性沉積。此外,在選擇性沉積之後選擇性地去除鈍化層,這需要額外的製程複雜性以及降低產量。Recently, since MOSFET devices have been manufactured in a 3D structure (FinFET), while maintaining the quality of the conformal film, there is increasing interest in selective deposition in the nano-scale region. One method of regioselective deposition is to use a self-assembled monolayer (SAM) as a passivation layer in conjunction with an atomic layer deposition (ALD) process. The passivation layer blocks or eliminates surface functional groups that are reactive with the ALD precursor, so that selectivity can be obtained; however, the SAM method still utilizes selective deposition of the passivation layer. In addition, the selective removal of the passivation layer after selective deposition requires additional process complexity and reduced yield.

因此,本領域需要的是用於選擇性材料沉積的改良方法。Therefore, what is needed in the art is an improved method for selective material deposition.

在一個實施例中,提供了一種基板處理方法。該方法包括以下步驟:將具有含矽表面的基板加熱至第一溫度,將該基板暴露於含氫的電漿,將該基板暴露於第一用量的MoF6 前驅物,以及將該基板暴露於第二用量的Si2 H6 前驅物。依序循環將該基板暴露於第一用量的步驟以及將該基板暴露於第二用量的步驟,並在該依序循環的步驟之後,將該基板暴露於第三用量的Si2 H6 前驅物。In one embodiment, a substrate processing method is provided. The method includes the steps of: heating a substrate having a silicon-containing surface to a first temperature, exposing the substrate to a hydrogen-containing plasma, exposing the substrate to a first amount of MoF 6 precursor, and exposing the substrate to The second amount of Si 2 H 6 precursor. Sequentially cycling the step of exposing the substrate to the first dosage and the step of exposing the substrate to the second dosage, and after the sequential cycling step, exposing the substrate to the third dosage of Si 2 H 6 precursor .

在另一個實施例中,提供一種基板處理方法。該方法包括以下步驟:將該基板定位在具有腔室壁的反應腔室中的加熱器上,將加熱器上的基板加熱到第一溫度,將腔室壁保持在小於第一溫度的第二溫度,以及將基板的含矽表面暴露於氫。將該基板暴露於第一用量的MoF6 前驅物,將該基板暴露於第二用量的Si2 H6 前驅物,依序循環將該基板暴露於第一用量的步驟及將該基板暴露於第二用量的步驟,並在該依序循環的步驟之後,將該基板暴露於第三用量的Si2 H6 前驅物。In another embodiment, a substrate processing method is provided. The method includes the steps of positioning the substrate on a heater in a reaction chamber having a chamber wall, heating the substrate on the heater to a first temperature, and maintaining the chamber wall at a second temperature less than the first temperature Temperature, and exposing the silicon-containing surface of the substrate to hydrogen. Exposing the substrate to a first amount of MoF 6 precursor, exposing the substrate to a second amount of Si 2 H 6 precursor, sequentially cycling the steps of exposing the substrate to the first amount and exposing the substrate to the first A second amount of steps, and after the step of sequential cycling, expose the substrate to a third amount of Si 2 H 6 precursor.

在又另一個實施例中,提供了一種基板處理方法。該方法包括以下步驟:將該基板加熱到第一溫度,將該基板的含矽表面暴露於含氫電漿,將該基板暴露於第一用量的MoF6 前驅物,以及將該基板暴露於第二用量的Si2 H6 前驅物。依序循環將該基板暴露於第一用量的步驟以及將該基板暴露於第二用量的步驟,在該依序循環的步驟之後,將該基板暴露於第三用量的Si2 H6 前驅物,以及在將該基板暴露於第三用量之後,在約500℃至約550℃之間的第二溫度下對基板退火。In yet another embodiment, a substrate processing method is provided. The method includes the steps of: heating the substrate to a first temperature, exposing the silicon-containing surface of the substrate to a hydrogen-containing plasma, exposing the substrate to a first amount of MoF 6 precursor, and exposing the substrate to the first Two amounts of Si 2 H 6 precursor. Sequentially cycling the step of exposing the substrate to the first dosage and the step of exposing the substrate to the second dosage, after the sequential cycling step, exposing the substrate to the third dosage of Si 2 H 6 precursor, And after exposing the substrate to the third amount, the substrate is annealed at a second temperature between about 500°C and about 550°C.

本說書所述的實施例包括使用ALD前驅物對於區域選擇性沉積之依賴基板的反應性的方法。更具體言之,本揭示案的實施例係關於使用MoF6 和Si2 H6 的基板選擇性,MoSix 在Si上優先於SiO2 、SiON和SiNx 的選擇性沉積。為了獲得化學計量的MoSi2 膜,在MoF6 和Si2 H6 的ALD循環之後藉由將Si2 H6 加到富有Mo的MoSix 膜上來施行將額外的Si結合到膜中。The embodiments described in this book include a method of using ALD precursors for substrate selective reactivity for regioselective deposition. More specifically, the embodiments of the present disclosure are related to the selectivity of substrates using MoF 6 and Si 2 H 6 , with MoSi x preferentially depositing on Si over Si 2 , SiON, and SiN x . To obtain a stoichiometric MoSi 2 film, the incorporation of additional Si into the film is performed by adding Si 2 H 6 to the Mo-rich MoSi x film after the ALD cycle of MoF 6 and Si 2 H 6 .

藉由在約120℃的溫度下使用MoF6 和Si2 H6 前驅物的原子層沉積(ALD)實現MoSix 在Si上優先於SiO2 和SiNx 的高度選擇性沉積。藉由反應物(MoF6 和Si2 H6 )與含SiO2 和SiNx 的基板之間缺乏化學反應性,能夠實現沉積選擇性。相反地,MoF6 以自限制方式在H-終端的Si上成核,以及隨後的Si2 H6 暴露將MoFx 還原為Mo0 ,這與Mo-Si鍵結的形成一致。Highly selective deposition of MoSi x on Si over SiO 2 and SiN x is achieved by atomic layer deposition (ALD) of MoF 6 and Si 2 H 6 precursors at a temperature of about 120°C. The lack of chemical reactivity between the reactants (MoF 6 and Si 2 H 6 ) and the substrate containing SiO 2 and SiN x enables deposition selectivity. In contrast, MoF 6 nucleates on H-terminal Si in a self-limiting manner, and subsequent Si 2 H 6 exposure reduces MoF x to Mo 0 , which is consistent with the formation of Mo-Si bonds.

X光光電子能譜(XPS)顯示,MoF6 和Si2 H6 的5個ALD循環選擇性地在Si基板上沉積次化學計量(sub-stoichiometric)的MoSi2 膜。在ALD製程中,以重複方式依序循環MoF6 和Si2 H6 前驅物,其中在每次連續的前驅物暴露之間施行淨化。在次化學計量的MoSi2 膜上額外的Si2 H6 用量將更多的Si結合到膜中而不會干擾對於SiO2 和SiNx 的沉積選擇性。在一個實施例中,主體MoSix 膜的Si:Mo的比率為約1.7至約1.9,其中F和O雜質少於約10%。相信本說書所述之實施例優於傳統用於矽化物材料形成的高壓Si ALD循環,例如,在源極/汲極接觸結構的形成中。X-ray photoelectron spectroscopy (XPS) showed that five ALD cycles of MoF 6 and Si 2 H 6 selectively deposited a sub-stoichiometric MoSi 2 film on the Si substrate. In the ALD process, MoF 6 and Si 2 H 6 precursors are sequentially cycled in a repeating manner, where purification is performed between each successive precursor exposure. The additional amount of Si 2 H 6 on the sub-stoichiometric MoSi 2 film incorporates more Si into the film without disturbing the deposition selectivity for SiO 2 and SiN x . In one embodiment, the Si:Mo ratio of the bulk MoSi x film is from about 1.7 to about 1.9, where the F and O impurities are less than about 10%. It is believed that the embodiments described in this book are superior to the traditional high-pressure Si ALD cycle used for the formation of silicide materials, for example, in the formation of source/drain contact structures.

根據本說書所述之實施例,在包含三維(3D)奈米級SiO2 和SiNx 特徵的圖案化Si基板上分析MoSix 的沉積選擇性。穿透式電子顯微(TEM)截面表示在奈米級3D結構上實現選擇性MoSix 沉積。在一個實施例中,在SiO2 上存在少於約10個核/μm2 ;由於SiO2 具有約107 /μm2 的OH基團,這相當於SiO2 上的OH基團和Si上的Si-H基團之間的選擇性為約107 :1。因此,據信矽化物沉積之依賴基板的選擇性能夠消除鈍化劑(即SAM)的使用。 實驗According to the embodiment described in this book, the deposition selectivity of MoSi x was analyzed on a patterned Si substrate containing three-dimensional (3D) nano-scale SiO 2 and SiN x features. Transmission electron microscopy (TEM) cross-sections indicate selective MoSi x deposition on nanoscale 3D structures. In one embodiment, SiO 2 is present in the core is less than about 10 / μm 2; SiO 2 since the OH group having from about 10 7 / μm 2, which corresponds to the OH group on the SiO 2 and Si The selectivity between Si-H groups is about 107 :1. Therefore, it is believed that the substrate-dependent selectivity of silicide deposition can eliminate the use of passivating agents (ie, SAM). experiment

各種基板類型用於本說書所述之MoSix 矽化物形成製程。使用四種類型的基板:P型Si(100)(摻雜硼,可從維吉尼亞州的Fredericksburg的Virginia Semiconductor公司取得)、在Si(100)上熱生長的SiO2 (可從麻州南波士頓(South Boston)的University Wafer公司取得)、SiON (可從加州聖克拉拉市的應用材料公司(Applied Materials,Inc.)取得)以及在單個基板上具有Si、SiO2 和SiNx 材料表面的圖案化基板。除非另有說明,否則本說書所述之SiON(氧氮化矽)是Si3 N4 ,其在製造期間已經在氧氣中經受反應離子蝕刻和電漿灰化。因此,SiON基板包含氧,其在積體3D奈米級器件中處理之後類似於Si3 N4 的條件。Various substrate types are used in the MoSi x silicide formation process described in this book. Four types of substrates are used: P-type Si (100) (doped with boron, available from Virginia Semiconductor of Fredericksburg, Virginia), and SiO 2 thermally grown on Si (100) (available from Massachusetts University Wafer of South Boston, SiON (available from Applied Materials, Inc., Santa Clara, California), and Si, SiO 2 and SiN x material surfaces on a single substrate Patterned substrate. Unless otherwise stated, the SiON (silicon oxynitride) described in this book is Si 3 N 4 , which has been subjected to reactive ion etching and plasma ashing in oxygen during manufacturing. Therefore, the SiON substrate contains oxygen, which is similar to the conditions of Si 3 N 4 after processing in an integrated 3D nanoscale device.

將基板切成12mm×3mm的片並用丙酮、甲醇和去離子(DI)H2 O清除油漬(degrease)。藉由將清除油漬過的基板浸入0.5%HF(aq)溶液中30秒來去除Si上的原生氧化物。為了在清洗過程中保持一致,對SiO2 、SiON和圖案化的基板施行相同的清洗程序。亦可預期可使用基於電漿的原生氧化物去除製程。例如,可利用NF3 /H2 和/或NF3 NH3 電漿清洗製程來清洗基板的含矽表面以及對基板的含矽表面作氫終端(hydrogen terminate)。在SiON基板上,相信NF3 電漿處理藉由鈍化活性羥基成核位點來防止或實質降低沉積選擇性損失。在某些實施例中,原生氧化物去除製程是可從加州聖克拉拉市的應用材料公司取得的SICONI® 預清洗製程。The substrate was cut into 12mm × 3mm pieces and degrease was removed with acetone, methanol and deionized (DI) H 2 O. The native oxide on Si was removed by immersing the cleaned substrate in a 0.5% HF (aq) solution for 30 seconds. In order to maintain consistency during the cleaning process, the same cleaning procedure is performed on SiO 2 , SiON, and the patterned substrate. It is also expected that a plasma-based native oxide removal process can be used. For example, the NF 3 /H 2 and/or NF 3 NH 3 plasma cleaning process can be used to clean the silicon-containing surface of the substrate and hydrogen terminate the silicon-containing surface of the substrate. On SiON substrates, it is believed that NF 3 plasma treatment prevents or substantially reduces the loss of deposition selectivity by passivating active hydroxyl nucleation sites. In certain embodiments, the native oxide removal process is SICONI can be obtained from Santa Clara, California, Applied Materials, Inc. ® preclean process.

使用高純度N2 氣體來吹乾(blow-dried)基板。將Si、SiO2 、SiON和圖案化的基板一起裝載在單個基板支架上,以使基板暴露於相同的ALD條件。將基板裝載到由渦輪分子泵泵送(pumped)並由機械泵支撐的裝載閘腔室中。裝載閘的基礎壓力約為2.0×10-7 Torr。接著,將該基板原位移送到由離子泵和鈦昇華泵泵送的基礎壓力為約3.0×10-10 Torr的超高真空腔室中。超高真空腔室配備有單色光XPS設備(XM 1000 MkII/SPHERA,可從柯羅拉多州丹佛市的Scienta Omicron, Inc.公司取得)、掃描式穿隧顯微鏡(STM)(可從柯羅拉多州丹佛市的Scienta Omicron, Inc.公司取得)和使用熱解氮化硼(PBN)加熱器的退火系統。High-purity N 2 gas is used to blow-dried the substrate. The Si, SiO 2 , SiON, and patterned substrate are loaded together on a single substrate holder to expose the substrate to the same ALD conditions. The substrate is loaded into a loading gate chamber pumped by a turbo molecular pump and supported by a mechanical pump. The basic pressure of the loading gate is about 2.0×10 -7 Torr. Next, the original displacement of the substrate was sent to an ultra-high vacuum chamber with a base pressure of about 3.0×10 -10 Torr pumped by an ion pump and a titanium sublimation pump. The ultra-high vacuum chamber is equipped with a monochromatic XPS device (XM 1000 MkII/SPHERA, available from Scienta Omicron, Inc., Denver, Corolla), and a scanning tunneling microscope (STM) (available from Corolla Acquired by Scienta Omicron, Inc. of Denver, multi-state) and an annealing system using pyrolytic boron nitride (PBN) heaters.

首先在超高真空腔室中在120℃下對基板進行退火,並使用XPS測定基板的化學成分。將基板原位移送到基礎壓力為約5.0×10-7 Torr的反應腔室中。對於MoSix 沉積,使用MoF6 (99%純度,可自佛州Alachua的Synquest Laboratories取得)和Si2 H6 (99.99%純度,可自德州休士頓的Air Liquide USA, LLC取得)前驅物。First, the substrate was annealed at 120°C in an ultra-high vacuum chamber, and the chemical composition of the substrate was measured using XPS. The original displacement of the substrate is sent to the reaction chamber with a base pressure of about 5.0×10 -7 Torr. For MoSi x deposition, MoF 6 (99% purity, available from Synquest Laboratories, Alachua, Florida) and Si 2 H 6 (99.99% purity, available from Air Liquide USA, LLC, Houston, Texas) precursors were used.

在ALD循環期間,使用恆定的N2 (80mTorr)淨化,且使用洩漏閥控制該淨化的壓力。使用由LabView軟體(可從德州奧斯丁的國家儀器(National Instruments)取得)控制的氣動閥來調節MoF6 和Si2 H6 用量。MoF6 和Si2 H6 用量採用膨脹體積。使用膨脹體積包括用MoF6 或Si2 H6 填充次級體積(secondary volume)並從它們各自的次級體積配用前驅物。MoF6 的填充時間為約10ms至約10ms之間,如約40ms。MoF6 的用量時間為約10ms至約100ms之間,如約50ms。Si2 H6 的填充時間為約1ms和約50ms之間,如約18ms。Si2 H6 的用量時間為約1ms至約50ms之間,如約18ms。During the ALD cycle, a constant N 2 (80 mTorr) purge was used, and a leak valve was used to control the pressure of the purge. A pneumatic valve controlled by LabView software (available from National Instruments, Austin, Texas) was used to adjust the amount of MoF 6 and Si 2 H 6 . The volume of MoF 6 and Si 2 H 6 is the expansion volume. The use of an expanded volume includes filling a secondary volume with MoF 6 or Si 2 H 6 and distributing precursors from their respective secondary volumes. The filling time of MoF 6 is between about 10 ms and about 10 ms, such as about 40 ms. The dosage time of MoF 6 is between about 10 ms and about 100 ms, such as about 50 ms. The filling time of Si 2 H 6 is between about 1 ms and about 50 ms, such as about 18 ms. The dosage time of Si 2 H 6 is between about 1 ms and about 50 ms, such as about 18 ms.

用朗謬(Langmuirs(L))計算MoF6 和Si2 H6 的暴露,其中1L=1×10-6 Torr×1秒。使用反應腔室中的convectron gauge真空計監控暴露期間的壓力峰值。用量為約1.8MegaL的MoF6 以及約4.2MegaL的Si2 H6 ,用量之間的等待時間為2分鐘。使用PBN加熱器加熱基板,並將溫度保持在約100℃至約150℃之間的溫度,如約120℃。腔室壁保持在約65℃至約85℃之間的溫度。在一個實施例中,MoF6 用量為約1.0MegaL至約10MegaL之間。在另一個實施例中,Si2 H6 用量為約1.0MegaL至約10MegaL之間。Use Langmuirs (L) to calculate the exposure of MoF 6 and Si 2 H 6 , where 1L=1×10 -6 Torr×1 second. The peak pressure during the exposure was monitored using a convectron gauge vacuum gauge in the reaction chamber. The dosage is about 1.8 MegaL of MoF 6 and about 4.2 MegaL of Si 2 H 6 , and the waiting time between the dosage is 2 minutes. A PBN heater is used to heat the substrate and maintain the temperature between about 100°C and about 150°C, such as about 120°C. The chamber wall is maintained at a temperature between about 65°C and about 85°C. In one embodiment, the amount of MoF 6 is between about 1.0 MegaL and about 10 MegaL. In another embodiment, the amount of Si 2 H 6 is between about 1.0 MegaL and about 10 MegaL.

在沉積循環之後,將該基板原位移送到超高真空腔室中以作XPS和STM分析。對於XPS量測,由Al Kα陽極(1486.7eV)產生X射線。使用恆定分析器能量(CAE)取得XPS資料,其中步寬為0.1eV,通過能量(pass energy)為50eV。將XPS檢測器定位在與基板法線成60°(與基板表面成30°的起飛角(take-off angle)),其中檢測器接收角(detector-acceptance angle)為7°。在使用Casa XPS v.2.3程式將每個峰區域與其相應的相對敏感度因子校正後,分析XPS光譜。這項工作中的所有化學成分全都標準化為所有成分的總和。使用-1.8V的基板偏壓和200pA的恆定電流施行掃描式穿隧顯微鏡 檢查。After the deposition cycle, the substrate was originally displaced into an ultra-high vacuum chamber for XPS and STM analysis. For XPS measurements, X-rays are generated by Al Kα anodes (1486.7 eV). Use constant analyzer energy (CAE) to obtain XPS data, with a step width of 0.1 eV and a pass energy of 50 eV. Position the XPS detector at 60° from the substrate normal (take-off angle of 30° from the substrate surface), where the detector-acceptance angle is 7°. After using the XPS v.2.3 program to correct each peak area and its corresponding relative sensitivity factor, analyze the XPS spectrum. All chemical components in this work are standardized to the sum of all components. Scanning tunneling microscope inspection was performed using a substrate bias of -1.8V and a constant current of 200pA.

為了研究膜的主體的元素組成,Ar+ 濺射與XPS一起施行。採用5kV的透鏡電壓,以及在6.0×10-7 Torr的Ar下,束電流為1.2μA;由於光柵用於覆蓋整個基板區域,因此電流密度約為1.2uA/50mm2 。在濺射期間將MoSix 基板保持在25℃以使任何熱解吸最小化。 結果In order to study the elemental composition of the main body of the film, Ar + sputtering was performed together with XPS. With a lens voltage of 5 kV and an Ar of 6.0×10 -7 Torr, the beam current is 1.2 μA; since the grating is used to cover the entire substrate area, the current density is about 1.2 uA/50 mm 2 . The MoSi x substrate was kept at 25°C during sputtering to minimize any thermal desorption. result

圖1A圖示在120℃下連續用量的MoF6 和Si2 H6 之前和之後,經HF清洗過的Si表面的XPS化學成分的資料。在經HF清洗過的Si基板上在120℃下使用兩組5.4MegaL的MoF6 。XPS顯示Mo的飽和度為16%。然後,在120℃下將4.2MegaL的Si2 H6 和額外的42MegaL的Si2 H6 施用到MoF6 飽和的Si表面上,導致Si 59%的飽和。在一個實施例中,MoF6 的用量為約1MegaL至約10MegaL之間。在另一個實施例中,SI2 H6 的用量為約1MegaL至約10MegaL。在另一個實施例中,額外的Si2 H6 用量為約20MegaL至約50MegaL之間。FIG. 1A illustrates the data of the XPS chemical composition of Si surfaces cleaned with HF before and after continuous use of MoF 6 and Si 2 H 6 at 120° C. FIG. Two sets of 5.4 MegaL MoF 6 were used on the HF cleaned Si substrate at 120°C. XPS shows that the saturation of Mo is 16%. Then, 4.2 MegaL of Si 2 H 6 and an additional 42 MegaL of Si 2 H 6 were applied to the MoF 6 saturated Si surface at 120° C., resulting in a 59% saturation of Si. In one embodiment, the amount of MoF 6 is between about 1 MegaL and about 10 MegaL. In another embodiment, the amount of SI 2 H 6 is about 1 MegaL to about 10 MegaL. In another embodiment, the additional amount of Si 2 H 6 is between about 20 MegaL and about 50 MegaL.

在HF清洗之後,所有Si處於氧化態為0,其中有9%O和12%C污染。認為污染是由在基板移送到真空期間的偶然烴吸附引起的。HF(aq)用於消除Si上的原生氧化物並使Si表面為H端接。應注意的是,圖1中的Si 2p資料表示Si的總量,而Si(0)資料表示處於0的氧化態的Si的量。After HF cleaning, all Si is in the oxidation state 0, which is 9% O and 12% C pollution. It is believed that contamination is caused by accidental hydrocarbon adsorption during substrate transfer to vacuum. HF (aq) is used to eliminate the native oxide on Si and make the Si surface H-terminated. It should be noted that the Si 2p data in FIG. 1 represents the total amount of Si, and the Si(0) data represents the amount of Si in the oxidation state of 0.

在120℃下5.4MegaL的MoF6 之後,在經HF清洗過的Si表面上沉積14%Mo和38%F。在120℃下額外加入5.4MegaL的MoF6 後,Mo濃度從14%增加到16%,以及F濃度從38%增加到42%。在額外增加5.4MegaL的MoF6 之後,Mo和F含量的這種小量增加表示在經HF清洗過的Si上MoF6 的反應是自限制的(self-limiting)。在Si表面用MoFx 飽和之後,F/Mo的比率為2.6且所有的Si都處於0的氧化態。連續施用4.2MegaL的Si2 H6 和42MegaL的Si2 H6 表示Si2 H6 反應也在MoFx 覆蓋的Si表面上飽和。認為對於較厚的次化學計量的MoSi2 膜,可以將額外的Si結合到表面上。然而,Si2 H6 以自限制方式在較薄的(單層)Mo膜上反應。After 5.4 MegaL of MoF 6 at 120°C, 14% Mo and 38% F were deposited on the HF cleaned Si surface. After additional addition of 5.4 MegaL of MoF 6 at 120°C, the Mo concentration increased from 14% to 16%, and the F concentration increased from 38% to 42%. After an additional 5.4 MegaL of MoF 6 , this small increase in Mo and F content indicates that the reaction of MoF 6 on HF-cleaned Si is self-limiting. After the Si surface is saturated with MoF x , the F/Mo ratio is 2.6 and all Si is in the oxidation state of 0. The continuous application of 4.2 MegaL of Si 2 H 6 and 42 MegaL of Si 2 H 6 indicates that the Si 2 H 6 reaction is also saturated on the MoF x covered Si surface. It is believed that for thicker sub-stoichiometric MoSi 2 films, additional Si can be bonded to the surface. However, Si 2 H 6 reacts on a thin (monolayer) Mo film in a self-limiting manner.

在Si2 H6 飽和後,Si含量為59%,且F降低至10%。由於基板是Si,因為發生了F解吸,因此在施用Si2 H6 之後Si含量的這種增加可以部分地歸因於基板。然而,觀察到在施用Si2 H6 後Mo的衰減,這與Si的沉積一致。MoF6 和Si2 H6 在H-終端的Si上的反應證明了MoSix ALD在Si-H終端的Si上的可能性。After Si 2 H 6 is saturated, the Si content is 59%, and F is reduced to 10%. Since the substrate is Si, because F desorption occurs, this increase in Si content after the application of Si 2 H 6 can be partially attributed to the substrate. However, the decay of Mo after application of Si 2 H 6 was observed, which was consistent with the deposition of Si. The reaction of MoF 6 and Si 2 H 6 on H-terminal Si proves the possibility of MoSi x ALD on Si-H terminal Si.

圖1B圖示上文關於圖1A描述但在SiON基板上的相同系列的MoF6 和Si2 H6 飽和用量的XPS化學成分資料。如圖所示,未觀察到反應。應該注意的是,雖然SiON基板標稱上是SiON,但XPS在表面上僅顯示出可忽略量的N,因此該基板主要是離子損傷(ion damaged)的SiOx 。在前3個脈衝的MoF6 之後,觀察到8%F和可忽略的Mo(<1%)。對於其餘的飽和用量,SiON表面保持對MoF6 和Si2 H6 都不起反應。雖然本研究中使用的SiON是離子損傷的,但Si處於+3和+4的氧化態,且資料與強Si-O、Si-N、SiO-H鍵一致,因此實質排除了Si與Mo形成鍵結。FIG. 1B illustrates the XPS chemical composition data of the same series of MoF 6 and Si 2 H 6 saturation amounts described above with respect to FIG. 1A but on a SiON substrate. As shown, no reaction was observed. It should be noted that although the SiON substrate is nominally SiON, XPS shows only a negligible amount of N on the surface, so the substrate is mainly ion damaged (SiO x ) . After the first 3 pulses of MoF 6 , 8% F and negligible Mo (<1%) were observed. For the remaining saturation levels, the SiON surface remains unreactive to both MoF 6 and Si 2 H 6 . Although the SiON used in this study is ion-damaged, Si is in the oxidation state of +3 and +4, and the data are consistent with the strong Si-O, Si-N, and SiO-H bonds, so the formation of Si and Mo is essentially excluded Bonding.

圖2A和2B圖示用於經HF清洗過的Si基板的Si 2p和Mo 3d的XPS光譜,以比較每次實驗操作的氧化態。圖2A圖示在連續施用MoF6 和S2 H6 之後的Si 2p峰值,其顯示在120℃(藍線)下在10.8MegaL的MoF6 之後Si保持在0的氧化態,這與Mo-Si鍵結形成且沒有通過F的Si蝕刻一致。在120℃下施用4.2MegaL的Si2 H6 (紅線)之後,大部分Si維持在0的氧化態。這與單層MoSi2 的形成一致。在較高的結合能下出現小的氧化Si峰值,其在表面處可能是SiHx F4-x (x = 2或3)或SiOx 。圖2B圖示在連續施用MoF6 和S2 H6 之後的Mo 3d峰值,其顯示在飽和用量的MoF6 (黑色和藍色線)施用之後Mo 3d峰值存在於多個氧化態。在施用Si2 H6 (紅線)之後,所有Mo都被還原且峰值集中在227.4eV,這與MoSi2 形成一致。2A and 2B illustrate the XPS spectra of Si 2p and Mo 3d for HF-cleaned Si substrates to compare the oxidation state of each experimental operation. FIG. 2A illustrates the Si 2p peak after continuous application of MoF 6 and S 2 H 6 , which shows that Si remains at an oxidation state of 0 after 10.8 MegaL of MoF 6 at 120° C. (blue line), which is different from Mo-Si Bonding is formed and Si etching without passing F is consistent. After applying 4.2 MegaL of Si 2 H 6 (red line) at 120° C., most of the Si remained in the oxidation state of 0. This is consistent with the formation of a single layer of MoSi 2 . A small peak of oxidized Si appears at a higher binding energy, which may be SiH x F 4-x (x = 2 or 3) or SiO x at the surface. FIG. 2B illustrates the Mo 3d peak after continuous application of MoF 6 and S 2 H 6 , which shows that the Mo 3d peak exists in multiple oxidation states after application of a saturated amount of MoF 6 (black and blue lines). After applying Si 2 H 6 (red line), all Mo was reduced and the peak was concentrated at 227.4 eV, which is consistent with MoSi 2 .

在第一個5.4MegaL的MoF6 之後,Si 2p峰值維持在0的氧化態,這與Si-Mo鍵結形成一致。Mo 3d峰值出現在多個氧化態,這表示表面物質是MoFx ,其中x=4、5和6(黑線)。額外的5.4MegaL的MoF6 沒有改變Si 2p或Mo 3d峰值的氧化態(藍線)。該等資料表示Si-Mo-Fx 在表面形成。值得注意的是,施用MoF6 飽和用量後的F/Mo比為2.6(圖1A XPS資料),而Mo的氧化態為4-6 ;因此,據信有一些Mo-O鍵形成。在施用4.2MegaL的Si2 H6 用量(紅線)之後,在Si 2p XPS峰值上出現較高結合能(103eV)的小肩峰。這與Si-F或Si-O的形成一致。Mo 3d光譜表示,在施用單次Si2 H6 用量後,所有Mo都被還原為Mo0 ,其中結合能為227.4eV。這與單層MoSix 的形成以及任何殘餘的氧或氟以Si-O和Si-F鍵的形式從Mo移送到Si是一致的。MoF6 和Si2 H6 的簡化反應可描述為:

Figure 02_image002
After the first MoF 6 of 5.4 MegaL, the Si 2p peak remains at the oxidation state of 0, which is consistent with the formation of Si-Mo bonding. The Mo 3d peak appears in multiple oxidation states, which means that the surface material is MoF x , where x=4, 5 and 6 (black line). The additional 5.4 MegaL of MoF 6 did not change the oxidation state of the Si 2p or Mo 3d peak (blue line). These data indicate that Si-Mo-F x is formed on the surface. Notably, the amount administered 6 saturated MoF F / Mo ratio of 2.6 (FIG. 1A XPS data), and the oxidation state of Mo is 4-6; Thus, it is believed that some of Mo-O bond formation. After the application of 4.2 MegaL of Si 2 H 6 dosage (red line), a small shoulder peak of higher binding energy (103 eV) appeared on the Si 2p XPS peak. This is consistent with the formation of Si-F or Si-O. The Mo 3d spectrum shows that after a single application of Si 2 H 6 , all Mo is reduced to Mo 0 , and the binding energy is 227.4 eV. This is consistent with the formation of a single layer of MoSi x and the transfer of any residual oxygen or fluorine from Mo to Si in the form of Si—O and Si—F bonds. The simplified reaction of MoF 6 and Si 2 H 6 can be described as:
Figure 02_image002

經由在圖案化基板上的MoSix 沉積的XPS驗證Si基板上的MoSix 的ALD特性和對SiO2 和SiNx 基板的選擇性。圖3A圖示一組三個基板的化學成分:經HF清洗過的Si、經HF清洗過的SiO2 和經HF清洗過的圖案化基板。圖3B圖示在120℃下MoF6 和Si2 H6 的5個ALD循環之後圖3A基板的各者的化學成分。該資料表示缺乏Si的MoSix 選擇性地沉積在Si上而不是在SiO2 上。圖案化樣本的Si0 成分也藉由MoSix 沉積選擇性地衰減。圖3C圖示在額外的25.2MegaL(在3個脈衝和10個脈衝之間)的Si2 H6 之後的圖3B基板各者的化學成分。額外的Si2 H6 將Si結合到MoSix 表面上。在額外的Si2 H6 脈衝期間保持對SiO2 的選擇性(在整個ALD製程中SiO2 具有0%Mo和0%SiO2 )。The ALD characteristics of MoSi x on Si substrates and selectivity to SiO 2 and SiN x substrates were verified via XPS deposited via MoSi x on patterned substrates. FIG. 3A illustrates the chemical composition of a set of three substrates: HF cleaned Si, HF cleaned SiO 2, and HF cleaned patterned substrate. FIG. 3B illustrates the chemical composition of each of the substrates of FIG. 3A after 5 ALD cycles of MoF 6 and Si 2 H 6 at 120°C. This data indicates that MoSi x lacking Si is selectively deposited on Si instead of SiO 2 . The Si 0 component of the patterned sample is also selectively attenuated by MoSi x deposition. 3C illustrates the chemical composition of each of the substrates of FIG. 3B after an additional 25.2 MegaL (between 3 pulses and 10 pulses) of Si 2 H 6 . The additional Si 2 H 6 binds Si to the MoSi x surface. The selectivity to SiO 2 is maintained during the additional Si 2 H 6 pulse (SiO 2 has 0% Mo and 0% SiO 2 throughout the ALD process).

將三個基板一起裝載在單個基板支架上,以確保它們暴露於相同的沉積條件。Si和SiO2 基板允許在圖案化基板上沉積期間驗證選擇性。圖案化基板具有在Si基板頂部由SiNx 夾在中間的SiO2 層。應注意的是,圖案化基板上的SiNx 實際上是SiON,因為它在製造期間被離子損傷且在O2 中灰化。如圖3A所示,30秒(30 s)的HF清洗去除Si上的原生氧化物。熱生長的SiO2 為300nm厚,30秒的HF清洗沒有改變SiO2 的元素組成或氧化態。經HF清洗過的圖案化基板由SiNx 、SiOx 和Si0 的混合物組成。The three substrates are loaded together on a single substrate holder to ensure that they are exposed to the same deposition conditions. Si and SiO 2 substrates allow verification of selectivity during deposition on patterned substrates. The patterned substrate has a SiO 2 layer sandwiched by SiN x on top of the Si substrate. It should be noted that SiN x on the patterned substrate is actually SiON because it is damaged by ions and ashed in O 2 during manufacturing. As shown in Figure 3A, 30 seconds (30 s) of HF cleaning removes the native oxide on Si. The thermally grown SiO 2 is 300 nm thick, and 30-second HF cleaning does not change the elemental composition or oxidation state of SiO 2 . The patterned substrate cleaned by HF is composed of a mixture of SiN x , SiO x and Si 0 .

如圖3B所示,在120℃下MoF6 和Si2 H6 的5個ALD循環後施行XPS。XPS顯示出在Si基板上32%Mo和10%Si的表面組成,其對應於高度缺乏Si的MoSix 。在SiO2 基板上沒有MoSix 沉積,與高選擇性ALD一致。在圖案化基板上,XPS顯示沉積了5%的Mo,且Si0 被衰減至1%。在圖案化基板上的ALD期間,表面處的N和O的比例沒有顯著變化。該資料與在圖案化基板上6%的Si0 上缺乏Si的MoSix 沉積選擇性一致。As shown in FIG. 3B, XPS was performed after 5 ALD cycles of MoF 6 and Si 2 H 6 at 120°C. XPS shows the surface composition of 32% Mo and 10% Si on the Si substrate, which corresponds to MoSi x which is highly deficient in Si. There is no MoSi x deposition on the SiO 2 substrate, which is consistent with high-selectivity ALD. On the patterned substrate, XPS showed that 5% Mo was deposited, and Si 0 was attenuated to 1%. During ALD on the patterned substrate, the ratio of N and O at the surface did not change significantly. This data is consistent with the MoSi x deposition selectivity lacking Si on 6% Si 0 on patterned substrates.

圖案化基板上的沉積選擇性與本說明書所述實施例的三個態樣一致:(1)MoSix 沉積在Si基板上但不沉積在SiO2 基板上。(2)在MoSix 沉積之後,在圖案化基板上Si0 (不是來自Si-N和Si-O的較高氧化態Si峰值)被衰減。(3)在數值上,在具有6%Si0 的圖案化基板上的約4%Mo沉積與在經HF清洗過的表面上具有54%Si0 的Si基板上具有32%Mo成正比(proportional)。The deposition selectivity on the patterned substrate is consistent with the three aspects of the embodiment described in this specification: (1) MoSi x is deposited on the Si substrate but not on the SiO 2 substrate. (2) After MoSi x deposition, Si 0 (not the higher oxidation state Si peak from Si-N and Si-O) is attenuated on the patterned substrate. (3) Numerically, about 4% Mo deposition on a patterned substrate with 6% Si 0 is proportional to 32% Mo on a Si substrate with 54% Si 0 on the HF cleaned surface (proportional ).

即使在圖1和圖2中描述的ALD飽和實驗中能夠在Si上沉積單層MoSi2 ,但是連續的ALD循環也不會產生化學計量的MoSi2 。據信缺乏Si的MoSix 的形成是由於氟矽烷消除過程中表面Si-H物質解吸以及由於標準Si2 H6 用量所不易去除的殘留Mo-F鍵。對於前1-3個單層,存在來自基板的過量Si以幫助氟解吸,但是對於較厚的膜,Mo-F表面鍵可能持續存在,因為唯一可用的Si來自氣態Si2 H6 。使用MoF6 和Si2 H6 的整個氟矽烷消除化學與兩種化學反應中的一者一致:

Figure 02_image004
1: 2:
Figure 02_image006
Even though a single layer of MoSi 2 can be deposited on Si in the ALD saturation experiments described in FIGS. 1 and 2 , continuous ALD cycles will not produce stoichiometric MoSi 2 . It is believed that the formation of MoSi x lacking Si is due to the desorption of surface Si-H substances during the elimination of fluorosilane and the residual Mo-F bonds that are not easily removed due to the standard Si 2 H 6 dosage. For the first 1-3 monolayers, there is excess Si from the substrate to help fluorine desorption, but for thicker films, Mo-F surface bonds may persist because the only available Si comes from gaseous Si 2 H 6 . The entire fluorosilane elimination chemistry using MoF 6 and Si 2 H 6 is consistent with one of the two chemical reactions:
Figure 02_image004
1: 2:
Figure 02_image006

為了形成MoSi2 ,在120℃下將三個基板暴露於額外的25.2MegaL(3脈衝至10脈衝之間,如6脈衝)的Si2 H6 (見圖3C)。在額外的Si2 H6 暴露之後,Si基板上增加到20%的Si與結合到膜中或基板表面上的Si一致。額外的Si2 H6 用量沒有降低Si對SiO2 沉積的選擇性。To form MoSi 2 , three substrates were exposed to an additional 25.2 MegaL (between 3 and 10 pulses, such as 6 pulses) of Si 2 H 6 at 120°C (see Figure 3C). After the additional Si 2 H 6 exposure, the Si on the Si substrate increased to 20% consistent with the Si incorporated into the film or on the substrate surface. The additional amount of Si 2 H 6 did not reduce the selectivity of Si for SiO 2 deposition.

圖4A-4C圖示具有後沉積退火的經HF清洗過的Si、SiO2 和SiON上的選擇性MoSix 沉積的XPS化學成分資料。圖4A圖示在HF清洗後的Si、SiO2 和SiON基板的XPS化學成分。圖4B繪示XPS化學成分資料,其顯示在5個MoSix 的ALD循環之後接著在120℃下額外的6個脈衝(25.2MegaL)的Si2 H6 ,MoSix 僅選擇性地沉積在Si上。圖4C說明在520℃下施行3分鐘的後沉積退火(PDA)的基板的XPS化學成分資料。如圖所示,PDA從MoSix 膜中去除F並將Mo還原為Mo04A-4C illustrate XPS chemical composition data for selective MoSi x deposition on HF-cleaned Si, SiO 2 and SiON with post-deposition annealing. FIG. 4A illustrates the XPS chemical composition of Si, SiO 2 and SiON substrates after HF cleaning. Figure 4B shows the XPS chemical composition data, which shows that after 5 ALD cycles of MoSi x followed by an additional 6 pulses (25.2 MegaL) of Si 2 H 6 at 120° C., MoSi x is only selectively deposited on Si . FIG. 4C illustrates the XPS chemical composition data of a post-deposition annealed (PDA) substrate that was performed at 520° C. for 3 minutes. As shown in the figure, the PDA removes F from the MoSi x film and reduces Mo to Mo 0 .

圖4A說明在HF清洗之後SiON表面主要由SiNx 組成。在5個循環MoSix 的ALD之後接著加入25.2MegaL的Si2 H6 ,在經HF清洗過的Si上有24%的Mo和18%的Si,而在SiOx 和SiNx 表面上檢測到少於1%的Mo,如圖4B所示。隨後,將三個基板在520℃下退火3分鐘,這使Si基板上的F從25%減少到3%。520℃的PDA還將Si基板上的Mo還原成Mo0 ,且在表面處將Si:Mo比從約0.75減少到約0.5。這與SiHF3 或SiF4 形式的表面F的解吸一致。PDA的XPS分析表示通過PDA從膜上去除F,這降低了F擴散到相鄰MOSFET器件結構中的可能性。FIG. 4A illustrates that the SiON surface is mainly composed of SiN x after HF cleaning. After 5 cycles of ALD of MoSi x followed by the addition of 25.2 MegaL of Si 2 H 6 , 24% of Mo and 18% of Si were cleaned on the HF cleaned, while little was detected on the surfaces of SiO x and SiN x At 1% Mo, as shown in Figure 4B. Subsequently, the three substrates were annealed at 520°C for 3 minutes, which reduced the F on the Si substrate from 25% to 3%. The 520°C PDA also reduced Mo on the Si substrate to Mo 0 and reduced the Si:Mo ratio from about 0.75 to about 0.5 at the surface. This is consistent with the desorption of surface F in the form of SiHF 3 or SiF 4 . XPS analysis of the PDA shows that F is removed from the film by the PDA, which reduces the possibility of F diffusion into adjacent MOSFET device structures.

使用原位STM和異位(ex-situ)原子力顯微鏡(AFM),在Si和SiO2 基板上沉積及PDA之後研究表面拓樸(topographies)。在20個循環的MoF6 和Si2 H6 之後,為原位STM準備單獨的經HF清洗過的Si基板。STM資料表示MoSix 膜是原子級平坦的且為正形的,其中RMS粗糙度約為2.8Å。將上述基板在500℃下在超高真空腔室中在約5.0×10-10 Torr的壓力下原位退火3分鐘。在500℃退火後,薄膜變得更平坦,RMS粗糙度約為1.7Å。Using in situ STM and ex-situ atomic force microscopy (AFM), surface topographies were studied after deposition on Si and SiO 2 substrates and after PDA. After 20 cycles of MoF 6 and Si 2 H 6 , separate HF cleaned Si substrates were prepared for in-situ STM. The STM data indicates that the MoSi x film is atomically flat and normal, with an RMS roughness of about 2.8Å. The above substrate was annealed in situ at 500° C. for 3 minutes in an ultra-high vacuum chamber under a pressure of about 5.0×10 −10 Torr. After annealing at 500°C, the film becomes flatter with an RMS roughness of about 1.7Å.

在120℃下5個ALD循環後接著原位550℃退火之後,MoSix /HF清洗過的Si的另一基板被帶入異位熔爐中,在5%H2 與N2 平衡的(5% H2 balanced with N2 )900℃尖峰退火中進行。在900℃尖峰退火之後,使用AFM來取得表面形態。該膜保持次奈米級RMS粗糙度4.75Å,表示MoSix 膜具有高達約900℃的高熱穩定性。After 5 ALD cycles at 120°C followed by in-situ annealing at 550°C, another substrate of Si cleaned by MoSi x /HF was taken into an ex-situ furnace, balanced at 5% H 2 and N 2 (5% H 2 balanced with N 2 ) 900 °C peak annealing. After peak annealing at 900°C, AFM was used to obtain the surface morphology. The film maintains sub-nano-level RMS roughness of 4.75Å, indicating that the MoSi x film has high thermal stability up to about 900°C.

在120℃下施行5個ALD循環後接著原位550℃退火3分鐘後,為了確認選擇性,藉由計算基板表面上的核數來施行SiO2 基板表面的異位AFM圖像資料。核的密度約為9個核/μm2 ,這證明了Si沉積優於SiO2 。相信藉由控制反應腔室的壁溫和藉由使用短的高壓Si2 H6 脈衝和更長的淨化循環來促進ALD並避免CVD沉積方案,進一步改善了本說明書所述實施例的高沉積選擇性。After performing 5 ALD cycles at 120°C followed by in-situ annealing at 550°C for 3 minutes, in order to confirm the selectivity, the ectopic AFM image data on the surface of the SiO 2 substrate was performed by calculating the number of cores on the substrate surface. The density of nuclei is about 9 nuclei/μm 2 , which proves that Si deposition is superior to SiO 2 . It is believed that by controlling the wall temperature of the reaction chamber and by using short high-pressure Si 2 H 6 pulses and longer purification cycles to promote ALD and avoid CVD deposition schemes, the high deposition selectivity of the embodiments described in this specification is further improved .

還施行了深度剖面研究以確定MoSix 膜的內部組成。圖5A圖示在120℃下五個循環的MoF6 和Si2 H6 後接著經HF清洗過的Si上施行Ar+ 濺射後的XPS化學成分資料。圖5B圖示在依序Ar+ 濺射之後Si 2p的XPS峰值,其結果顯示MoSix 膜的主體主要由SiO組成。圖5C圖示在120℃下在5個循環的MoF6 和Si2 H6 之後,沉積膜的化學成分資料相對於Si的Ar+ 濺射時間的曲線圖。A depth profile study was also conducted to determine the internal composition of the MoSi x film. FIG. 5A shows the XPS chemical composition data of Ar + sputtering on MoF 6 and Si 2 H 6 followed by HF cleaning at 120° C. for five cycles. FIG. 5B illustrates the XPS peak of Si 2p after sequential Ar + sputtering, and the result shows that the main body of the MoSi x film is mainly composed of SiO. 5C illustrates a graph of the chemical composition data of the deposited film versus Ar + sputtering time of Si after 5 cycles of MoF 6 and Si 2 H 6 at 120°C.

圖5A中所示的XPS資料來源於在120℃下使用5個ALD循環的MoF6 和Si2 H6 沉積在經HF清洗過的Si基板上的MoSix 膜,而沒有額外的Si2 H6 結合。隨著濺射時間的增加,MoSix 膜變薄,直到暴露下面的Si基板。前10分鐘的濺射使F從35%降低到8%,而Mo從氧化的Mo和Mo0 的混合物轉變為純Mo0 。該資料與主要和Mo鍵接的表面F一致。The XPS data shown in Figure 5A is derived from the MoSi x film deposited on the HF-cleaned Si substrate with MoF 6 and Si 2 H 6 at 120°C using 5 ALD cycles without additional Si 2 H 6 Combine. As the sputtering time increases, the MoSi x film becomes thinner until the underlying Si substrate is exposed. The first 10 minutes of sputtering reduced F from 35% to 8%, and Mo was converted from a mixture of oxidized Mo and Mo 0 to pure Mo 0 . This data is consistent with the surface F mainly bonded to Mo.

在連續的濺射循環之後,Si的量增加且Mo的量減少。此外,Si0 的量與總Si一起增加,以及在總濺射時間100分鐘後達到最大值43%。使用Si0 與Mo0 的比率來區分純MoSix 相,因為在純MoSix 相中,Mo和Si彼此鍵接且氧化態為0。在基板表面處去除氧化矽和MoFx 物質後,SiO的百分比超過Mo0 的百分比。MoSix 膜的主體中的Si0 :Mo0 比為1.41,其對應於缺乏Si的MoSix 膜。應注意的是,在膜的中心,Si:Mo比為1.77,因此,在沒有背景O2/H2 O的情況下,Si0 :Mo0 的比率可能更接近2。After continuous sputtering cycles, the amount of Si increases and the amount of Mo decreases. In addition, the amount of Si 0 increases with the total Si, and reaches a maximum value of 43% after 100 minutes of the total sputtering time. The ratio of Si 0 to Mo 0 is used to distinguish the pure MoSi x phase because in the pure MoSi x phase, Mo and Si are bonded to each other and the oxidation state is 0. After removing silicon oxide and MoF x substances at the substrate surface, the percentage of SiO exceeds the percentage of Mo 0 . The Si 0 :Mo 0 ratio in the main body of the MoSi x film is 1.41, which corresponds to the MoSi x film lacking Si. It should be noted that at the center of the film, the Si:Mo ratio is 1.77, therefore, in the absence of background O2/H 2 O, the ratio of Si 0 :Mo 0 may be closer to 2.

圖5B圖示對應於圖5A的每個XPS量測的Si 2p的原始(raw)XPS光譜。在第4次濺射循環後,99.2eV的Si峰值增加並擴展到更高的結合能。相反地,在每個濺射循環之後,Mo峰值的能量對應Mo0 。因此,相信主體MoSix 膜主要是MoSix 形式的Si0 和Mo0 ,而頂表面和底部介面富含SiOx 。頂部SiOx 與來自腔室環境的污染一致,而底部介面的氧化物與未完成(imperfect)的異位HF清洗一致。FIG. 5B illustrates the raw XPS spectrum of Si 2p measured corresponding to each XPS of FIG. 5A. After the fourth sputtering cycle, the Si peak of 99.2eV increased and expanded to a higher binding energy. Conversely, after each sputtering cycle, the energy of the Mo peak corresponds to Mo 0 . Therefore, it is believed that the bulk MoSi x film is mainly Si 0 and Mo 0 in the form of MoSi x , while the top and bottom interfaces are rich in SiO x . The top SiO x is consistent with the contamination from the chamber environment, while the bottom interface oxide is consistent with the imperfect ex-situ HF cleaning.

底部介面處的次化學計量氧化物沒有影響沉積和膜品質,這表示MoSix ALD的選擇性對SiO2 的品質是敏感的。圖5C圖示來自圖5A中的XPS量測取得的化學成分的百分比。在第二次濺射循環(總濺射時間40分鐘)後,F降低至3%以下且最終達到0%。膜的主體中的O<10%但在MoSix -Si介面處緩慢增加至15%,這與介面氧化物層的存在一致。The sub-stoichiometric oxide at the bottom interface does not affect the deposition and film quality, which means that the selectivity of MoSi x ALD is sensitive to the quality of SiO 2 . FIG. 5C illustrates the percentage of chemical composition obtained from the XPS measurement in FIG. 5A. After the second sputtering cycle (total sputtering time 40 minutes), F drops below 3% and eventually reaches 0%. O<10% in the main body of the film but slowly increased to 15% at the MoSi x -Si interface, which is consistent with the presence of the interface oxide layer.

為了理解額外的Si2 H6 用量對MoSix 膜的Si:Mo比的影響,在具有額外Si加入的MoSix 膜上施行XPS深度分佈。在120℃下5個ALD循環的MoF6 和Si2 H6 結束之後施用額外的6個脈衝(25.2MegaL)的Si2 H6 用量,接著在530℃下在乾燥經清洗過的Si上退火3分鐘。本說書所述之後退火乾式清洗製程使用NF3 和NH3 的電漿,其中Ar作為載氣。To understand the additional amount of Si 2 H 6 Si MoSi x film on: MoSi x film impact Mo ratio of Si having added additional purposes XPS depth profile. After the end of 5 ALD cycles of MoF 6 and Si 2 H 6 at 120°C, an additional 6 pulses (25.2 MegaL) of Si 2 H 6 dosage were applied, followed by annealing at 530°C on the dried and cleaned Si 3 minute. The post-annealing dry cleaning process described in this book uses NF 3 and NH 3 plasmas, with Ar as the carrier gas.

圖6A-6D圖示在暴露於額外的Si2 H6 用量後MoSix 膜的XPS剖析資料。圖6A圖示在5個MoF6 和Si2 H6 的循環之後接著在120℃下加入額外的6個脈衝(25.2MegaL)的Si2 H6 ,在Ar+ 濺射乾式清洗過的Si之後的XPS化學成分資料。圖6B圖示在具有和不具有額外Si2 H6 脈衝情況下,在5個MoF6 和Si2 H6 的ALD循環之後的XPS表面成分資料。對於5個ALD,Si:Mo比率為0.33,對於5個ALD+6×Si2 H6 ,Si:Mo比率為0.89,這與在表面上加入Si一致。圖6C圖示在使用Ar+ 濺射去除表面污染物之後,具有以及不具有額外的Si2 H6 脈衝的情情況下MoSix 的XPS主體成分資料。對於5個ALD,Si:Mo比率為1.77,對於5個ALD+6×Si2 H6 ,Si:Mo比率為1.96。圖6D圖示在5個MoF6 和Si2 H6 的循環之後,接著在120℃下額外的Si2 H6 脈衝之後,MoSix 膜的XPS化學成分資料相對於Si上的Ar+ 濺射時間之曲線圖。6A-6D illustrate XPS profiling data of MoSi x film after exposure to additional Si 2 H 6 dosage. 6A illustrates followed by an additional 6 pulses at 120 deg.] C after 5 cycles MoF 6 and Si 2 H 6 is (25.2MegaL) of Si 2 H 6, following the dry Ar + sputter cleaned of Si XPS chemical composition information. 6B illustrates XPS surface composition data after 5 ALD cycles of MoF 6 and Si 2 H 6 with and without additional Si 2 H 6 pulses. For 5 ALDs, the Si:Mo ratio is 0.33, and for 5 ALD+6×Si 2 H 6 , the Si:Mo ratio is 0.89, which is consistent with the addition of Si on the surface. FIG. 6C illustrates the XPS body composition data of MoSi x with and without additional Si 2 H 6 pulses after removal of surface contaminants using Ar + sputtering. For 5 ALDs, the Si:Mo ratio is 1.77, and for 5 ALD+6×Si 2 H 6 , the Si:Mo ratio is 1.96. Figure 6D shows the XPS chemical composition data of the MoSi x film relative to the Ar + sputtering time on Si after 5 cycles of MoF 6 and Si 2 H 6 followed by an additional Si 2 H 6 pulse at 120°C Of the graph.

圖6A顯示了在乾式清洗過的基板上每個操作施行之後的一系列深度剖析XPS。在6×Si2 H6 /5個ALD循環之後,在基板表面上有28%F、20%Si和28%Mo。在530℃下退火後,表面上的F大部分被去除,且Mo全部被還原為Mo0 ,這與圖4中所示的F從表面解吸一致。在此操作中Si:Mo比率為0.89。相比之下,沒有額外的Si2 H6 用量的MoSix 膜的Si:Mo比率僅為0.33,如圖6B所示。FIG. 6A shows a series of depth profiling XPS after each operation on the dry-cleaned substrate. After 6×Si 2 H 6 /5 ALD cycles, there are 28%F, 20%Si and 28%Mo on the substrate surface. After annealing at 530° C., most of the F on the surface was removed, and all of Mo was reduced to Mo 0 , which is consistent with the desorption of F from the surface shown in FIG. 4. In this operation, the Si:Mo ratio is 0.89. In contrast, the Si:Mo ratio of the MoSi x film without the additional amount of Si 2 H 6 is only 0.33, as shown in FIG. 6B.

去除表面氧化物污染後,對於具有額外Si2 H6 脈衝的MoSix ,主體中的Si0 :Mo0 為1.32(Si:Mo = 1.96)。這與如圖6C所示在沒有額外的Si2 H6 加入的MoSix 主體中Si0 :Mo0 =1.41(Si:Mo = 1.77)相當(comparable)。因此,相信在ALD循環之後,額外的Si2 H6 脈衝增加缺乏Si的MoSix 表面處的Si含量。相反地,MoSix 膜主體中的Si:Mo比率接近化學計量的MoSi2 。圖6D圖示每種化學成分的XPS百分比為Ar+ 濺射時間的函數,其與MoSix 膜的主體中的MoSix 形成一致。After removing the surface oxide pollution, for MoSi x with additional Si 2 H 6 pulses, Si 0 :Mo 0 in the body is 1.32 (Si:Mo = 1.96). This is comparable to Si 0 :Mo 0 =1.41 (Si:Mo = 1.77) in the MoSi x body without additional Si 2 H 6 added as shown in FIG. 6C (comparable). Therefore, it is believed that after the ALD cycle, additional Si 2 H 6 pulses increase the Si content at the Si-deficient MoSi x surface. In contrast, the Si:Mo ratio in the body of the MoSi x film is close to the stoichiometric MoSi 2 . 6D illustrates XPS percentage of each chemical component is a function of Ar + sputtering time, which coincides with the body forming MoSi x film of MoSi x.

在一個實施例中,使用氣動閥將4.2MegaL的Si2 H6 引入反應腔​​室6秒的持續時間。Si2 H6 製程特性使用約3倍多的Si2 H6 暴露了比傳統的Si2 H6 施用用量參數短約10倍的施用用量持續時間。因此,與傳統的施用用量方案相比,本說書所述之實施例在ALD施用用量期間利用30倍高的分壓(30× higher partial pressure)。相信在施用用量期間30倍高的瞬時壓力能夠使得前驅物介導的Si2 H6 化學吸附層在表面上保留足夠長的時間以與Mo反應,以將更多的Si結合到MoSix 膜中。相信加入Si是自限性的,這使得MoSix 的生長速率能夠有約1.2nm/循環。In one embodiment, a pneumatic valve was used to introduce 4.2 MegaL of Si 2 H 6 into the reaction chamber for a duration of 6 seconds. The Si 2 H 6 process characteristics using about 3 times more Si 2 H 6 exposed the application dosage duration that is about 10 times shorter than the traditional Si 2 H 6 application dosage parameters. Therefore, compared to the traditional application dosage scheme, the embodiment described in this book uses a 30× higher partial pressure during the ALD application dosage. It is believed that a 30-fold higher instantaneous pressure during the application amount can allow the precursor-mediated Si 2 H 6 chemisorption layer to remain on the surface long enough to react with Mo to incorporate more Si into the MoSi x film . It is believed that the addition of Si is self-limiting, which enables the growth rate of MoSi x to be about 1.2 nm/cycle.

使用4點探針量測來量測MoSix 膜的電阻。對於電量測,使用具有>10000 ohm·cm電阻的未摻雜的(updoped)Si(001)作為基板。對於電量測,在120℃下10個MoSix ALD循環沉積在經HF清洗過的固有(intrinsic)(半絕緣)Si基板上,接著原位550℃退火3分鐘,以及在5%H2 與N2 平衡中施行900℃尖峰退火。沉積Ni點作為探針接觸。電阻為110歐姆,且使用無限板近似,電阻率計算如下:

Figure 02_image008
其中k是常數,t是厚度,以及Rmax 是所量測的最大電阻。A 4-point probe measurement was used to measure the resistance of the MoSi x film. For the electricity measurement, an undoped Si (001) with a resistance> 10000 ohm·cm is used as the substrate. For electricity measurement, 10 MoSi x ALD cycles were deposited on intrinsic (semi-insulating) Si substrates cleaned with HF at 120°C, followed by in-situ annealing at 550°C for 3 minutes, and at 5% H 2 and Peak annealing at 900°C was performed in N 2 equilibrium. The Ni spot is deposited as a probe contact. The resistance is 110 ohms, and it is approximated using an infinite board. The resistivity is calculated as follows:
Figure 02_image008
Where k is a constant, t is the thickness, and R max is the maximum resistance measured.

在圖案化基板施行截面TEM研究,以確認MoSix 在奈米結構圖案上的選擇性。圖7是MoSix /HF清洗過的圖案化基板的截面TEM圖像。在經HF清洗過的圖案化基板上,在120℃下施用5個循環的MoSix ALD,接著額外加入25.2MegaL的Si2 H6 。在每個沉積步驟中此基板的元素組成示於圖3A-3C中。TEM圖像顯示MoSix 在Si上沉積的完全選擇性,但沒有在SiNx 和SiO2 上。在5個ALD循環之後,接著額外的25.2MegaL,在Si上沉積的MoSix 膜的厚度為約6.3nm,其實現了約1.2nm/循環的生長速率。由於每個MoSix ALD循環的生長速率,相信5個ALD循環足以用於接觸材料和接觸器件結構。A cross-sectional TEM study was conducted on the patterned substrate to confirm the selectivity of MoSi x on the nanostructure pattern. 7 is a cross-sectional TEM image of a MoSi x /HF cleaned patterned substrate. On the patterned substrate cleaned with HF, 5 cycles of MoSi x ALD were applied at 120° C., followed by additional addition of 25.2 MegaL of Si 2 H 6 . The elemental composition of this substrate in each deposition step is shown in Figures 3A-3C. The TEM image shows the complete selectivity of MoSi x deposition on Si, but not on SiN x and SiO 2 . After 5 ALD cycles, followed by an additional 25.2 MegaL, the thickness of the MoSi x film deposited on Si was about 6.3 nm, which achieved a growth rate of about 1.2 nm/cycle. Due to the growth rate of each MoSi x ALD cycle, it is believed that 5 ALD cycles are sufficient for contacting materials and contacting device structures.

經由對氫終端的Si與熱生長的SiO2 、離子損傷的SiON和SiNx 的選擇性製程實現次化學計量的MoSi2 的選擇性原子層沉積。此選擇性係基於MoF6 和Si2 H6 在H-Si上的有利(favorable)反應性,但不係在SiO2 或SiNx 上,因為Si-O、Si-N和SiO-H鍵足夠強,以至於它們在120℃下不會被前驅物裂解(cleave)。MoF6 和Si2 H6 都顯示出自限性行為,這允許沉積高度正形和光滑的膜,其中均方根(RMS)粗糙度為2.8Å。在超高真空中在約500℃至550℃之間的溫度下施行PDA達3分鐘,進一步將RMS粗糙度減少至1.7Å。即使在H2 /N2 環境中的900°C尖峰退火後,MoSix 薄膜的品質也得以保持,這與高熱穩定性一致。Sub-stoichiometric MoSi 2 selective atomic layer deposition is achieved through selective processes for hydrogen-terminated Si and thermally grown SiO 2 , ion-damaged SiON, and SiN x . This selectivity is based on the favorable reactivity of MoF 6 and Si 2 H 6 on H-Si, but not on SiO 2 or SiN x because Si-O, Si-N and SiO-H bonds are sufficient Strong, so that they will not be cleaved by the precursor at 120°C. Both MoF 6 and Si 2 H 6 exhibit self-limiting behavior, which allows the deposition of highly orthomorphic and smooth films with a root mean square (RMS) roughness of 2.8Å. Performing the PDA in an ultra-high vacuum at a temperature between about 500°C and 550°C for 3 minutes further reduces the RMS roughness to 1.7Å. Even after peak annealing at 900°C in an H 2 /N 2 environment, the quality of the MoSi x film is maintained, which is consistent with high thermal stability.

深度剖析XPS研究表示,MoSix 膜的主體接近化學計量MoSi2 (Si:Mo=1.7-1.9),其中氧和氟<10%。在5個ALD循環之後,MoSix 膜的表面顯示出高度缺乏Si的MoSix 表面,其中Si:Mo比為0.33,且藉由脈衝額外的Si2 H6 將表面處的Si:Mo比提高到0.89。截面TEM成像顯示選擇性維持在奈米級,且MoSix 可以選擇性地沉積在Si上而沒有基板消耗。In-depth analysis of XPS research shows that the body of the MoSi x film is close to stoichiometric MoSi 2 (Si:Mo=1.7-1.9), where oxygen and fluorine are less than 10%. After 5 ALD cycles, the surface of the MoSi x film showed a highly Si-deficient MoSi x surface, where the Si:Mo ratio was 0.33, and the Si:Mo ratio at the surface was increased by pulse additional Si 2 H 6 to 0.89. Cross-sectional TEM imaging shows that the selectivity is maintained at the nanometer level, and MoSi x can be selectively deposited on Si without substrate consumption.

約1.2nm/循環的MoSix 膜生長速率為使得少於10個ALD循環(如5個ALD循環)足以利用MoSix 膜作為接觸材料。因此,與傳統的ALD製程相比,藉由利用本說書所述之實施例來增加製程產量。相信選擇性MoSix 沉積消除或實質減少了對複雜3D MOSFET結構(如FinFET)的微影術製程的依賴。Si-H鍵選擇性對SiO-H鍵選擇性超過106 。因此,即使不使用額外的鈍化層,也可以在奈米級上實現高選擇性。本說明書描述的實施例亦說明,藉由在還原劑的ALD脈衝期間改變分壓,可以容易地切換矽化物對金屬的ALD,同時保持選擇性。The MoSi x film growth rate of about 1.2 nm/cycle is such that less than 10 ALD cycles (such as 5 ALD cycles) are sufficient to utilize the MoSi x film as a contact material. Therefore, compared with the traditional ALD process, the process described in this book is used to increase the process output. It is believed that selective MoSi x deposition eliminates or substantially reduces the reliance on lithography processes for complex 3D MOSFET structures (such as FinFET). The Si-H bond selectivity to SiO-H bond selectivity exceeds 106 . Therefore, even without using an additional passivation layer, high selectivity can be achieved at the nanometer level. The embodiments described in this specification also illustrate that by changing the partial pressure during the ALD pulse of the reducing agent, the ALD of the silicide to the metal can be easily switched while maintaining selectivity.

雖然前面所述係針對本揭示案的實施例,但在不背離本揭示案的基本範圍下,可設計本揭示案的其他與進一步的實施例,且本揭示案的範圍由以下專利申請範圍所界定。Although the foregoing is directed to the embodiments of the present disclosure, other and further embodiments of the present disclosure can be designed without departing from the basic scope of the present disclosure, and the scope of the present disclosure is defined by the scope of the following patent applications Define.

no

本專利或申請文件包含至少一個彩色圖式。具有彩色圖式的本專利或專利申請案的副本將在請求和支付必要費用後由主管局提供。This patent or application file contains at least one drawing in color. A copy of this patent or patent application with a color scheme will be provided by the Office upon request and payment of necessary fees.

本揭示之特徵已簡要概述於前,並在以下有更詳盡之討論,可以藉由參考所附圖式中繪示之本案實施例以作瞭解。然而,值得注意的是,所附圖式只繪示了示範實施例且不會視為其範圍之限制,本揭示可允許其他等效之實施例。The features of the present disclosure have been briefly summarized before and discussed in more detail below, and can be understood by referring to the embodiments of the present invention illustrated in the accompanying drawings. However, it is worth noting that the drawings shown only illustrate exemplary embodiments and are not to be considered as a limitation of the scope thereof, and the present disclosure may allow other equivalent embodiments.

圖1A圖示根據本說書所述實施例的矽基板上MoSix 膜選擇性的X光光電子能譜(XPS)資料。FIG. 1A illustrates selective X-ray photoelectron spectroscopy (XPS) data of a MoSi x film on a silicon substrate according to an embodiment described in this book.

圖1B圖示根據本說書所述的實施例的在氧氮化矽基板上的MoSix 膜選擇性的XPS資料。FIG. 1B illustrates selective XPS data of a MoSi x film on a silicon oxynitride substrate according to an embodiment described in this book.

圖2A圖示根據本說書所述的實施例的矽基板上的Si和Mo的XPS氧化態資料。2A illustrates XPS oxidation state data of Si and Mo on a silicon substrate according to an embodiment described in this book.

圖2B圖示根據本說書所述的實施例的矽基板上的Si和Mo的XPS氧化態資料。2B illustrates XPS oxidation state data of Si and Mo on a silicon substrate according to the embodiment described in this book.

圖3A圖示根據本說書所述的實施例在ALD處理之前存在於不同基板類型上的各種元素的XPS化學成分資料。FIG. 3A illustrates XPS chemical composition data of various elements present on different substrate types before the ALD process according to the embodiment described in this book.

圖3B圖示根據本說書所述的實施例在5個ALD循環後存在於不同基板類型上的各種元素的XPS化學成分資料。3B illustrates XPS chemical composition data of various elements present on different substrate types after 5 ALD cycles according to the embodiment described in this book.

圖3C圖示根據本說書所述的實施例在額外的ALD循環之後存在於不同基板類型上的各種元素的XPS化學成分資料。3C illustrates XPS chemical composition data of various elements present on different substrate types after additional ALD cycles according to the embodiment described in this book.

圖4A圖示根據本說書所述的實施例在ALD處理之前存在於不同基板類型上的各種元素的XPS化學成分資料。FIG. 4A illustrates the XPS chemical composition data of various elements present on different substrate types before the ALD process according to the embodiment described in this book.

圖4B圖示根據本說書所述的實施例在5個ALD循環之後存在於不同基板類型上的各種元素的XPS化學成分資料。4B illustrates XPS chemical composition data of various elements present on different substrate types after 5 ALD cycles according to the embodiment described in this book.

圖4C圖示根據本說明書所述的實施例在退火製程之後圖4B的基板的XPS化學成分資料。4C illustrates the XPS chemical composition data of the substrate of FIG. 4B after the annealing process according to the embodiment described in this specification.

圖5A圖示根據本說書所述的實施例在Ar濺射之後的MoSix 膜的XPS深度剖析資料。FIG. 5A illustrates XPS depth profile data of the MoSi x film after Ar sputtering according to the embodiment described in this book.

圖5B圖示根據本說明書所述的實施例的MoSix 膜的XPS化學成分資料。FIG. 5B illustrates the XPS chemical composition data of the MoSi x film according to the embodiment described in this specification.

圖5C圖示根據本說書所述的實施例之表示MoSix 膜的化學成分對時間的資料。FIG. 5C illustrates data representing the chemical composition of the MoSi x film versus time according to the embodiment described in this book.

圖6A圖示根據本說書所述的實施例在Ar濺射之後的MoSix 膜的XPS深度剖析資料。FIG. 6A illustrates the XPS depth profile data of the MoSi x film after Ar sputtering according to the embodiment described in this book.

圖6B圖示根據本說書所述的實施例的MoSix 膜的表面成分資料。FIG. 6B illustrates the surface composition data of the MoSi x film according to the embodiment described in this book.

圖6C圖示根據本說書所述的實施例的圖6B的MoSix 膜的主體(bulk)成分資料。6C illustrates bulk composition data of the MoSi x film of FIG. 6B according to an embodiment described in this book.

圖6D圖示根據本說書所述的實施例之表示MoSix 膜的化學成分對時間的資料。6D illustrates data representing the chemical composition of the MoSi x film versus time according to the embodiment described in this book.

圖7是根據本說書所述的實施例之優先於基板上存在的其他材料而選擇性地沉積在矽上的MoSix 膜的穿透式電子顯微(TEM)截面圖。7 is a transmission electron microscopy (TEM) cross-sectional view of a MoSi x film selectively deposited on silicon in preference to other materials present on a substrate according to embodiments described in this book.

為便於理解,在可能的情況下,使用相同的數字編號代表圖示中相同的元件。可以預期的是一個實施例中的元件與特徵可有利地用於其他實施例中而無需贅述。For ease of understanding, wherever possible, the same number is used to represent the same element in the illustration. It is expected that the elements and features in one embodiment can be advantageously used in other embodiments without further description.

國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無Domestic storage information (please note in order of storage institution, date, number) No

國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無Overseas hosting information (please note in order of hosting country, institution, date, number) No

Claims (20)

一種基板處理方法,包括以下步驟: 將具有一含矽表面的一基板加熱至一第一溫度; 將該基板暴露於一含氫的電漿; 將該基板暴露於一第一用量的MoF6 前驅物; 將該基板暴露於一第二用量的Si2 H6 前驅物; 依序循環將該基板暴露於一第一用量的步驟以及將該基板暴露於一第二用量的步驟;及 在該依序循環的步驟之後,將該基板暴露於一第三用量的Si2 H6 前驅物。A substrate processing method including the following steps: heating a substrate having a silicon-containing surface to a first temperature; exposing the substrate to a hydrogen-containing plasma; exposing the substrate to a first amount of MoF 6 precursor The substrate is exposed to a second amount of Si 2 H 6 precursor; the step of sequentially exposing the substrate to a first amount and the step of exposing the substrate to a second amount; and After the sequence cycle step, the substrate is exposed to a third amount of Si 2 H 6 precursor. 如請求項1所述之方法,進一步包括以下步驟: 在將該基板暴露於一第三用量之後,在500℃至550℃之間的一第二溫度下對該基板退火。The method of claim 1, further comprising the steps of: after exposing the substrate to a third amount, annealing the substrate at a second temperature between 500°C and 550°C. 如請求項1所述之方法,其中該第一溫度在100℃至150℃之間。The method according to claim 1, wherein the first temperature is between 100°C and 150°C. 如請求項1所述之方法,其中該依序循環的步驟施行少於10次。The method of claim 1, wherein the sequential loop steps are performed less than 10 times. 如請求項4所述之方法,其中該依序循環的步驟施行5次。The method according to claim 4, wherein the step of sequentially looping is performed 5 times. 如請求項1所述之方法,其中該含氫的電漿由自以下各者組成的群組中選擇的前驅物形成:NF3 、NH3 和H。The method of claim 1, wherein the hydrogen-containing plasma is formed from a precursor selected from the group consisting of: NF 3 , NH 3 and H. 如請求項1所述之方法,其中在該依序循環的步驟期間施行使用N2 的一氮氣淨化製程。The method according to claim 1, wherein a nitrogen gas purification process using N 2 is performed during the steps of the sequential cycle. 如請求項1所述之方法,其中該第一用量施行10ms至100ms之間的一持續時間。The method according to claim 1, wherein the first amount is applied for a duration between 10ms and 100ms. 如請求項8所述之方法,其中該第一用量包括1MegaL至10MegaL之間的一MoF6 流動速率。The method according to claim 8, wherein the first dosage comprises a MoF 6 flow rate between 1 MegaL and 10 MegaL. 如請求項8所述之方法,其中該第二用量施行1ms至50ms之間的一持續時間。The method according to claim 8, wherein the second amount is applied for a duration between 1ms and 50ms. 如請求項10所述之方法,其中該第二用量包括1MegaL和10MegaL之間的一Si2 H6 流動速率。The method according to claim 10, wherein the second amount includes a Si 2 H 6 flow rate between 1 MegaL and 10 MegaL. 如請求項11所述之方法,其中該第三用量包括20MegaL和50MegaL之間的一Si2 H6 流動速率。The method according to claim 11, wherein the third amount includes a Si 2 H 6 flow rate between 20 MegaL and 50 MegaL. 如請求項12所述之方法,其中該第三用量包括3脈衝至10脈衝之間的Si2 H6The method according to claim 12, wherein the third amount includes Si 2 H 6 between 3 pulses and 10 pulses. 如請求項1所述之方法,進一步包括以下步驟: 以每個依序循環1.2nm的一生長速率將一MoSix 膜選擇性地沉積在該含矽表面上。The method of claim 1, further comprising the steps of: selectively depositing a MoSi x film on the silicon-containing surface at a growth rate of 1.2 nm per sequential cycle. 一種基板處理方法,包括以下步驟: 將該一基板定位在一反應腔室中的一加熱器上,該反應腔室具有腔室壁; 將該加熱器上的該基板加熱到一第一溫度; 將該腔室壁保持在低於該第一溫度的一第二溫度; 將該基板的一含矽表面暴露於氫中; 將該基板暴露於一第一用量的MoF6 前驅物; 將該基板暴露於一第二用量的Si2 H6 前驅物; 依序循環將該基板暴露於一第一用量的步驟以及將該基板暴露於一第二用量的步驟;及 在該依序循環的步驟之後,將該基板暴露於一第三用量的Si2 H6 前驅物。A substrate processing method comprising the following steps: positioning the substrate on a heater in a reaction chamber, the reaction chamber having a chamber wall; heating the substrate on the heater to a first temperature; Maintaining the chamber wall at a second temperature lower than the first temperature; exposing a silicon-containing surface of the substrate to hydrogen; exposing the substrate to a first amount of MoF 6 precursor; the substrate Exposure to a second amount of Si 2 H 6 precursor; the step of sequentially cycling the substrate to a first dose and the step of exposing the substrate to a second dose; and after the step of sequentially cycling , The substrate is exposed to a third amount of Si 2 H 6 precursor. 如請求項15所述之方法,其中該第一溫度在100℃和150℃之間,以及該第二溫度在65℃和85℃之間。The method of claim 15, wherein the first temperature is between 100°C and 150°C, and the second temperature is between 65°C and 85°C. 如請求項15所述之方法,其中該第一用量包括1MegaL和10MegaL之間的一MoF6 流動速率,該第二用量包括1MegaL和10MegaL之間的一Si2 H6 流動速率,以及該第三用量包括20MegaL和50MegaL之間的一Si2 H6 流動速率。The method of claim 15, wherein the first dosage includes a MoF 6 flow rate between 1 MegaL and 10 MegaL, the second dosage includes a Si 2 H 6 flow rate between 1 MegaL and 10 MegaL, and the third Dosage includes a Si 2 H 6 flow rate between 20 MegaL and 50 MegaL. 如請求項15所述之方法,其中,暴露該基板的一含矽表面的步驟包括以下步驟:將該含矽表面暴露於以下各者中的一者:來自NF3 /H2 氣體的一電漿或來自NF3 /NH3 氣體的一電漿。The method of claim 15, wherein the step of exposing a silicon-containing surface of the substrate includes the steps of: exposing the silicon-containing surface to one of the following: an electricity from NF 3 /H 2 gas Plasma or a plasma from NF 3 /NH 3 gas. 如請求項15所述之方法,進一步包括以下步驟: 以每個連續循環1.2nm的一生長速率將包含MoSi2 的一MoSix 膜選擇性地沉積在該含矽表面上。The method of claim 15, further comprising the following steps: selectively depositing a MoSi x film containing MoSi 2 on the silicon-containing surface at a growth rate of 1.2 nm per continuous cycle. 一種基板處理方法,包括以下步驟: 將該基板加熱到一第一溫度; 將該基板的一含矽表面暴露於一含氫電漿中; 將該基板暴露於一第一用量的MoF6 前驅物; 將該基板暴露於一第二用量的Si2 H6 前驅物; 依序循環將該基板暴露於一第一用量的步驟以及將該基板暴露於一第二用量的步驟;及 在該依序循環的步驟之後,將該基板暴露於一第三用量的Si2 H6 前驅物;及 在將該基板暴露於一第三用量之後,在500℃至550℃之間的一第二溫度下對該基板退火。A substrate processing method including the following steps: heating the substrate to a first temperature; exposing a silicon-containing surface of the substrate to a hydrogen-containing plasma; exposing the substrate to a first amount of MoF 6 precursor ; Exposing the substrate to a second amount of Si 2 H 6 precursor; sequentially cycling the steps of exposing the substrate to a first amount and the steps of exposing the substrate to a second amount; and in this order After the cycling step, the substrate is exposed to a third amount of Si 2 H 6 precursor; and after the substrate is exposed to a third amount, the substrate is exposed to a second temperature between 500°C and 550°C. The substrate is annealed.
TW107117916A 2018-05-25 2018-05-25 Selective deposition of metal silicides TWI780157B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW107117916A TWI780157B (en) 2018-05-25 2018-05-25 Selective deposition of metal silicides

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW107117916A TWI780157B (en) 2018-05-25 2018-05-25 Selective deposition of metal silicides

Publications (2)

Publication Number Publication Date
TW202003369A true TW202003369A (en) 2020-01-16
TWI780157B TWI780157B (en) 2022-10-11

Family

ID=69942140

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107117916A TWI780157B (en) 2018-05-25 2018-05-25 Selective deposition of metal silicides

Country Status (1)

Country Link
TW (1) TWI780157B (en)

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030190424A1 (en) * 2000-10-20 2003-10-09 Ofer Sneh Process for tungsten silicide atomic layer deposition
KR100477816B1 (en) * 2002-12-30 2005-03-22 주식회사 하이닉스반도체 Method for forming titanium silicide contact of semiconductor device
EP1649076B1 (en) * 2003-06-27 2010-05-19 Sundew Technologies, LLC Apparatus and method for chemical source vapor pressure control

Also Published As

Publication number Publication date
TWI780157B (en) 2022-10-11

Similar Documents

Publication Publication Date Title
TWI804706B (en) Method of topology-selective film formation of silicon oxide
US10818510B2 (en) Self-assembled monolayer blocking with intermittent air-water exposure
JP5656010B2 (en) Method for forming hard mask film and apparatus for forming hard mask film
KR100871006B1 (en) Thin tungsten silicide layer deposition and gate metal integration
TW201726965A (en) Method of forming SiOCN thin film
JP2005534181A (en) Method for forming ultra-high quality silicon-containing compound layer
US20220181176A1 (en) Substrate processing tool with integrated metrology and method of using
TW201943880A (en) Methods of treating a substrate to form a layer thereon for application in selective deposition processes
Choi et al. Selective atomic layer deposition of MoSix on Si (0 0 1) in preference to silicon nitride and silicon oxide
CN110945626B (en) Selective deposition of metal silicides
TWI780157B (en) Selective deposition of metal silicides
KR20210076166A (en) Selective deposition of metal silicides and selective oxide removal
US10262858B2 (en) Surface functionalization and passivation with a control layer
TWI833831B (en) Selective deposition of metal silicides and selective oxide removal
JP5177660B2 (en) Insulating film formation method
US10586707B2 (en) Selective deposition of metal silicides
Gertsch et al. Electron-enhanced SiO2 atomic layer deposition at 35° C using disilane and ozone or water as reactants
Henning et al. Spatially‐Modulated Silicon Interface Energetics Via Hydrogen Plasma‐Assisted Atomic Layer Deposition of Ultrathin Alumina
Hwang Atomic Layer Deposition Application in Interconnect Technology: From Material Understanding to Area Selective Deposition
TW202129053A (en) Method of topology-selective film formation of silicon oxide
Chou et al. Interfacial Structures of Si 3 N 4 on Si (100) & Si (111)

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent